EIPBN

EIPBN 2021 Table of Contents


Section Abstract and Authors
Wednesday, June 2, 2021
8:30 am – 11:00 am Pacific Time
Plenary Speakers
Plenary 1
Using Electrons, Ions, and Photons to Comprehend Cells and Brain Circuits: Enhanced FIB-SEM Technology for Life Science, C. Shan Xu, HHMI Janelia Research Campus, Ashburn VA
Plenary 2 Advances in Integrated Photonics through Subwavelength Engineering, Sharon M. Weiss, Vanderbilt University
Plenary 3 Digital Fabrication, Neil Gershenfeld, Director, MIT Center for Bits and Atoms
Wednesday, June 2, 2021
8:10 am – 9:00 am Pacific Time
Session 1A – Ion Beam
1A-1 (Invited)
Ion Beam
Applications of the Cesium Low Temperature Ion Source, Adam Steele, Andrew Schwarzkopf, Brenton Knuffman (zeroK NanoTech Corporation)

This talk will review applications of the Cs+ Low Temperature Ion Source (LoTIS). This ion source enables high precision FIB imaging and machining, and also high-yield secondary ion mass spectrometry (SIMS)

1A-2
Ion Beam

WITHDRAWN

Ion-solid interactions studied with a cold-atom rubidium FIB, Sheng Xu, Yang Li, Edgar Vredenbregt, (Eindhoven University of Technology)

1A-3
Ion Beam

Universal ion sources for FIB containing light and heavy ions from Liquid Metal Alloy Ion Sources, T. Richter, P. Mazarov, F. Meyer, W. Pilz (Raith GmbH); L. Bischoff, N. Klingner, G. Hlawacek (Helmholtz-Zentrum Dresden-Rossendorf, Institute of Ion Beam Physics and Materials Research)

Nanofabrication requirements for FIB technologies are specifically demanding in terms of patterning resolution, stability, and the support of new processing techniques. We have extended the technology towards the stable delivery of multiple ion species selectable into a nanometer scale focused ion beam by employing a liquid metal alloy ion source.

1A-4
Ion Beam

Scanning Transmission Ion Micrsocopy in the Helium Ion Microscope for nanoparticle research, Gregor Hlawacek, Eduardo Serralta, Nico Klingner (Helmholtz-Zentrum Dresden – Rossendorf), Michael Mousley, Olivier De Castro, Tom Wirtz (Luxembourg Institute of Science and Technology); Peter Gnauck (Carl Zeiss); Serge Duarte Pinto (Photonis); Falk Lucas, Cecilia Bebeacua (ETH Zürich)

After an introduction to the npSCOPE instrument and its capabilities we will introduce the new STIM detector and present first results obtained with it on single and polycrystalline samples as well biological specimen. The latter will focus on different kinds of nanoparticle samples relevant in the field of nano-toxicology.

1A-5
Ion Beam

Probe Size and Detection Efficiency Optimization in Electrostatic Lens Systems Using Multi-Objective Genetic Algorithms, Neda Hesam Mahmoudi Nezhad, Mohamad Ghaffarian Niasar, Ali Mohammadi Gheidari, Cornelis W. Hagen, Pieter Kruit (Delft University of Technology)

Electrostatic lens system optimization is a challenge, especially for systems having many free variables with more than one objective function. An example is minimization of probe size for a primary beam, with in-lens secondary electron detection with maximum detection efficiency. This subject has been investigated here using Multi-Objective GA.

Wednesday, June 2, 2021
8:10 am – 9:00 am Pacific Time
Session 1B – Nanofluidic Medical Devices
1B-1 (Invited)
Nanofluidic Medical Devices

A microfluidic platform with integrated traction force microscopy enabling mechanobiology under controlled flow, Sertan Sukas, Jaap den Toonder (Eindhoven University of Technology); Vito Conte (Eindhoven University of Technology & Institute for Bioengineering of Catalonia)

We present the development of a platform that enables mechanobiology studies under controlled shear and hydrodynamic pressure loadings, through the integration of traction force microscopy (TFM) in a microfluidic device.

1B-2
Nanofluidic Medical Devices

Spatially controlled stem cell differentiation via morphogen gradients: comparing static and dynamic microfluidic platforms, Kiara Cui, Leeya Engel; Carolyn Dundes; Tina Nguyen, Kyle Loh, Alex Dunn (Stanford University)

We describe two accessible and successful microfluidic strategies to expose human pluripotent stem cells to spatial gradients of differentiation-inducing extracellular signals in vitro. In addition, coupling with a fluorescent reporter and live-cell imaging allowed us to characterize the spatiotemporal dynamics of differentiation of our spatially patterned cell colonies.

1B-3
Nanofluidic Medical Devices

WITHDRAWN

Progress on the development of fluid central processing unit for large-scale automation of analytical and synthetic tasks, Yaru Xing (Southern University of Science and Technology); Xianming Liu (Dalian Institute of Chemical Physics, Chinese Academy of Sciences); Yu Liu, Rifei Chen, Yuyan Li, Chengzhi Zhang, Youwei Jiang, Xing Cheng (Southern University of Science and Technology)

1B-4
Nanofluidic Medical Devices

X-Ray Compatible Cell for Liquids and Gases, Alokik Kanwal, Rob Ilic, Glenn Holland, Subhrangsu Mukherjee, Eliot H. Gann, DeLongchamp, James Liddle (National Institute of Standards and Technology)

We present a liquid cell that is compatible with Polarized Resonant Soft X-ray Scattering (PRSoXS). The cell utilizes double membranes supported with random pillars to overcome many of the challenges faced with vacuum and soft X-ray compatible liquid cells.

Wednesday, June 2, 2021
8:10 am – 9:00 am Pacific Time
Session 1C – Micro- and Nanoscale Mechanical Devices
1C-1
Micro- and Nanoscale Mechanical Devices

Lithographically patterned flexible metallic micro wiring on an electrospun nano-fiber mesh, Yutika Badhe (University of Texas at Dallas); Lauren Costella (Luna Innovations Inc); Alexandra Joshi-Imre (The University of Texas at Dallas)

In this study, we have employed lithography techniques to fabricate stretchable metallic micro-wiring with potential applications in flexible and stretchable electronics. The metal is deposited directly on top of the nano-fiber mesh, and it is patterned with photolithographic techniques to demonstrate stretchable micro-wiring.

1C-2
Micro- and Nanoscale Mechanical Devices

Small footprint optoelectrodes for passive light localization by the use of ring resonators, Vittorino Lanzio (Lawrence Berkeley National Laboratory, Molecular Foundry); Alexander Koshelev (aBeam Technologies), Monica Lorenzon, Melanie West, Simone Sassolini, Scott Dhuey, Hillel Adesnik (UC Berkeley); Stefano Cabrini (Lawrence Berkeley National Laboratory)

We describe the design, fabrication, in vitro, and in vivo characterization of brain-implantable neural devices for the combined high-resolution readout and manipulation of neural activity. We integrate ring resonators and multi-wavelength components to manipulate both different types of neurons and in different brain regions.

1C-3
Micro- and Nanoscale Mechanical Devices

Fabrication of Ultra-Thin Suspended ALD Membranes, Michael Elowson, Rohan Dhall, Adam Schwartzberg, Stephanie Chang, Vittoria Tommasini, Sardar Alam, Stefano Cabrini, Shaul Aloni, (Lawrence Berkeley National Laboratory)

We demonstrate a novel fabrication process for creating ultra-thin (5+ nm) suspended membranes from a variety of ALD materials. ALD films as thin as 5 nm have been released without damage using a low-power O2 plasma. This flexible process is currently being validated through fabrication of conductive TiN TEM windows.

Wednesday, June 2, 2021
9:10 am – 10:00 am Pacific Time
Session 2A – Atomically Precise Fabrication
2A-1
Atomically Precise Fabrication

Progress Toward 2D Nano Bipolar Junction Transistors, John Randall, James H.G. Owen, Ehud Fuchs, Robin Santini (Zyvex Labs); Nupur Navlakah (University of Texas at Austin)

Hydrogen Depassivation Lithography has been used to place donors with atomic precision in buried Si (100) planes to make quantum and atomic electronic devices. Recent demonstrations using acceptors to pair with donors enable bipolar junction transistors. These 2D-nanodevices should be extremely high-performance, low-noise, rad-hard, and capable of cryogenic operation.

2A-2
Atomically Precise Fabrication

Co-deposition of B and P for Ultraprecise Bipolar devices, James Owen, Ehud Fuchs (Zyvex Labs); Hamed Alemansour (The University of Texas at Dallas); Reza Moheimani (UT Dallas); Wiley Kirk (University of Texas at Arlington)

We demonstrate the co-deposition of B and P in aligned atomic-scale patterns, in order to make bipolar junction devices. This requires the use of BCl3 and PH3 as acceptor and donor dopant precursors, and dI/dV imaging to relocate the B electrode and align the P electrodes to it.

2A-3
Atomically Precise Fabrication

Atom-based Silicon Devices for Quantum Computing and Analog Quantum Simulation, Rick Silver (NIST)

NIST is fabricating atomically precise devices for use in quantum technologies, such as single/few atom transistors, few-donor/quantum dot devices, and arrayed atomic structures for analog quantum simulation. We characterize tunnel coupling in quantum dot devices, spectroscopy of few atom transistors, and quantum transport measurement of arrays of few atom clusters.

2A-4
Atomically Precise Fabrication

Improving Fabrication, Data Storage, and Sensing on H:Si(100)-2x1 via Atomically Precise Chemistry, Roshan Achal, Mohammad Rashidi, Jeremiah Croshaw, Taleana Huff, Robert Wolkow (University of Alberta)

We present a method to correct fabrication errors in hydrogen lithography using atomically precise controlled reactions of individual hydrogen molecules. This technique can be used to rewrite ultra-dense atomic-scale memory arrays without the use of a scanned probe, as well as to sense isolated molecular reactions with single electron sensitivity.

2A-5
Atomically Precise Fabrication

Toward atomic-scale e-beam fabrication: imaging and altering graphene-based devices, Ondrej Dyck (Oak Ridge National Laboratory); Jacob Swett (University of Oxford); Sergei Kalinin, Andrew Lupini, Stephen Jesse (Oak Ridge National Laboratory)

Wafer-scale fabrication was used to create STEM-compatible operando graphene devices that can be characterized during operation. The e-beam can be used to further alter the device design. We employ use of secondary electron e-beam induced current (SEEBIC) imaging to visualize device conductivity and examine device failure modes in extreme conditions.

Wednesday, June 2, 2021
9:10 am – 10:00 am Pacific Time
Session 2B – 3D Nanosculpting
2B-1 (Invited)
3D Nanosculpting

How to implement “nano” in everyday life? Nano fabrication technologies in application oriented research and development, Mario Baum (Fraunhofer ENAS)

Nano fabrication technologies are subject of research in several fields. The development and commercialization of nano-inside products are still waiting for its break through. However, this paper will describe three examples of research workin fields of bioinspired computing, nano patterned surfaces for flexible substrates, and nano optics for spectroscopic measurement.

2B-2 (Invited)
3D Nanosculpting

A paradigm shift of focused-ion-beam machining from super-resolution to ultrahigh-throughput, Andrew Madison, John Villarrubia, Kuo-Tang Liao, Joshua Schumacher, Kerry Siebein, Rob Ilic, James Liddle, Samuel Stavis (NIST)

We report the first comprehensive study of the super-resolution effect of a sacrificial masking film in focused-ion-beam machining. Surprisingly, we find that the dominant advantage of the effect is in the temporal domain. Our study elucidates the resolution-throughput tradespace and enables a paradigm shift from prototyping to manufacturing.

2B-3
3D Nanosculpting

Gradient-Index Nanolattices Using Multilayer Processing, I-Te Chen (The University of Texas at Austin); Zijian Dai (North Carolina State University); Yi-An Chen (The University of Texas at Austin); Greogory N. Parsons (North Carolina State University); Chih-Hao Chang (The University of Texas at Austin)

This work is about using near-field phase lithography and ALD process repeatedly to create non-uniform nanolattices with spatially varying geometry and material composition. The proposed approach is also employed to make an antireflection GRIN surface which successfully reduces the specular reflectance by more than 60%.

2B-4
3D Nanosculpting

Fabrication of silica pyramids by enhanced lateral etching of hydrofluoric acid below metal films, Robert Kirchner, Volker Neumann, Felix Winkler, Carsten Strobel, Sandra Völkel, (TU Dresden); Dimitrios Kazazis (Paul Scherrer Institute); Andreas Richter, Johann Wolfgang Bartha (TU Dresden)

We demonstrate for the first time that anisotropic hydrofluoric acid etch behavior beneath certain metal pads (Ti, Au, Pt, Cr) can be controlled to create ultra-sharp 3D silica pyramids due to an interface effect.

2B-5
3D Nanosculpting

Electron and X-ray Beam Direct Write Lithography in Liquids: a Step Toward 3D Nanoprinting of Soft Materials, Andrei Kolmakov (NIST)

Organic soft materials, such as gels are materials of choice for a vast number of biomedical applications such as tissue engineering, soft robotics, biosensing, drug delivery, implantable electronics, etc. Here we report on in-liquid direct write technique for 3D-sculpturing of hydrogels using focused electron and X-ray beams.

2B-6
3D Nanosculpting

Nanofabrication by Metal Assisted Chemical Etching of Silicon in Gas Phase, Lucia Romano, Zhitian Shi, Jefimovs Konstantins (Paul Scherrer Institute & ETH Zurich); Joan Vila Comamala, Vitaliy Guzenko (Paul Scherrer Institute); Marco Stampanoni (Paul Scherrer Institute & ETH Zurich)

High aspect ratio nanostructuring requires high precision pattern transfer with highly directional etching. In this work, we demonstrate the fabrication of structures with unprecedented ultra-high aspect ratios up to 10’000:1 in the nanoscale regime (down to 10 nm) by metal assisted chemical etching (MacEtch) of silicon in gas phase.

Wednesday, June 2, 2021
Poster Session - Advanced Lithography
2C-1 (Invited)
Nanoimprint

Nanoimprint process to mass manufacture highly-angled high-RI gratings for augmented reality combiners, Tingling Rao, Zachary Perlmutter, Emily Makoutz, Ankit Vora, Alexander Koshelev, Jessica Chau, Nihar Mohanty, Koji Yugawa, Matthew Colburn, Giuseppe Calafiore (Facebook Inc.)

In this paper we report a series of breakthroughs that led to replication of gratings with a slant angle up to 60° and an aspect ratio of 10:1 in a material with refractive index of 1.90 (RI). A study of the replication process and materials optimization will be presented.

2C-2
Nanoimprint

Internal Layered Structures of UV-Cured Thin Films after Sequential Vapor Infiltration Analyzed by X-ray Reflectivity Measurements, Kohei Chiba, Takahiro Nakamura, Shunya Ito, Masaru Nakagawa (IMRAM, Tohoku University)

Sequential vapor infiltration (SVI) with trimethylaluminum into UV-cured films visualized the presence of internal layered structures with different Al distributions dependent on chemical structure of monomers. X-ray reflectivity measurements revealed that the mixing of monomers was a positive way to homogenize the Al distributions in UV-cured films.

2C-3
Nanoimprint

Optical Metrology of Characterizing Superhydrophobic States on Patterned Substrates, Deming Meng, Yifei Wang, Hao Yang, Pan Hu, Yunxiang Wang, Buyun Chen, Boxiang Song, Tse-Hsien Ou, Zerui Liu, Yichen Gong, Ximing Zheng, (University of Southern California), Wei Wu

Until now, no in-situ, non-destructive and accurate technology has been invented, which introduces huge difficulties into the superhydrophobic surfaces research and applications. We developed an optical technology to characterize the state of superhydrophobic surface.

2C-4
Nanoimprint

Performance and Ageing of Self-Assembled Metal Electrodes, Lukas Engel, Johannes H. M. Maurer, Thomas Kister, Lola González-García, Tobias Kraus (INM - Leibniz Institute for New Materials gGmbH)

We investigated the performance and ageing of self-assembled metal electrodes. We find that the conductivity, optical transmission, and ageing behaviour depend on the imprinted nano-object and its concentration. We correlate these findings with differences in pre- and post-plasma morphology. The insights gained enabled us to develop strategies against electrode degradation.

2C-5
Nanoimprint

Hybrid structures achieved by direct writing laser lithography - tuning the contrast and surface topography of grayscale photoresist with nanoimprint lithography, Sijia Xie, Jan Erjawetz, Helmut Schift (Paul Scherrer Institut (PSI))

In direct writing laser lithography, hybrid structures such as gratings on top of resist structures can be generated by combining thermal NIL with DWL lithography. At 120 °C, it is still possible to pattern the resist into at least 4 µm depth without degrading the photosensitive component.

Thursday, June 3, 2021
8:10 am – 9:00 am Pacific Time
Session 3A – 3D Micro and Nanolithography
3A-1 (Invited)
3D Micro and Nanolithography

Breaking the Resolution & Speed Limit – Next Generation Technology for Scalable Micro Additive Manufacturing, Shih-Chi Chen (Chinese University of Hong Kong)

I will present our recent work on parallelization of the TPP process based on temporal focusing via a digital micromirror device (DMD), where programmable femtosecond light sheets are formed and used to substantially improve the rate without sacrificing resolution.

3A-2 (Invited)
3D Micro and Nanolithography

Nanostructured Colors from Colorless Materials, Joel Yang (Singapore University of Technology and Design)

Colors produced from nanostructures of different geometries and materials in 2D and 3D made mostly using 3D printing with two-photon polymerization.

3A-3
3D Micro and Nanolithography

Hybrid 2D & 3D Lithography, Dominique Collé (Heidelberg Instruments)

We investigated the combination of maskless UV lithography and 2PP (two-photon polymerization) on a standard photo-resist: SU-8. 2PP technology is known to be slow. The advantage of mixing the technologies becomes obvious when some elements of a design can be exposed faster using maskless UV lithography.

3A-4
3D Micro and Nanolithography

Direct-writing of advanced 3D nano-superconductors, Rosa Cordoba (Institute of Molecular Science (ICMol), University of Valencia)

In this work, we introduce a direct-write nanolithography method based on focused ion beam technologies to fabricate at-will advanced 3D nano-superconductors. Nanotubes and nanohelices grown by this methodology become superconducting at 7 K and show large critical magnetic field and critical current density.

3A-5
3D Micro and Nanolithography

Achromatic and Varifocal Metalens Doublet Fabrication by Two-photon Lithography, Fatih Balli, Mansoor Sultan, Todd Hastings (University of Kentucky)

In this work, we discuss the fabrication process for two types of low-index metalenses composed of air-spaced elements.  First, we discuss the fabrication of our recently demonstrated two element hybrid achromatic metalens (HAML)  that employs nanopillars. Second, we discuss a novel air-spaced, varifocal, metalens that employs nanoholes.

3A-6
3D Micro and Nanolithography

Hybrid Metasurface Fabrication Using Two-photon Lithography, Mansoor Sultan, Fatih Balli, Todd Hastings (University of Kentucky)

We present 3D printed Hybrid metasurfaces that employ variable height (3D) phase plates, pillars, and holes that provide additional degrees of freedom beyond purely planar designs. These structures were 3D printed with two-photon lithography technique.

Thursday, June 3, 2021
8:10 am – 9:00 am Pacific Time
Session 3B – Nanophotonics and Plasmonics
3B-1 (Invited)
Nanophotonics and Plasmonics

Plasmonic coupling across narrow gaps, Florian Laible, Simon Dickreuter, Emre Guerdal, Fang Dai, Lisa Seitl, Otto Hauler, Kai Braun, Anke Horneber, Dai Zhang, Pierre-Michel Adam, Alfred J. Meixner, Dieter Kern, Monika Fleischer, (Eberhard Karls University Tübingen)

Illumination of metallic nanostructures that are coupled across narrow gaps can lead to high local near-fields, mode hybridization enabling their use as plasmon rulers, and tunneling effects. Different approaches for creating coupled and reversibly tunable plasmonic nanogap antennas will be shown together with their simulation and spectral properties.

3B-2
Nanophotonics and Plasmonics

Multiplexing Rubbing-Induced Site-Selective (RISS) Method for Manufacturing MoS2 Device Arrays, Mingze Chen, Xiaogan Liang (University of Michigan)

Molybdenum disulfide, as  a transition metal dichalcogenide, has attracted huge attention for its good optical properties. However, to produce corresponding arrays of nano/microscale devices, resist-based lithography and etching generate permanent contaminations and damages. Here, we report a  method capable of generating arbitrary MoS2 patterns without additional lithography or etching processes.
3B-3
Nanophotonics and Plasmonics

Direct laser writing of polymer nanowire waveguides for single-photon extraction from epitaxial quantum dots, Edgar Perez, Kartik Srinivasan (University of Maryland)

Direct laser writing (DLW) can be used to interface with integrate photonic devices, but printing on highly reflective materials increases the local laser intensity and produces standing-waves that greatly deteriorate wavelength-scale structures. Overcoming these issues, we fabricate 800nm-diameter polymer-nanowire waveguides to collect single-photons from epitaxial InAs/GaAs QDs with high yield.

3B-4
Nanophotonics and Plasmonics

Large Area Plasmonic Roller Lithography for High-Aspect Ratio and Sub-Diffraction Limit Patterning, Kaito Yamada, L. Jay Guo (University of Michigan)

We report a super resolution optical lithography technology which can go beyond the diffraction limit of light utilizing surface plasmon polaritons. The resolved feature size is ~60nm and can be patterned in a large (5cm wide) area continuously by a photoroller system.

3B-5
Nanophotonics and Plasmonics

Refractory doped titanium nitride nanoscale field emitters, Alberto Nardi (IBM Research - Zurich); Marco Turchetti (Massachusetts Institute of Technology); Wesley Britton, Yuyao Chen (Boston University); Yujia Yang (MIT); Luca Dal Negro (Boston University); Karl Berggren, Phillip Keathley (Massachusetts Institute of Technology)

We developed a fabrication process to pattern nanoantennas made of doped titanium nitride with gaps of 10-15 nm, with aspect ratio of about 5.  We measured turn-on voltages of a few volts, currents three orders of magnitude higher than with nearly identical Au nanoantennas, and peak quantum efficiencies of 1E-3.

Thursday, June 3, 2021
8:10 am – 9:00 am Pacific Time
Session 3C – Biomedical Devices
3C-1 (Invited)
Biomedical Devices

Nanoparticle-Based Assay with Optoelectronic Readout for High-Sensitivity and Rapid Detection of Infectious Diseases, Chao Wang (Arizona State University); Liangcai Gu (University of Washington, Seattle)

Inexpensive and rapid diagnostics of infectious diseases is crucial to timely treatment and disease prevention. Conventional diagnostic methods such as polymerase chain reaction and enzyme-linked immunosorbent assay require skilled personnel, laboratory-hosted equipment and elaborate protocols. Here, we present a gold nanoparticle-based assay as a low-cost, simple and quantitative sensing platform
3C-2
Biomedical Devices

Fabrication of Microdevices for Thermal Stability Analysis of DNA, Sarah Robinson, Jon Askim, Christopher Montgomery (National Institute of Standards and Technology); Herman Sintim (Purdue University); Steve Semancik (National Institute of Standards and Technology)

We will discuss the development of an electrochemical microdevice platform for analyzing thermal profiles of DNA secondary structures. Each three-electrode microdevice includes an embedded platinum resistance thermometer (PRT), allowing for localized temperature control. The platform was employed for stability analyses of small-molecule drug binding to duplex DNA.

3C-3
Biomedical Devices

Fabrication optimization of the photo-response characteristics of MoS2 photodetectors for biosensing applications, Seungjun Ki, Byunghoon Ryu, Younggeun Park, Katsuo Kurabayashi (University of Michigan)

In this work, we study the photo-response properties (e.g., photoresponsivity and noise equivalent power (NEP)) of in-plane MoS2 photodetectors as the function of their geometric dimensions (e.g., thickness, length, and width of photoactive layers) and fabrication conditions (e.g., doping, etching, and substrate choice).

3C-4
Biomedical Devices

Electrochemical characterization of graphene gated field effect transistors: route for smart biological sensors, Juliette Simon, Adrien Hugo, Pascal Mailley (CEA); Fabienne Blanc (VetagroSup / INRAE); Thomas Alava (CEA); Chao Sun, Jason A. Mann, William R. Dichtel (Northwestern University); Grapheal (Grapheal)

CVD graphene has brought interest from the biosensors community. Previous work in our group demonstrated a unique fabrication protocol for graphene solution gated field effect transistor (SGFET). This study presents the electrochemical characterization and first biological detection campaign (using aptmamers as probe for hormone detection) of our SGFET sensors.

3C-5
Biomedical Devices

Measurement and Analysis of Joule heating in localized cellular micro/nanochannel electroporation, Junjie Pan, Xinyu Wang, Junfeng Shi, Yifan Ma, Wu Lu, Ly Lee, (Ohio State University)

This study showed a comprehensive quantification of Joule heating on a single-cell level during localized cellular electroporation. A microfluidic device was fabricated for single-cell localized electroporation.
With the cell fluorescently labeled by Rhodamine B, a temperature sensitive dye, the temperature of the cell when electroporation happened was measured and analysed.

Thursday, June 3, 2021
9:10 am – 10:00 am Pacific Time
Session 4A – Electron and Ion Beam Lithography/Post Processing/Applications
4A-1 (Invited)
Electron and Ion Beam Lithography/Post Processing/Applications

WITHDRAWN

Extending the capability of lithography with mechanical processes, Huigao Duan, Hunan University

4A-2
Electron and Ion Beam Lithography/Post Processing/Applications

Metallic Organic Resists: Their Impact On Nano Pattern Transfer, Scott Lewis (The University of Manchester and California Institute of Technology); Guy DeRose (California Institute of Technology); Hayden Alty (The University of Manchester); Nathan Lee, Matthew Hunt (California Institute of Technology); Richard Grindell, Mark Little, Stephen Yeates, (The University of Manchester); Axel Scherer (California Institute of Technology); Richard Winpenny (The University of Manchester)

A new class of positive tone electron beam nanocomposite resists have been developed that contain metal organic components. These materials produce a high resolution of 50 nm half pitch and extraordinarily high etch selectivity of 20:1 (silicon etching) when subjected to a pseudo-Bosch inductively coupled plasma–reactive-ion etch (ICP–RIE).

4A-3
Electron and Ion Beam Lithography/Post Processing/Applications

PHIDL: Intuitive GDS layout and CAD geometry creation for Python, Adam McCaughan, Alexander Tait, Sonia Buckley, Jeffrey Chiles, Sae Woo Nam (NIST)

We have developed PHIDL, an open-source GDSII-based CAD tool for Python. PHIDL allows intuitive-but-powerful generation of geometries and has a large library of premade geometry functions ready for use, including basic shapes, text, lithographic test structures, boolean operations, placement and automatic packing algorithms, superconducting nanowires, and photonic routing.

4A-4
Electron and Ion Beam Lithography/Post Processing/Applications

EXCALIBUR: A Monte Carlo Simulation for the Design of Lithographic Resists, Hayden Alty, Scott Lewis (The University of Manchester); Guy DeRose (California Institute of Technology); Richard Winpenny (University of Manchester); Axel Scherer (California Institute of Technology)

The aim of this presentation is to explain the whole resist development process from simulation, using EXCALIBUR, to characterisation and the success this technique has had in developing ultra-high resolution negative tone resists for both ion and electron beam lithography and streamlining the time expensive process of characterising new resists.

4A-5
Electron and Ion Beam Lithography/Post Processing/Applications

Multibeam scanning electron microscopy with transmission detection, Wilco Zuidema, Jacob Hogenboom, Pieter Kruit (Delft University of Technology); Job Fermie (DELMIC); Radim Šejnoha (Thermo Fisher Scientific)

We demonstrate the working principles and image collection in a streamlined multibeam STEM system dedicated to fast imaging of thin sections of biological material.

4A-6
Electron and Ion Beam Lithography/Post Processing/Applications

Nanoscale Fabrication of Perpendicular Magnetic Tunnel Junctions with Synthetic Antiferromagnetic Free Layers, Deyuan Lyu, Onri Benally, Delin Zhang, Yang Lv, Zhengyang Zhao (University of Minnesota, Twin Cities); Daniel Gopman (NIST); Jian-Ping Wang (University of Minnesota, Twin Cities)

We report the first experimental results of nano-sized MTJs fabricated with photo/electron beam lithography and Ar+ ion milling based on SAF free layer materials.

Thursday, June 3, 2021
9:10 am – 10:00 am Pacific Time
Session 4B – Materials and Pattern Transfer Techniques
4B-1
Materials and Pattern Transfer Techniques

Ultrahigh Aspect Ratio Silicon Nanoporous Microstructures Coated Using ALD with Nucleation Enhancement for Energy Storage and Other Applications, Donald Gardner (Stanford SLAC National Accelerator Labs); Kenan Li (SLAC National Accelerator Laboratory); William Thompson, Anne Sakdinawat (SLAC)

Ultrahigh aspect-ratio structures are important for x-ray optics, energy storage, and sensors. Atomic layer deposition with nucleation and growth enhancement was developed to coat nanoporous silicon microstructures with aspect ratios up to 500:1, an order of magnitude higher than before. Devices prepared with these structures provide integrated on-chip energy storage.

4B-2
Materials and Pattern Transfer Techniques

VM Modeling of Reactive Ion Etching Based on Statistics-Based and Dynamics-Inspired Spectral Features, Kun-Chieh Chien, Chih-Hao Chang, Dragan Djurdjanovic (The University of Texas at Austin)

Virtual metrology refers to methods that employ manufacturing process related data and relevant sensor readings to predict properties of the product. The initial results examining the end-point detection of a single RIE step indicate that the approach is viable and performs better compared with traditional feature identification by user experience.

4B-3
Materials and Pattern Transfer Techniques

Novel hybrid resist platform for nanolithography: Ex-situ vapor-phase infiltration into conventional organic resists, Nikhil Tiwale (Brookhaven National Laboratory); Ashwant Subramanian (Stony Brook University); Kim Kisslinger, Ming Lu, Aaron Stein (Brookhaven National Laboratory); Chang-Yong Nam (Brookhaven National Laboratory, Stony Brook university)

We demonstrate organic-inorganic hybrid resist platform featuring versatile ex-situ control of resist performance by incorporating inorganic elements into standard organic resists using vapor-phase infiltration. Along with etching resistance suitable for high-aspect pattern transfer, we report controllable EBL-EUVL performance, potentially paving the way for robust high sensitivity hybrid resists.

4B-4
Materials and Pattern Transfer Techniques

Using block-copolymer nanolithography as a tool to sensitively evaluate variation in chemical dry etching rates of semiconductor materials with sub-5 nm resolution, Elizabeth Ashley, Peter Duda, Paul Nealey (University of Chicago)

Top-down image analysis of hexagonal hole arrays created in silicon using block-copolymer nanolithography was used to evaluate differences in dry etch rate between n-type, p-type, and intrinsic silicon.  By analyzing the hole areas and extracting the average radius and porosity, sub-nm differences in chemical etch rate could be extracted.

4B-5
Materials and Pattern Transfer Techniques

Flexible ITO electrodes employing nanostructures for crack growth retardation, Wen-Di Li, Chuying Sun, Liyang chen (the University of Hong Kong); Jingxuan Cai (Sun Yat-sen University)

The fabrication of nanostructured ITO (nano-ITO) electrodes with improved flexibility is reported. The nano-ITO electrode is simply fabricated by two steps of nanoimprint lithography and magnetron sputtering. The experimental and simulated results confirmed the nano-ITO electrodes as a promising candidate for the next generation flexible electrode.

4B-5
Materials and Pattern Transfer Techniques

Identifying EUV Attenuated Phase Shifting Mask Absorber Materials using EMA Modelling, Rajiv Sejpal, Bruce Smith, Rochester Institute of Technology

Solution space for material candidates as EUV attenuated phase shifting mask absorber that can meet phase, transmission, and thickness requirements is identified using k-n plots. The selection of the potential candidates is then carried out using effective media approximation (EMA) modelling, while achieving the necessary stability and processing attributes.

Thursday, June 3, 2021
9:10 am – 10:00 am Pacific Time
Session 4C – Imaging, Modeling and Characterization
4C-1
Imaging, Modeling and Characterization

WITHDRAWN

AFM-in-SEM: The Novel Approach to Multimodal, Correlative Microscopy Using CPEM Technology, Veronika Hegrova, Josef Horak, Zdenek Novacek, Michal Pavera, Jan Neuman (NenoVision)

4C-2
Imaging, Modeling and Characterization

Understanding the fundamental limitations of PMMA resist for EUV exposures based on dissolution rate variations through EUV dose, Amir Hegazy, Gregory Denbeaux (SUNY Polytechnic Institute)

This study focuses on understanding the fundamental limitations of PMMA exposure to EUV that leads to LER and printing failures. We study the photon-PMMA interactions in EUV using dissolution rate as an indicator of exposure induced change in molecular weights. Consequently, we calculated number of reactions per photon absorbed.

4C-3
Imaging, Modeling and Characterization

Focused Ion Beam Patterning for Defect-Mediated Nucleation on 2D van der Waals Materials, Vera Zarubin, Kate Reidy, (Massachusetts Institute of Technology); Yang Yu (Raith America Inc.); Ilya Charaev, Joachim Thomsen, Julian Klein, Frances Ross (Massachusetts Institute of Technology)

We use focused ion beams to create specific defects on suspended 2D materials (graphene, MoS2, WSe2). We study the extent to which the defects act as nucleation sites for Au, and tune deposition conditions and surface cleanliness to facilitate self-assembly of specific nanoisland shapes.

4C-4
Imaging, Modeling and Characterization

Computational Nanometrology in Line Edge Roughness Measurements: Noise and Pixelization Effects, George Papavieros, Vassilios Constantoudis, Evangelos Gogolides (Institute of Nanoscience and Nanotechnology of NCSR Demokritos)

A short overview of the mathematical and computational methods used in LER metrology,
with special emphasis on noise effects and machine learning methods as well as a mathematical modelling approach of generating synthesized SEM images in an effort to demonstrate the pixelization effects on LER measurement

4C-5
Imaging, Modeling and Characterization

Nanometer traceability of localization microscopy, Craig Copeland, Ronald Dixson, Andrew Madison, B. Robert Ilic, Samuel Stavis (NIST)

Interest in super-resolution optical microscopy has increased dramatically over the last decade. However, localization measurements are often precise but not accurate, with systematic effects dominating random effects across an imaging field. To solve this critical problem, NIST is developing new standards and calibrations that enable traceability at the nanometer scale.

4C-6
Imaging, Modeling and Characterization

High Resolution Imaging and Analytics for Nanoscience, Frederick Pearsall, William Harris, Fang Zhou, Carl Zeiss Microscopy

Friday, June 4, 2021
8:10 am – 9:00 am Pacific Time
Session 5A – Micro-and Nanoscale Mechanical Devices II
5A-1
Micro-and Nanoscale Mechanical Devices II

MEMS-Based Scanning Tunneling Microscopy, Afshin Alipour, S. O. Reza Moheimani, (The University of Texas at Dallas); James Owen, William Owen, Ehud Fuchs, John Randall (Zyvex Labs)

We address low-throughput problem of Scanning Tunneling Microscopes (STMs) by replacing Z-axis of a commercial Ultra-High-Vacuum (UHV) STM piezotube with a high-bandwidth one-degree-of-freedom Microelectromechanical-System (MEMS) device. The MEMS device is integrated into the UHV STM system and is successfully used to take STM images of a sample surface.

5A-2
Micro-and Nanoscale Mechanical Devices II

Transmissive Microshutter Arrays, Li Jiang (Tuskegee University)

A two-dimensional array of transmissive microshutters is designed in which light throughput can be digitally modulated at each pixel. This function is important for certain instruments, such as the near infrared spectrometer to be carried on the James Webb Space Telescope, microscopes, telephoto lenses, or digital light processors.
5A-3
Micro-and Nanoscale Mechanical Devices II

Approaching the stress-free limit in ultrathin doubly-clamped nanomechanical resonator, Jian Zhou, Nicolaie Moldovan, Liliana Stan, Haogang Cai, David Czaplewski, Daniel López (Argonne National Laboratory)

As the thickness of the vibrating structures is reduced, the built-in strain of the structural materials plays an increased role in determining the mechanical performance of the devices. Here we demonstrate the realization of ultrathin doubly clamped nanomechanical resonators with an axial strain in the scale of 10^8.

5A-4
Micro-and Nanoscale Mechanical Devices II

Electron wave front modulation in (S)TEM with patterned mirrors, Maurice Krielaart, Pieter Kruit, (Delft University of Technology)

We propose a technique based on electron mirrors that could enable the arbitrary shaping of the electron wave front inside a modified (scanning) transmission electron microscope. Possible application areas are multi pass and quantum electron microscopy, and structural hypothesis testing.

Friday, June 4, 2021
8:10 am – 9:00 am Pacific Time
Session 5B – Biomedical Devices II
5B-1 (Invited)
Biomedical Devices II

Moonshot: Nanofabricating neural networks, Regina Luttge (Eindhoven University of Technology)

Current networks of neurons show a biology, which represents functions similar to those reported for fetal human brain. Human brain modelling on chip can shine light on in vivo-like message passing from neuron to neuron in a dish.

5B-2
Biomedical Devices II

Planar Figure-8 Coils For Ultra-Focal And Directional Micromagnetic Brain Stimulation, Hongbae Jeong (Massachusetts General Hospital, Harvard Medical School); Jiangdong Deng (Harvard University); Giorgio Bonmassar (Harvard Medical School)

Recently, white matter fiber tract pathways carrying neural signals through the brain were shown to follow curved, orthogonal grids. This abstract focuses on how these 3D fiber grids may be stimulated using μMS, a new type of neuronal stimulation, which generates microscopic eddy currents capable of directionally activating neurons.

5B-3
Biomedical Devices II

Microspheres Enhanced IMPACT Chip for Simple and Instrument-Free CRISPR Detection, Mengdi Bao, Kenneth Hass, Yu Chang (Rochester Institute of Technology); Myeongkee Park (Dong-A University); Ke Du (Rochester Institute of Technology)

In this work, we further improve the Integrated Micropillar Polydimethylsiloxane Accurate CRISPR detection (IMPACT) system developed by us into a simple platform for naked-eye fluorescence detection. Rather than using the conventional organic dyes, the microchannel patterned with high-aspect-ratio micropillars is loaded with fluorescent microspheres with a strong fluorescence emission.
5B-4
Biomedical Devices II

Light Activated Drug Delivery from Electrospun Bandages Using Plasmonic Dopants, Jessica Andriolo, McKenzie Joseph (Montana Technological University); Mark Griep (CCDEVCOM Army Research Laboratory); Jack Skinner (Montana Technological University)

Here, we present electrospun bandages composed of core-shell fibers that contain plasmonic dopants in the shell and microfluidic channels that carry treatment in the core. These bandages are made with biocompatible, hydrophobic polymer enabling storage and light activation when needed. Plasmonic coupling will be studied to predict bulk heating.

5B-5
Biomedical Devices II

Body in a Cube: A Multi-Organ Microphysiological System with Near-Physiological Amounts of Blood Surrogate, Mandy Esch (NIST); Longyi Chen (University of Maryland); Hidetaka Ueno (National Inst. of Advanced Industrial Science and Technology)

We have, developed an MPS with four physiologically scaled organ chambers (GI tract, liver, kidney, and bone marrow, and, unlike any other MPS published to date, with near-physiological amounts of blood surrogate. We show that the system is suitable for testing acute primary and secondary toxicities of small molecule drugs.

Friday, June 4, 2021
8:10 am – 9:00 am Pacific Time
Session 5C – Electron and Ion Beam Lithography/Deposition/Etching
5C-1
Electron and Ion Beam Lithography/Deposition/Etching

Ultrafast growth of metallic deposits by focused ion beam irradiation under cryogenic conditions (Cryo-FIBID), Jose De Teresa (CSIC-University Of Zaragoza); Alba Salvador-Porroche, Soraya Sangiao, Pilar Cea, Cesar Magen (Instituto de Nanociencia y Materiales de Aragón); Patrick Philipp (Luxembourg Institute of Science and Technology)

Here, we will show the application of Cryo-FIBID to other precursors beyond W(CO)6, with the aim of obtaining ultrafast growth of metallic deposits, and eventually additional functional properties. In particular, we will discuss the results obtained using the (CH3)3Pt(CpCH3) precursor, which is commonly found in commercial FIB equipment.

5C-2
Electron and Ion Beam Lithography/Deposition/Etching

Novel Platinum Precursors for Focused Electron Beam Induced Deposition: PtCl2(CO)2 and PtBr2(CO)2, Aya Mahgoub, Cornelis (Kees) Hagen, (Delft University of Technology); Rachel Thorman, Howard Fairbrother (Johns Hopkins University); Hang Lu, Lisa McElwee-White (University of Florida)

The composition of material deposited by FEBID depends, partly, on the precursor molecules used. Two new platinum precursors, PtCl2(CO)2 and PtBr2(CO)2 were synthesized and successfully tested using a standard FEBID process in an SEM, and compared to deposits made in UHV. Remarkably different results were obtained.
5C-3
Electron and Ion Beam Lithography/Deposition/Etching

WITHDRAWN

Work Function and Electronic Structure Measurements on Nitrogen-doped LaB6 Thin Film Prepared by RF Sputter Deposition, Katsumi Nagaoka (National Institute for Materials Science); Kyung Eun Park; Shun-ichiro Ohmi (Tokyo Institute of Technology)

5C-4
Electron and Ion Beam Lithography/Deposition/Etching

Comparison of alignment markers and method for electron-beam lithography on CMOS dies, Raphaël Dawant, Serge Ecoffey, Dominique Drouin, (Université de Sherbrooke); Robyn Seils, Rainer Schmid (Raith America Inc)

CMOS back-end-of-line integration is a very promising technology for the next generations of integrated circuits. Yet, electron beam lithography and alignment on multiple underlying metal layers and structures is challenging. In this paper, we present an image correlation strategy, procedure and markers to reach alignment accuracy better than 5 nm.

5C-5
Electron and Ion Beam Lithography/Deposition/Etching

Nano Aperture Ion Source Fabricated Using 3D Focused Electron Beam Induced Deposition, Aya Mahgoub, Dustin Laur, Cornelis (Kees) Hagen; Pieter Kruit (Delft University of Technology)

We present the design and fabrication of a novel nano aperture ion source, based on electron impact ionization of gases. The design consists of two concentric hollow cones deposited on a double membrane using FEBID. We describe the fabrication process and the challenges in optimizing the deposition efficiency.

5C-6
Electron and Ion Beam Lithography/Deposition/Etching

Ultra-deep micro-axicons in lithium niobate by focused Xe ion beam milling, S. Gorelick, A. de Marco, Monash University

Using high current focused Xe ion beam milling we fabricated ultra-deep and high quality micro-axicons in such a challenging material for microfabrication as lithium niobate. The axicons generate sub-wavelength focused beam over extended depths of focus with potential applications in microscopy and particle trapping.

Friday, June 4, 2021
9:10 am – 10:00 am Pacific Time
Session 6A – Nanofluidic Nanobiology and Nanomedicine
6A-1 (Invited)
Nanofluidic Nanobiology and Nanomedicine

Single molecule detection in multifunctional nanofluidic devices, Franziska Esmek, Tim Erichlandwehr, Dennis Mors, Manuel Müller, Rukan Nasri, Malte Wahmhoff, Anton Kettner, Leon Seggering, Hoan Vu, Irene Fernandez-Cuesta, (University of Hamburg)

Single use, all plastic, all transparent nanofluidic devices are used for single molecule detection. The nanochannels have lateral dimensions below 100 nm, allow for spontaneous flow, and are used for example for DNA optical mapping. In addition, integration with plasmonics or suspended structures will be shown.

6A-2
Nanofluidic Nanobiology and Nanomedicine

Fabrication of Silicon Micro Tips for Microbial Cell Lysis Applications, Pavani Vamsi Krishna Nittala (The University of Chicago/ Argonne National Laboratory); Abhiteja Konda, Ralu Divan (Argonne National Laboratory); Supratik Guha, Anindita Basu, (The University of Chicago)

Our goal in this paper is to demonstrate a process flow for the fabrication of silicon tips using KOH based wet etching and Cryo or Bosch based dry etching approaches.
6A-3
Nanofluidic Nanobiology and Nanomedicine

High Throughput DNA Optical Mapping in Real-Time on 3D Nanofluidic Devices, Franziska Marie Esmek, Irene Fernandez-Cuesta, (University of Hamburg)

We have developed a new technology for detecting single DNA molecules on-chip. A nanofluidic device was fabricated in a multi-step process to unfold the molecules in 3D inlets and guide them inside the nanochannels. No external field is applied, allowing high throughput and generate enormous data in real-time.
6A-4
Nanofluidic Nanobiology and Nanomedicine

Deformable Microbeads-stacked Nanodevice for Blood Plasma Separation and Blood Cells Retrieval, Xinye Chen, Shuhuan Zhang, (Rochester Institute of Technology); Yu Gan (University of Alabama); Ruoqian Wang (Rutgers, The State University of New Jersey); Rui Liu, Ke Du, (Rochester Institute of Technology)

Blood separation and processing is a vital and essential step in numerous medical and clinical tests. We present here a unique deformable nanodevice functionalized by three-dimensional (3D) microbeads for plasma separation and blood cells retrieval.

Friday, June 4, 2021
9:10 am – 10:00 am Pacific Time
Session 6B – Nanophotonics and Plasmonics II
6B-1 (Invited)
Nanophotonics and Plasmonics II

A new label-free plasmonic imaging technique for quantitative analysis of transparent nanoscale objects approaching molecular size, Nareg Ohannesian, Wei-Chuan Shih, (University of Houston);

Label-free optical observation of transparent nano-objects is challenging. We present PlAsmonic NanO-apeRture lAbel-free iMAging (PANORAMA) to image, size, count and monitor single nanoparticle with a size limit approaching sub-10 nm. Molecular imaging is envisioned with functionalized substrates for single nanoparticle analysis for extracellular vesicles (e.g., exosomes) and pathogens (e.g., viruses).
6B-2
Nanophotonics and Plasmonics II

Omnidirectional and band-tunable light absorption in free-standing dielectric-metal core-shell resonator arrays, Hao Zhang, Boyang Ding, Richard Blaikie, (The University of Otago)

We develop a broadband and omnidirectional tunable resonators with polarization-free high light absorption by preparing a hexagonally patterned array of free-standing SiO2_Ag core-shell nanoparticles. It is the result of hybrid optical modes consisting of Plasmon modes and whispering gallery modes.
6B-3
Nanophotonics and Plasmonics II

The Fabrication of Bipartite Plasmonic Arrays for Lasing Applications, Aran Warren, Maan Alkaisi,Ciaran Moore, (University of Canterbury)

We present optically resonant bipartite metal nano-cylinder arrays fabricated using electron beam lithography. The arrays utilise two different structure sizes with diameter differences as small as 10 nm. We show that this can be achieved and that the arrays show a resonance response that agrees with electromagnetic simulations.

6B-4
Nanophotonics and Plasmonics II

Probing the Mechanisms of Strong Fluorescence Enhancement in Plasmonic Nanogaps with Subnanometer Precision, Boxiang Song; Zhihao Jiang, Zerui Liu, Yunxiang Wang, Hao Yang, Deming Meng, Buyun Chen, Pan Hu, Tse-Hsien Ou, Stephen Cronin, Stefano Cabrini (Lawrence Berkeley National Laboratory); Stephan Haas, Adam Schwartzberg (Lawrence Berkeley National Laboratory); Wei Wu (University of Southern California)

We demonstrated a technology to experimentally investigate plasmon enhanced fluorescence at the sub-nanometer scale, where strong fluorescence quenching occurs. We have experimentally identified optimal gap sizes for maximum plasmon enhanced fluorescence with tunable dielectric spacers.
6B-5
Nanophotonics and Plasmonics II

Nanofabricated Plasmonic Resonators on Optically Active Materials for Hydrogen Photocatalysis, Mohsin Ijaz, Boyang Ding, Richard Blaikie, (University of Otago)

The fabrication of large area silver nano-gratings has been reported using optical interference lithography. The coupling of these plasmonic resonators with optically active quantum dots indicates significant enhancement in photoluminescence signal. Furthermore, The coupling with WS2 monolayers and MoS2/WS2 hetro-bilayers to enhance photocatalytic hydrogen conversion will also be discussed.
Friday, June 4, 2021
9:10 am – 10:00 am Pacific Time
Session 6C – Novel Patterning
6C-1 (Invited)
Novel Patterning

A setup for in-situ optical, thermal and X-ray imaging of laser sintering of polymer particles, Prakhyat Hejmady, Lambèrt van Breemen, Patrick Anderson, (Eindhoven University of Technology); Ruth Cardinaels (KU Leuven)

Selective laser sintering is a 3D printing technology wherein particles are locally heated with a laser beam to be molten and sintered together. We present a setup for real-time studies of the structural evolution during laser sintering of polymer particles, using optical and thermal microscopy as well as X-ray scattering.
6C-2
Novel Patterning

Chip-scale fabrication of FETs by a combination of thermal scanning probe lithography and direct laser sublimation, Tero S. Kulmala, Emine Cagin, Samuel Bisig, (Heidelberg Instruments Nano); Heiko Wolf, Daniel Widmer, Ute Drechsler, Philippe Nicollier, Francesca Ruggeri, Armin W. Knoll, (IBM Research - Zurich)

Thermal scanning probe lithography can reach a resolution down to 10 nm but has a relatively modest throughput. Therefore, direct laser sublimation of resist has been introduced for significantly faster patterning of lower-resolution features. Here, we demonstrate chip-scale mix-and-match fabrication of field effect transistors via combined tip and laser patterning.

6C-3
Novel Patterning

A Mixed Mathematical and Experimental Model for Energy Storage in Electrospun Mn2O3 Supercapacitor Electrodes, Molly Brockway, Jack Skinner, (Montana Technological University)

Electrospun Mn2O3 supercapacitor electrodes have been fabricated and characterized. Experimental determination of system parameters, including pseudocapacitive and double layer  processes, are used to derive a mathematical model describing electrochemical energy storage. The mixed theoretical and experimental model is compared to the observed system.

6C-4
Novel Patterning

An Easy-to-fabricate Testing Chip for Electrical Characterization of Nano-particles, Ming Lu (Brookhaven National Laboratory)

A new method is reported to reduce the cost and complicity of electronic property characterization of nanoparticles, using specially designed test bed chips. This method is universally usable for a broad size range of nanoparticles and the test chips are fabricated using basic microfabrication tools.

6C-5
Novel Patterning

Closed-Loop Nanopatterning of Liquids with Dip-Pen Nanolithography, Verda Saygin, Bowen Xu, Sean B. Andersson, Keith Brown, (Boston University)

We describe a closed-loop method for patterning liquid samples using Dip-Pen Nanolithography. The proposed work addresses a pervasive issue in scanning probe lithography, namely real-time closed-loop control over patterning, and scanning probe lithography of liquids as a candidate for the robust nanoscale manipulation of liquids for advanced high throughput chemistry.

Wednesday, June 2, 2021
1:00 pm – 2:30 pm Pacific Time
Start Up Session and Contest
SU

Deep learning-based techniques for image analysis on TEM and SEM imagery, Julien Baderot (POLLEN METROLOGY); Debaleena Misra (POLLEN METROLOGY); Nicolas Clement (POLLEN METROLOGY); Ali Hallal (POLLEN METROLOGY); Sergio Martinez (POLLEN METROLOGY); Johann Foucher (POLLEN METROLOGY)

The application of combined machine and deep learning-based techniques in nano-scale research and development, can lead to great efficiency in the domain of microscopy image analysis and measurement. This paper will explain and present our framework apply to selected examples from our internal data in semiconductor and non-semiconductor applications.

SU

Nanofactories of the future, Maksym Plakhotnyuk (CEO and Founder)

ATLANT 3D Nanosystems is disrupting the microfabrication industry and bringing it into the Industry 4.0 with our Nanofabricator. We want to make a paradigm shift in our civilization to more advanced smart technologies on Earth and beyond, shift to nanofabricators and smart nano factories.

SU

TERA-print - Startup Contest Application, Andrey Ivankin (TERA-print, LLC); Jared Magoline (TERA-print, LLC); Michael Jacobsson (TERA-print, LLC)

TERA-print is a nanotechnology company focused on unlocking the nanofabrication potential of cantilever-free scanning probe lithography. We aim to transform the way researchers prototype and fabricate at the nanoscale and, as such, open up new applications in bioengineering, chemistry, materials science, and physics by developing new cantilever-free scanning probe lithography-powered tools and technologies.

Industry Session
I-1
Saes Getters S.p.A.

Features & Benefits of NEXTorr® pumps in e-beam systems, Andrea Cadoppi (Saes Getters S.p.A.)

Theoretical and practical aspects of NEG pumps technology will be presented, with particular focus on the unique benefits in electron microscopy and e-beam lithography: the extreme compactness; the possibility to transport the gun under vacuum in total absence of power; the extended source lifetime.

I-2
Nanoscribe GmbH & Co. KG

Two-photon grayscale lithography, Benjamin Richter, Michael Thiel, Yann Tanguy, Nicole Lindenmann, Alok Tungal, Roman Rainer, Matthias Blaicher, Joerg Hoffmann, Thomas Sauter, Fabian Niesler, Tiemo Gissibl, Andre Radke (Nanoscribe GmbH & Co. KG)

Two-Photon Grayscale Lithography (2GL®) is a breakthrough innovation uniting the strengths of grayscale lithography with the precision and flexibility of Two-Photon Polymerization (2PP). This merger results in an enormous design freedom inherited from 2PP-based additive manufacturing and at the same time costeffective microfabrication of 2.5-dimensional topographies with optical-quality surfaces.

I-3
LatticeGear

Wafer dicing by cleaving: A fast, clean, dry and accurate, Janet Teshima (LatticeGear)

It is often thought that cleaving can only be applied to crystalline materials such as silicon and GaAs and that it is an inherently dirty and imprecise process. Dicing by cleaving can be applied to a wide variety of wafer substrates including silicon, sapphire, GaAs, GaN, InP, SiC,  and glass.

I-4
Heidelberg Instruments Nano

Thermal scanning probe lithography today and tomorrow: avenues for scale-up, Emine Cagin (Heidelberg Instruments Nano)

The NanoFrazor is gaining popularity in solving complex nanofabrication challenges at research facilities worldwide. The tool enables new nanodevices, processing of highly sensitive materials (also in a glovebox) and sub-nanometer 3D lithography. We present the recent developments for scaling the technology up for ever larger areas and higher throughput.
I-5
Raith GmbH

Cryo-Cathodoluminescence Integration, multiple Ion Species and a new EBPG: About Highlights from dedicated and multifunctional Raith EBL and FIB-SEM Systems, Frank Nouvertne, Torsten Richter, Christiaan Zonnevylle, (Raith GmbH)

We will highlight latest Raith innovations in the segments of dedicated and multifunctional EBL and FIB-SEM tools: a world´s first EBL system with integrated in-situ cryo-cathodoluminescence functionality, a new multiple ion species source for FIB and the release of a new EBPG Plus system with superior world class performance specs.

I-6
Zyvex Labs

From STM to Lithography Tool, James Owen, John Randall, Ehud Fuchs, Moutaz Haq, Bill Owen, Robin Santini, (Zyvex Labs); Reza Moheimani, Hamed Alemansour, Afshin Alipour (The University of Texas at Dallas)

Zyvex Labs has been working to convert a Scanning Tunnelling Microscope into an Atomic-Precision Lithography Tool. We describe the various advances in STM hardware and control systems that go into making this leap.
I-7
GenlSys, Inc.

Meet ProSem, Richard Bojko (GenISys, Inc.)

ProSEM makes automated feature size (CD) measurements from your saved SEM images, with a user interface designed for simplicity and productivity. Powered by efficient measurement algorithms, ProSEM provides you with fast, reliable, repeatable measurements, for improved process calibration, monitoring, and day-to-day tasks.

I-8
Heidelberg Instruments Inc.

Direct Write Lithography systems from Heidelberg Instruments, Niels Wijnaendts van Resandt (Heidelberg Instruments Inc.)

The versatility of using direct write lithography systems for micro and nano patterning has created the need for tools for a broad range of applications, all with different requirements in specifications and cost.
This talk will give an overview of Heidelberg Instruments solutions for research and industry applications.

I-9
Micro resist technology GmbH

Innovations in photoresists and photopolymers for 2D / 3D micro and nano fabrication, Anja Voigt (micro resist technology GmbH)

High fidelity 2D and 3D micro and nanometer structures are facilitated by a number of fabrication methods which employ numerous concepts of lithographic patterning. In our contribution we seek to review our material innovations for scientific work where emerging nanofabrication is employed as well advanced production with industrial relevance.

Wednesday, June 2, 2021
Poster Sessions
W01
Advanced Lithography

Improving the Sensitivity of Metallic Organic Resists, Ahmad Chaker, Hayden Alty, Scott Lewis, The University of Manchester, California Institute of Technology, ***Sci-Tron Ltd, Richard Winpenny, The University of Manchester, **Sci-Tron Ltd

New resists are required to advance the nanoelectronics industry to keep up the demands of Moore’s law. A new class of high dry etch selectively electron beam resist materials have been developed that are based on a family of heterometallic rings.

W02
Advanced Lithography

Improvements on Maskless Grayscale Lithography in thick positive photoresist, Dominique Collé, Heidelberg Instruments, Doc Daugherty, Daniel Ritter, Thomas Michels, Genisys GmbH, Gerda Ekindorf, Heidelberg Instruments

Maskless Grayscale lithography is a key technology to create structured surfaces in photoresist, especially for micro-optic applications. Processes of low-contrast positive resist are well known and used for thicknesses up to 60 µm. The possibility to fabricate higher structures, i.e. 80 µm, 100 µm high and beyond, are of great interest in the micro-optic world. With some double- and triple-coated layers of an experimental resist, we could make structures 83µm and 100µm tall. The triple- coated layer showed some limitations that we plan to overcome to go beyond 100µm.

W03
Advanced Pattern Transfer Concepts

WITHDRAWN

Patterning of Chromium Oxide (Cr2O3) as a Hard Mask by Plasma Etching, Huseyin Ekinci, Bo Cui, Dmitry Pushin, University of Waterloo

W04
Advanced Pattern Transfer Concepts

Investigation of reactive ion etching on diamond using electroplated nickel hardmask for fabricating x-ray diffractive gratings, Kenan Li, Yanwei Liu, Donald Gardner, Anne Sakdinawat, Stanford SLAC National Accelerator Labs,

Diamond has emerged as an essential material for x-ray diffractive optics. We used electroplated nickel as hardmask to etch diamond with oxygen-based reactive ion etching. Diamond gratings either with a high aspect ratio of up to 25 or with a high quality of etching profile and depth uniformity were achieved.

W05
Biomedical Devices

WITHDRAWN

An Ultra-Compact Precision Liquid Drug Delivery System Based on Cascading MEMS Membrane Pumps, Youwei Jiang, Southern University of Science and Technology, Hanguang Zhao, HealTell (GuangZhou) Medical Technology Corp., Yu Liu, Rifei Chen, Southern University of Science and Technology, Zhilei Li, Boyuan Zhou, Yibo Xu, HealTell (GuangZhou) Medical Technology Corp., Xing Cheng, Southern University of Science and Technology

W06
Electron and Ion Beam Lithography and Technologies

In-situ Study of the Impact of Aberration-Corrected Electron-Beam Lithography on the Electronic Transport of Suspended Graphene Devices, Fernando Camino, Brookhaven National Laboratory, Naomi Mizuno, Xu Du, Stony Brook University

We characterize in-situ the impact of aberration-corrected electron-beam lithography (AC-EBL) on the performance of suspended graphene field-effect transistors. When AC-EBL is performed on the vicinity of a graphene channel, detrimental effects can be removed by vigorous annealing, while the damage caused by AC-EBL performed directly on a channel is irreversible.

W07
Electron and Ion Beam Lithography and Technologies

Reduction of Exposing Time in Massively-Parallel E-beam Systems, M. Nabid Hasan, Soo-Young Lee, Auburn University, Byung-Sup Ahn, Jin Choi, Joon-Soo Park, Samsung Electronics

Massively-parallel electron-beam systems are developed to improve the writing throughput.  Two different methods to further decrease the writing time are investigated, i.e., reducing the maximum dose difference in a feature and utilizing empty cycles (with no beam on) in the conventional writing methods.

W08
Electron and Ion Beam Lithography and Technologies

A modular 100 keV vacuum sealed FEG, Mohamed El-Gomati, Torquil Wells, Xiaoping Zha, Richard Sykes, York Probe Sources Ltd, Richard Henderson, Chris Russo, Greg Mc Mullen, Laboratory of Molecular Biology, Medical Research Council, UK

A modular, UHV sealed FEG operational betwee 30-100 keV has been developed. This design lends itself to integration onto thermionically operated TEMs, EBL into FEG operation or in R & D applications. Graphitic carbon and gold particles have been resolved confirming a resolution of better than 0.24nm at 60-100 keV.
W09
Electron and Ion Beam Lithography and Technologies

Multiple Electron-Beam Generation from InGaN Photocathode, Daiki Sato, Haruka Shikano, Anna Honda, Atsushi Koizumi, Tomohiro Nishitani, Photo electron Soul Inc., Yoshio Honda, Hiroshi Amano Nagoya University

In this contribution, the generation of multiple electron-beam from an InGaN photocathode was demonstrated. 25 electron beams were observed by irradiating 25 lasers. The averaged diameter was 0.6 mm with a gaussian distribution. The deviation in diameter was 17%.

W10
Electron and Ion Beam Lithography and Technologies

Bend the curve: the benefit of optical proximity correction in direct writing lithography  simulation and experiment, Jan Erjawetz, Sijia Xie, Vitaliy Guzenko, Helmut Schift, Paul Scherrer Institut (PSI), Daniel Ritter, Aditya Reddy, Thomas Michels, GenISys GmbH

For direct writing laser lithography, GenISys BEAMER and LAB software allows for correcting proximity effects and to achieve fast approximation of a desired shape without tedious iterations. We show the importance of parameters such as vertical focus variation on staircase structures and lens-like shapes using a Heidelberg Instruments DWL 66+.

W11
Electron and Ion Beam Lithography and Technologies

Investigation of Non-Charging Exposure Conditions for Insulating Resist Films in Electron Beam Lithography, Kentaro Kojima, Kento Kubo, Yoshinobu Kono, Masatoshi Kotera, Osaka Institute of Technology

After irradiating the electron beam, we measured the surface potential with an electrostatic force microscope (EFM). In the presentation, we will explain the measurement results using the model we devised.

W12
Electron and Ion Beam Lithography and Technologies

Surface Wetting on Micro-milled or Laser-Etched Aluminium with Ion-Beam Post-Processing, Kirill Misiiuk, Sam Lowrey, Richard Blaikie, University of Otago, Josselin Juras, Andrew Sommers, Miami University, Jérôme Leveneur, GNS Science

We investigate the surface wetting properties for a range of micro/nanofabricated topography on aluminium surfaces supported by ion-beam processing to enhance liquid-solid interaction.

W13
Imaging and Characterization

Development of Tilt-SEM for In-line 3D Measurement and Inspection of Semiconductor Devices, Nobuhiro Okai, Makoto Sakakibara, Hitachi, Ltd., Naomasa Suzuki, Yasunari Sohda, Katsunori Onuki, Hitachi High-Tech Corporation

We developed tilt-SEM which can load and tilt a wafer to observe semiconductor devices from various directions. Simulated tilt-SEM images show similar contrast with experimental ones. We demonstrate that assist electrode reduces image drift caused by electron optics less than 1 micrometer when wafer is tilted up to 55 degrees.

W14
Imaging and Characterization

Real-Time Metrology for Roll-to-Roll Microcontact Print Process Monitoring, Xian Du, Jingyang Yan, Rui Ma, UMass Amherst

We present a real-time imaging technique for inspecting the online R2R µCP pattern by condensation figures (CFs). The real-time desirable CFs are achieved through a controlled open condensation chamber and a synchronous imaging process.
W15
Imaging and Characterization

A local threshold method for measurement of nanoparticle sizes in the SEM, John Villarrubia, Natalia Farkas, András E. Vladár, John Kramar, National Institute of Standards and Technolog

For best accuracy, SEM nanoparticle size measurements should account for the e-beam/particle interaction physics, but the best models are not available for all materials. We here show that a simple threshold model’s particle boundary assignments differ by tenths of a nanometer from those of a  Monte Carlo dielectric function model.

W16
Micro- and Nanofluidics

Detection of network burst activity in a MEMS-based 3D neuronal cell culture system, Yagmur Demircan Yalcin (Dr.),  Alex Bastiaens, Jean-Philippe Frimat, Regina Luttge, Eindhoven University of Technology

This work presents the analysis of spike, burst, and network burst activity in a microfluidic brain-on-chip. A collection of activities in the electrophysiological recordings, measured by multielectrode arrays, provided strong clues for the maintenance of human induced pluripotent stem cells-derived cortical neuron cultures in 3D for 37 days.
W17
Micro- and Nanofluidics

Fabrication of sapphire-supported nanopore sensors with reproducible micrometer membranes, Pengkun Xia, Jiawei Zuo, Pravin Paudel, Md Ashiqur Rahman Laskar, Siying Liu, Chao Wang, Arizona State University

Solid-state nanopores, have the potential to achieve high-speed and low-cost DNA detection. Here we present a novel manufacturable approach to create membranes on a crystal sapphire wafer, which completely eliminates the large capacitance from silicon. And we achieved reproducible micrometer-membrane fabrication over wafer-scale for ultra-low noise applications.

W18
Nanoelectronics

Tuning Electrical Properties of E-beam Evaporated 2D Bi and Derivatives, Hanliu Zhao, Beibei Zhu, Li Tao, School of Materials Science and Engineering Southeast University

This work explored an accessible and cost-effective way to prepare high quality 2D Bi and derivatives on SiO2/Si substrates by e-beam evaporation. The electrical and thermoelectric properties were characterized. Our study builds a platform to realize high-quality 2D Bi, which may also be suitable in other Xenes.

W19
Nanoimprint Lithography

Nanoimprinted Deposition Masks for Area Selective Atomic Layer Deposition of Aluminum Oxide, Chiaki Miyajima, Shunya Ito, Masaru Nakagawa, IMRAM, Tohoku University

In this study, we investigated whether UV-cured resin thin films modified by atomic layer deposition (ALD) could be removed completely by dry etching procedures. UV-nanoimprinted deposition masks were removed completely by sequential physical and chemical dry etching procedures, to demonstrate and area selective deposition of aluminum oxide.

W20
Nanoimprint Lithography

Low Cost Nano Imprint for Surface Enhanced Raman Scattering, Blessing Adewumi, Debsmita Biswas, Martin Feldman, Louisiana State University, Li Jiang, Naga Korivi, Tuskegee University

Long silver rods SERS layer was constructed by using fine grit (1µm) sandpaper as a template for imprinting nano-patterns. Therefore, costly nano lithographic processing was avoided. Raman spectra of R6G were obtained. The signal strength decreased linearly at reduced concentrations in logarithmic scale, as expected for SERS, down to 1nM.

W21
Nanophotonics and Plasmonics

Plasma Etching of High Aspect ratio Sapphire Antireflection Nanostructures Using Multilayer Etching Mask, Yi-An Chen, I-Te Chen, Chih-Hao Chang, University of Texas at Austin

In this work, we apply multilayer etching mask and optimize the etch process to improve etching rate and etching selectivity to pattern sapphire antireflection nanostructures. Initial results show 2-D sapphire nanostructures with 530 nm width and 470 nm height, resulting in two-fold aspect ratio enhancement over previous work.

W22
Nanophotonics and Plasmonics

Nanotransfer printing of plasmonic nanostructures on convex lens for highly sensitive image-based biosensing, Mingxi Wu, Jingxuan Cai, Sun Yat-sen University

Plasmonic biosensors have been attracted growing attentions in recent years because of their unique properties of high sensitivity, label-free operation, and suitable for high-throughput real-time detection. Here, we proposed a facile, use-friendly and cost-effective image-based plasmonic biosensor to detect the concentration of various biomolecule.

W23
Nanophotonics and Plasmonics

Fabrication of Dynamically Tunable Vanadium Dioxide Huygens Metasurfaces for Optical Modulation, Isaac Oguntoye, Siddharth Padmanabha, Yaping Ji, Tulane University, Adam Ollanik University of Colorado, Boulder, Matthew Escarra, Tulane University

W24
Nanophotonics and Plasmonics

WITHDRAWN

Grating-capped Hydroxypropyl Cellulose for Spatial Stress Optical Sensing Application, Weijie Feng, L. Jay Guo, Sungho Lee, University of Michigan

W25
Novel Materials and Processes

Monolayer Graphene Deposition on Copper and Silicon dioxide using NanoCVD-8G System, Md Azmot Ullah Khan, Naheem Olakunle Adesina, Jian Xu, Louisiana State University

W26
Novel Materials and Processes

Atomic-scale Fabrication of Donor-based Quantum Devices in Silicon, Pradeep Namboodiri, Ranjit Kashid, Jonathan Wyrick, National Institute of Standards and Technology, Alessandro Restelli, 3Join Quantum Institute (JQI), University of Maryland, Xiqiao Wang, Fan Fei, Richard Silver, National Institute of Standards and Technology

Atomic scale lithography using Hydrogen-based scanning probe lithography allows fabrication of atomically precise devices for quantum information processing.  This presentation covers the design, fabrication, and characterization of STM patterned SETs and development of on-chip coplanar wave guides intended for coherent manipulation of spin states of donor/dot devices.

W27
Novel Materials and Processes

WITHDRAWN

Fabrication of Silicon Nanostructures with Spatially Gradient Periodicity by Stretched Nanotransfer Printing, Jingxuan Cai, Sun Yat-sen University, Wen-Di Li, The University of Hong Kong

W28
Novel Materials and Processes

Withdrawn

W29
Novel Materials and Processes

Lead Halide Perovskite Micro-arrays Fabricated by Reusable Metal Mesh Templates, Zhao Sun, Liyang Chen, Wen-Di Li, The University of Hong Kong

In this work, a novel patterning process for perovskite arrays is performed, where the high‐resolution, large-scale metal mesh template film is adopted to pattern perovskite arrays through drop-casting.

W30
Novel Materials and Processes

WITHDRAWN

Fabrication of Three-dimensional Metal oxide Porous Membranes from Multi-layer Block Copolymer Templates, Assaf Simon, Tamar Segal-Peretz, Technion - Israel Institute of Technology

W31
Novel Materials and Processes

Amperometric detection of nitro compounds using novel nanomaterial composite, Bharti Sharma, Guru Jambheshwar University Science and Technology

Electrochemical methods have stood out as the most promising since they can detect liquid-phase explosives. Moreover, they are simple, inexpensive, suitable for portable sensors, work at ambient conditions, and have high sensitivity and selectivity for nitro-compound.

W32
Novel Materials and Processes

Fabrication of Nanowire/Nanorod Arrays via Electrodeposition in Porous Templates, Monika Nehra, Rajesh Kumar, University Institute of Engineering and Technology, Panjab University, Neeraj Dilbaghi, Sandeep Kumar Guru Jambheshwar University of Science and Technology

The electrochemical deposition technique offers accurate process control for growth of different nanostructures for a variety of materials. The different parameters and operating conditions can be optimized for electrodeposition of highly-ordered nanowires/nanorods inside the pores of anodisc template. Here, well-aligned and highly ordered Ni nanowires have been synthesized.

W33
Novel Materials and Processes

Field-Emission Scanning Probe Lithography-based Mix-and-Match Fabrication of Si Nanowires on SOI Substrates, Mert Özden, Sepeedeh Shahbeigi, Koc University, Martin Hofmann, TU Ilmenau, Sina Zare Pakzad, Mehrdad Karimzadehkhouei, Koc University, Mohammad Nasr Esfahani, University of York, Mathias Holz, nano analytik, Ivo Rangelow, Ilmenau University of Technology, Arda Deniz Yalcinkaya, Bogazici University, B. Erdem Alaca, Koc University

The purpose is to utilize field-emission scanning probe lithography (FE-SPL) followed by cryogenic reactive ion etching in the fabrication of silicon nanowire electromechanical switches with a critical dimension of 10 nm on a silicon-on-insulator substrate. Patterning outside the active FE-SPL area is achieved through focused ion beam machining.

W34
Process Simulation and Modeling

Modeling Co-Assembly of Binary Non-Monodispersed Nanospheres, Saurav Mohanty, I-Te Chen, Chih-Hao Chang, University of Texas at Austin

In this work, a geometric model was developed using line-by-line assembly of non-monodispersed binary nanoparticles to obtain a randomly closed packed assembly structure. To validate the results from the script, a binary nanoparticle assembly was experimentally fabricated. The experimental and simulated FFT peak frequencies were compare.

Thursday, June 3, 2021
Poster Sessions
T01
Advanced Lithography

Optimized UV grayscale process for high vertical resolution applied to spectral imagers, Nadine Gerges, University of Grenoble Alpes, CNRS, CEA/LETI-Minatec, Grenoble INP, LTM, Cecile Gourgon, Jumana Boussey, Camille Petit-Etienne, Marie Panabiere, CNRS – LTM, Yann Ferrec, Onera - Dota

We introduce a UV greyscale lithography process combining large surface patterning with a high vertical resolution. To reach a low contrast curve slope, we studied the annealing processes Soft Bake and Post Exposure Bake. We also achieve a 50nm Si steps within our presented plasma etching process.
T02
Advanced Lithography

Optimization of the Built-in Lens Mask for Three-Dimensional Photo Lithography, Tomoaki Osumi, Masaru Sasago, Masaaki Yasuda, Yoshihiko Hirai, Osaka Prefecture University

We  optimized the built-in lens mask (BILM) which was shown to be able to form a three-dimensional image in a single exposure by using its multiple focus function, in order to creat the ideal target shape.

T03
Advanced Pattern Transfer Concepts

Fabrication of hard x-ray zone plates with high aspect ratio using metal-assisted chemical etching and electroless plating, Kenan Li, Chieh Chang, SLAC, Michael Wojcik, Argonne National Laboratory, Wah-Keat Lee, Brookhaven National Lab, Anne Sakdinawat, SLAC

Fresnel zone plates are widely used in x-ray microscopes. Metal-assisted chemical etching has been developed to create very high aspect ratio silicon structures that has been successfully used in fabricating x-ray zone plates. Here we developed an electroless plating process to fill in the zones between silicon zones with palladium.

T04
Biomedical Devices

Development of ICG Assisted NIR Dental Fluorescence Imaging with Mouthwash for Diagnosis of Dental Disorders, Zheng Li, Zhongqiang Li, Louisiana State University, Shaomian Yao, Louisiana State University School of Veterinary Medicine, Jian Xu, Louisiana State University

ICG assisted NIR imaging with mouthwash ICG delivery has the potential to become a new, non-ionizing radiation and efficient dental imaging system for diagnosing dental disorders

T05
Biomedical Devices

A dual-chamber microelectrode array to facilitate neuronal network communication, Alyssa Andrade, Joshua Khoo, Rhonda Dzakpasu, Gina Adam, The George Washington University

The goal of this work is to build compartmentalized microelectrode arrays to assess the impact of conditioned cell culture media exchange between two initially isolated neuronal networks. The fabrication of biocompatible dual-chamber microelectrode arrays is presented, including the manufacturing and attachment of resin rings with controllable openings for fluid exchange.

T06
Electron and Ion Beam Lithography and Technologies

Ion beam lithography: resist modification volume determination and sub-10nm resolution prediction via simulation, Yane Shabelnikova, Sergey Zaitsev, IMT RAS

Width/depth and shape of volume of resist modified by ion beam as function of ion energy, exposure time and ion mass (He, Ne, Ar, Ga, Kr, Xe и Rn) is investigated by Monte-Carlo simulation. Sub-10nm resolution is found and confirmed. Analytical interpolating expressions for arbitrary ion mass, energy are submitted.

T07
Electron and Ion Beam Lithography and Technologies

Presentation Of Proximity Function By Three Parameters α, β, η Based On Monte Carlo Method And Comparison With Experiment, Alexander Svintsov, Maxim Knyazev, Sergey Zaitsev, IMT RAS

In spite of M-C simulation shows strong dependence proximity function on depth (3D-PF)  it is possible to find effective α, β, η parameters for two-dimensional PF using original algorithm which considers development. Good agreement with experimental data is demonstrated thus proximity parameters now can be calculated for arbitrary layered material.

T08
Electron and Ion Beam Lithography and Technologies

An hierarchical Boundary Element Method (BEM) solver for the General Particle Tracer (GPT) code, Sebastiaan van der Geer, Marieke de Loos, Pulsar Physics

Here we present a new extension to the well-established GPT simulation code that allows for the calculation of electrostatic fields in complex 3D geometries using an hierarchical Boundary Element Method (BEM) solver. Aberration analysis of an Einzel lens array and a nanotip structures demonstrate the new capabilities of the code.

T09
Electron and Ion Beam Lithography and Technologies

WITHDRAWN

Nanostructured 3D shape platform for controlled selectivity applications fabricated by direct writing electron beam lithography, Gabriela Gomes, Talita Mazon, Roberto Ricardo Panepucci, Center for Information Technology Renato Archer

T10
Electron and Ion Beam Lithography and Technologies

WITHDRAWN

Anomalous enhancement of focused ion beam etching by single raster propagating toward ion beam at glancing incidence, Valery Ray, Particle Beam Systems & Technology

T11
Electron and Ion Beam Lithography and Technologies

Ion beam lithography: sensitivity/contrast in IBL vs EBL., Yana Shabelnikova, Sergey Zaitsev, IMT RAS, Nazim Gusseinov, Mukhit Muratov, Al-Farabi Kazakh National University, Maratbek Gabdullin, Kazakh-British Technical University

PMMA exposure sensitivity to both electrons and gallium ions at identical conditions was measured. It was found that a positive sensitivity to gallium ions is 1000 times higher than to electrons, all at the same conditions. Original procedure allowed to measure ion contrast (~3) for highly nonuniform in depth exposure.

T12
Electron and Ion Beam Lithography and Technologies

T12-moved to Session 4A-1

T13
Imaging and Characterization

Cellphone CMOS Camera Module for Imaging with Charged Particle Beams, Aleksei Bunevich, PhD Student, Karen Kavanagh, Simon Fraser University

Our group used cellphone CMOS camera module, based on OV5648-BSI image sensor, to image 200keV electron beam in Transmission Electron Microscope and 30keV ion beam in Helium Ion Microscope.

T14
Imaging and Characterization

Nanometer traceability of localization microscopy, Craig Copeland, Ronald Dixson, Andrew Madison, B. Robert Ilic, Samuel Stavis (NIST)

Interest in super-resolution optical microscopy has increased dramatically over the last decade. However, localization measurements are often precise but not accurate, with systematic effects dominating random effects across an imaging field. To solve this critical problem, NIST is developing new standards and calibrations that enable traceability at the nanometer scale.

T15
Imaging and Characterization

Determination of Residual Stress in Ultrathin Atomic Layer Deposition Films Using Curved Nanobeams

We present a methodology for the measurement of residual stress in ultrathin films obtained using ALD. Our technique, which utilizes a curved nanobeam structure assesses the film's stress by comparing the difference in the static in-plane deflection of the nanobeam’s midpoint before and after the application of the ALD film.

T16
Micro- and Nanofluidics

Stretching and fixing DNA molecules on air-plasma-treated surface by using an air/water interface in a microchannel, Naoki Azuma, Kenji Fukuzawa, Shintaro Itoh, Nagoya University

In this study, we succeeded in stretching and fixing DNA molecules on an air-plasma-treated glass surface without the silane coupling agents in a microchannel. We investigated the dependence of stretching rate and number of DNAs on the velocity of air/water interface movement.

T17
Micro- and Nanofluidics

Surface Charge Density of Nanofluidic Devices with TMPTA UV Resins of Different Cross-Linking Agent Concentration Fabricated by UV Nanoimprint Lithography, Dae Won Kim, Junseo Choi, Austin Saizan, Sunggun Lee, Bin Zhang, Wen Jin Meng, Sunggook Park, Louisiana State University

This work presents an improvement in the chemical and mechanical stability of a nanofluidic device formed in poly(ethylene glycol) diacrylate (PEGDA), a PEGbased UV resin for UV-NIL, by adding a cross-linking agent.

T18
Nanoelectronics

On growth technique of electromigration-based free-standing Al micro/nanowires, Yasuhiro Kimura, Nagoya University

In this work, we introduce the growth technique of EM-based free-standing Al microwire, and examine the structure analysis of wire and films to sophisticate the EM technique. Specifically, scanning electron microscope, transmission electron microscope and energy dispersive X-ray were utilized to analyze the structure of thin films and fabricated microwires.

T19
Nanoimprint Lithography

Exfoliation of Two-dimensional Bismuth Selenide Based on Nanoimprint Lithography, Dongdong Song, Beibei Zhu, Li Tao, Southeast University

This article provide a method about controlled exfoliation of 2D Bi2Se3 via nano-imprint. which could improve the yield and electrical performance. 2D Bi2Se3 with improved performance holds great promise for innovative photoelectric and thermoelectric applications.

T20
Nanoimprint Lithography

Using NOA81 in microtransfer molding of nanogrooves, Rahman Sabahi-Kaviani, Alex Bastiaens Regina Luttge, Eindhoven University of Technology

In this work, we aim to extend our BoC toolbox by microtransfer molding of NOA81 nanogrooves on glass and NOA81 substrates. The presence of such nanogroove patterns is confirmed and characterized using AFM and SEM measurements. This technique will allow us to introduce such cell guidance patterns onto bioMEMS substrates.

T21
Nanophotonics and Plasmonics

Ultra-narrow Linewidth Symmetry-breaking Silicon Metasurface for Trace Biomolecules Sensing, Guohua Li, Jingxuan Cai, Sun Yat-sen University

Resonances in most of the metasurfaces are strongly depends on the geometry of the dielectric nanostructures and requires costly high-precision nanofabrication techniques. So we propose a robust structural symmetry-breaking silicon metasurface with ultra-narrow transparency.

T22
Nanophotonics and Plasmonics

Replicate Nanopillar Arrays by Soft Lithography for Real-time Biosensing of C. Albicans Adhesion, Bin Zhou, Jingxuan Cai, Jianhua Zhou, Sun-Yat Sen University

We report the use of localized surface plasmon resonance (LSPR) sensor for real-time, label-free monitoring the adhering process of C. albicans onto the gold nanopillar array.  We also employ this sensor feature to elucidate how C. albicans’ adhesion is affected by different electrical properties of the material surface.

T23
Nanophotonics and Plasmonics

Stress reduction and wafer bow accommodation for the fabrication of thin film lithium niobate on oxidized silicon, Karan Prabhakar, Ryan Patton, Ronald Reano, The Ohio State University

We present the fabrication of ion sliced lithium niobate on oxidized silicon for integrated optics. Using structural modeling to optimize wafer thicknesses and a bonding apparatus to match wafer bows, an 817 nm thick lithium niobate film on oxidized silicon is achieved over a centimeter scale area.

T24
Nanophotonics and Plasmonics

WITHDRAWN

Exciton-Polariton Lasing Enabled by Etching and Surface Processing of Horizontal GaAs/AlGaAs Waveguide, Fabrizio Riminucci, Vincenzo Ardizzone, Antonio Gianfrate, CNR Nanotec Institute of Nanotechnology, Monica Lorenzon, Luca Francaviglia, Camille Stavrakas, Dhuey, Alex Weber-Bargioni, Stefano Cabrini, Lawrence Berkeley National Laboratory, Daniele Sanvitto, CNR Nanotec Institute of Nanotechnology

T25
Novel Materials and Processes

Optimization of cell deposition and cellulose nanofiber/alginate bioinks to improve cell survival and proliferation in cell-free 3D-bioprinting, Zhongqiang Li, Alexandra Ramos, Shaomian Yao, Jian Xu, Louisiana State University

This study reports new bioinks for the 3D bioprinting, and new cell-loading methods that could help to address the existing challenges in 3D bioprinting.

T26
Novel Materials and Processes

Study of Electrophoretic Deposition of ZnO Nanoparticles onto Silicon Substrates and Testing Performance of ZnO/p-Si, Fawwaz Hazzazi, Alex Young, Christopher O’Loughlin, Daniels-Race, Louisiana State University

We will discuss our use of ZnO nanoparticle-based thin films synthesized and grown at room temperature and deposited via electrophoretic deposition (EPD) onto p-doped silicon substrates.  Our experimentation plan includes using scanning electron microscopy to assess surface morphology and current-voltage characterization of ZnO/p-Si heterojunctions to study device performance potential.

T27
Novel Materials and Processes

Development of Coating-free Super Water-repellent Micropatterned Aluminium for Spontaneous Droplet Motion, Kirill Misiiuk, Sam Lowrey, Richard Blaikie, University of Otago, Josselin Juras, Andrew Sommers, Miami University

We present results clearly demonstrating passive gradient-driven droplet motion on coating-free all-metal Al-surfaces, produced via laser-etching method.

T28
Novel Materials and Processes

Highly Controlled Deposition with Multiple Electrode Electrospinning, Isaac Gilfeather, Harold Pearson-Nadal, Jessica Andriolo, Jack Skinner, Montana Technological University

Applications of electrospinning (ES) fabrication are broad and limited by hardware. Here, we present an ES system that contains multiple high voltage power supplies and enables high control over nanofiber placement, resulting in deposition of materials that have novel structures on the mesoscale.
T29
Novel Materials and Processes

Fabrication of Micro Chemical Vapor Deposition Chamber by Dry dry Deep Silicon and Metal-Assisted Etching Techniques, Pavani Vamsi Krishna Nittala, The University of Chicago/ Argonne National Laboratory, Kyaw Zin Latt, The University of Chicago, Ralu Divan, ANL, Supratik Guha, The University of Chicago

Our goal is to demonstrate a process flow for TSV (nozzle) on one end and another opening (reservoir) on the other end of an SOI. This silicon die will be used as a micro chemical vapor deposition (CVD) chamber, which is a critical component in the nanoscale additive writing process.

T30
Novel Materials and Processes

Additive Manufacturing towards Color Printing via Polymer-Assisted Photochemical Deposition of Metal Thin Films, Shinhyuk Choi, Zhi Zhao, Jing Bai, Siying Liu, Yu Yao, Chao Wang, Arizona State University

We developed a versatile room-temperature metal printing technique based on polymer-assisted photochemical deposition (PPD). We demonstrate printing of metal structures with feature sizes as small as 5µm on various substrates, and prove the concept of creating metal-based color filter using PPD.

T31
Novel Materials and Processes

Fabrication of luminescent metal-organic framework for optical detection of heavy metals, Shikha Jain, Sandeep Kumar, Guru Jambheshwar University Science & Technology

The heavy metals are poisonous due to inability to decompose and bioaccumulation hence, among the cost well-known environmental pollutant.  Fluorescent based approach has gained considerable attention because of real time monitoring. Aiming at developing an ideal fluorescent sensing platform for heavy metals we synthesized bimetallic MOF for the first time.
T32
Novel Materials and Processes

Evaluation of the impact by the electron collision on the silicon lens, Dong Hyun Baek, Geon Woo Lee, Young Bok Lee, Ho Seob Kim, Sunmoon University

In order to evaluate the effect of the physical transition of the surface due to the continuous impact, The samples that was applied 300 eV for 6 months to the aperture of the extractor analyzed the correlation between the impact distance and the intensity of the electron impact.

T33
Process Simulation and Modeling

Design of anodic alumina nanostructure for adhesive interface through stress-strain simulation, Yusuke Ebihara, Keisuke Nagato, Haruto Tendo, Masayuki Nakao, The University of Tokyo

The design of anodic alumina nanostructure is expected to increase the adhesive strength. In this study, the anchoring effect of various pore shapes was evaluated through stress-strain analysis.

T34
Process Simulation and Modeling

Magnetically Responsive Polymer Nanopillars with Nickel Cap, Zhiren Luo, University of Texas at Austin, Xu Zhang, North Carolina State University, Chih-Hao Chang, University of Texas at Austin

Here we demonstrate a new type of magnetically responsive nanostructure consisting of a polydimethylsiloxane (PDMS) nanopillar array with deposited nickel caps, that has successfully achieved such decoupling with multiple cap-geometry designs for a better actuation control.

T35
Process Simulation and Modeling

Process and material design using hybrid machine learning for direct thermal nanoimprint, Sou Tsukamoto, Ryuhei Yamamura, Hideki Tanabe, Kai Kameyama, Hiroaki Kawata, Masaaki Yasuda, Yoshihiko Hirai, Osaka Prefecture University

We have proposed a hybrid machine learning system that presents the optimum materials and process conditions for direct nanoimprint. The system applied for optimization of Glycol concentration to PVA for low temperature process and the results agree with experiments.

EIPBN 2021