EIPBN

EIPBN 2019 Authors Index


A | B | C | D | E | F | G | H | I | J | K | L | M | N | O | P | Q | R | S | T | U | V | W | X | Y | Z
Name Abstract

A

Abedzadeh, NavidNanosecond Pulse Electronics for Gated Electron Mirrors
Achal, RoshanMoveable Quantum Dot Probe for Detecting Near-Surface Fixed Charges
Atomic Scale Fabrication and Application of Silicon Dangling Bonds
Adam, GinaProgress, challenges and outlook of three-dimensional hybrid CMOS/ReRAM systems
Adolf, AndreasExtending Multibeam SEM Technology to 331 Beams
Agarwal, AkshayThe potential of negative-tone PMMA e-beam lithography to enhance superconducting nanowire applications
Agrawal, AmitSpatiotemporal Manipulation of Optical Fields enabled by Metasurfaces
Ahmad, AhmadField Emission Scanning Probe Lithography with GaN nanowires on active cantilevers
Nanoscale Lift-Off Process Using Field-Emission Scanning Probe Lithography
Tip-based electron beam induced deposition (TB-EBID) with active cantilevers
Ahmed, ZeeshanNanoscale photonic dosimetry and calorimetry
Ahn, Byoung-SupA multi-row writing method for massively-parallel electron-beam systems
Ahn, ByoungsupEffects of Abnormal Beams on Writing Qualities in Massively-parallel E-beam Systems
Ahn, Seung JoonImprovement of Electrical Characteristics of Neural Electrode by Electroplating Process
Aigbogun, EhisuoriaMicro-textured electrolyte-electrode interfaces in solid-state supercapacitors
Akinwande, DejiPhotoelectric Effects of Bismuthene for Innovative Sensing and Energy Devices
Aksyuk, VladimirNondegenerate Parametric Resonance in Large Ensembles of Coupled Micromechanical Cantilevers with Varying Natural Frequencies
Alaca, B. ErdemInvestigation of Line Edge Roughness in Field-Emission Scanning Probe Lithography
Alava, ThomasFabrication and integration of graphene interdigitated resistors on printed circuit boards for RF interrogation of biological sensors.
Alexander, ReumField Emission Scanning Probe Lithography with GaN nanowires on active cantilevers
Alidoust, NasserInvestigating Nanofabrication Techniques for Low Dissipation Superconducting Qubits
Alipour, AfshinA High-Speed Z-Axis MEMS STM Nanopositioner
Alkemade, PaulRemoving halos around IBID deposits with a broad ion beam
Allen, Frances I.Tip-based electron beam induced deposition (TB-EBID) with active cantilevers
AL-MASHA'AL, AsaadClosed cavity resonator formed by suspended large monolayer graphene-based membrane
Aloni, ShaulQuantum information at the Molecular Foundry - an overview of new toolsets for QIS research
Amano, HiroshiThe annealing effect for the air-exposed surface on the GaN photocathode
Ambrogio, StefanoNovel Technologies for Artificial Intelligence: prospects and challenges
Amisova, OlgaMotion of dsDNA in a coupled nanochannel/nanopore system under an electric field
Amosova, OlgaPreparation and behavior of ssDNA in porous roof nanochannels
Amrollahi, PouyaNature-Inspired Chiral Metasurfaces for Circular Polarization Detection and Full-Stokes Polarimetric Measurement
Anderson, EvanAssessing the Other Dimension in Atomically Precise Fabrication
Andrei, ArianaA streamlined process for fabricating multi-channel neural probes on optical fiber substrates
Andriolo, JessicaCombined Electrostatic and Air Driven Electrospinning for Biomedical Applications
Enhanced Plasmonic Activity of Polymer Fibers Through Nanoparticle Doping
Arat, KerimMonte-Carlo Simulation of Charge-Induced Pattern Displacement in E-Beam Lithography
Atly, HaydenSub-10 nm Helium Ion Beam Lithography with Metal–Organic Resists
Awale, ApekshaA high brightness source of energetic helium atoms for neutral particle lithography
A streamlined process for fabricating multi-channel neural probes on optical fiber substrates
Awan, KashifProcess Window Enhancement through Shape Proximity Effect Correction of an Electron Beam Lithography Process
Aydinoglu, FerhatEffect of oxygen plasma cleaning on non-switching pseudo-Bosch etching of high aspect ratio silicon pillars

B

Baek, Dong HyunImprovement of Electrical Characteristics of Neural Electrode by Electroplating Process
Baghdadi, RezaFabrication and Characterization of Multilayer Heater-Cryotrons
Bai, JingNature-Inspired Chiral Metasurfaces for Circular Polarization Detection and Full-Stokes Polarimetric Measurement
Baldi, TomasNovel approach for precise and flexible micro-nano patterning of surfaces towards enabling controlled textures on arbitrary objects
Bank, SethPhotoelectric Effects of Bismuthene for Innovative Sensing and Energy Devices
Bao, JimingDevelopment of Free-standing Membrane-based Electrostatic Lenses for Nanopantography
Basiri, AliDNA Origami-Templated Assembly of Heterogeneous Nanocavity for Quantum Emitter
Nature-Inspired Chiral Metasurfaces for Circular Polarization Detection and Full-Stokes Polarimetric Measurement
Basitan, PhilippBrilliant Fluorescent Resists for E-beam and Photolithographic Applications
Bastiaens, AlexNeuronal cell network activity enhanced by nanogrooved substrates
Basu, PrithviDevelopment of Free-standing Membrane-based Electrostatic Lenses for Nanopantography
Bateman, FredNanoscale photonic dosimetry and calorimetry
Behzadirad, MahmoudField Emission Scanning Probe Lithography with GaN nanowires on active cantilevers
Belic, NikolaOn the Trends and Application of Isofocality for Negative Resists in Electron Beam Lithography
Berggren, KarlNanosecond Pulse Electronics for Gated Electron Mirrors
The potential of negative-tone PMMA e-beam lithography to enhance superconducting nanowire applications
Superconducting Nanowires for Single-Photon Detection, Electronics, and Microwave Plasmonics
Enhanced Carrier-Envelope Phase Detection with Photoelectron Emission in Plasmonic Nanoantenna Arrays
Low-contrast electron beam lithography process for fabrication of sloped sidewall HSQ spacers
Investigation of ma-N 2400 Series Photoresist as an Electron Beam Resist for Superconducting Nanoscale Devices
Measuring thickness in thin NbN films for superconducting devices
Fabrication and Characterization of Multilayer Heater-Cryotrons
Berling, DominiqueMicro and nanopatterning of Metal Oxo-Cluster photoresists
Bestwick, AndrewInvestigating Nanofabrication Techniques for Low Dissipation Superconducting Qubits
Bezik, CodyUltrathin iCVD films to Control Interfacial Energy for DSA Hole Shrink Applications
Bhaskaran, HarishNano-Calligraphy: Precisely tuning the feature spacing in scanning-probe lithography
Bian, XinyaA Versatile Common Platform for Quantum Transport Measurements in Fluidic, Cryogenic, and In Situ Electron Microscopy Environments
Bianconi, SimoneGiant Conductivity Modulation of Aluminum Oxide using Focused Ion Beam
Bible, AmberBio-printed hydrogel micro-droplets for culturing and analysis of microbial communities
Nanochannel-based microfluidic device for quantitative measurement of bacterial chemotaxis
Bilenberg, BrianHigh volume manufacturing of advanced diffractive optical elements by injection molding
Bin Che Mahzan, Muhammad AmmarA simplified patterning process for the selective 1D ZnO nanorods growth
Bin Che Mahzan, AmmarZinc oxide nanowires-based flexible force sensor
Bischoff, LotharLi-containing liquid metal alloy ion sources for focused-ion beam instrumentation
Bisig, SamuelHigh-performance spin-coatable hardmasks for transferring high-resolution t-SPL patterns
Blaikie, RichardReflective Metamaterial Polarizer Enabled by Solid Immersion Lloyd’s Mirror Interference Lithography
Blankenburg, ErickAweSEM: Removing Barriers to Innovation with a Tabletop, Low-Cost SEM
Blondeel, EricFabrication and Sharpening of Silicon In-plane Microneedles with Open Capillary Networks
Bo, FangThe Integration of High-efficiency Vortex Light Emitters by 3D Photolithography
Bojko, RichardProcess Correction for E-Beam Exposure of HSQ
Bol, AgeethPlasma-enhanced atomic layer deposition of transition metal dichalcogenides: from 2D monolayers to 3D vertical nanofins
Bouchiat, VincentFabrication and integration of graphene interdigitated resistors on printed circuit boards for RF interrogation of biological sensors.
Bouret, Jean-ClaudeOpening the road to custom astronomical UV gratings
Bowman, AdamDesign for a 10keV Multi-Pass Transmission Electron Microscope
A programmable phase patterning device for electron beams
Brand, OliverNanoscale metallic resistors in soft polymers
Bräuer, AnnikaNanoscale engineering of 3D plasmonic nanostructures using focused helium ion beam milling
Brockway, MollyHollow Mn2O3 Nanoparticles for Supercapacitor Electrodes via Solvothermal and High-Temperature Processing
Brown, DevinNanoscale metallic resistors in soft polymers
Bruccoleri, AlexanderCharacterizing Profile Tilt of Nanoscale Deep-Etched Gratings via X-ray Diffraction
Brueck, StevenMotion of dsDNA in a coupled nanochannel/nanopore system under an electric field
Brueck, SteveOptical Scatterometry for In-line Nano-Manufacturing
Nanoscale Details of Liquid Drops on 1D Patterned Surfaces Revealed by Etching
Brueck, StevenPreparation and behavior of ssDNA in porous roof nanochannels
Bui, Cat-VuInvestigating Nanofabrication Techniques for Low Dissipation Superconducting Qubits
Burr, Geoffrey W.Novel Technologies for Artificial Intelligence: prospects and challenges
Busani, TitoNanoscale Details of Liquid Drops on 1D Patterned Surfaces Revealed by Etching
Bussmann, EzraAssessing the Other Dimension in Atomically Precise Fabrication
Butera, RobertSTM lithography and surface stability investigation of halogen-terminated Si(100)-(2x1)
Butters, Brenden A.Fabrication and Characterization of Multilayer Heater-Cryotrons

C

Cabrini, StefanoQuantum information at the Molecular Foundry - an overview of new toolsets for QIS research
Fluorocarbon assisted atomic layer etching of SiO2 using low temperature cyclic Ar/CHF3 plasma
Ultra-Narrow Gap Al/Al2O3 Bowtie Nanoantennas by Focused He Ion Milling Lithography
Controlled Reduction of Photobleaching in Plasmon Enhanced Fluorescence Using Collapsible Nano-Fingers
Cai, JingxuanStep-and-repeat Nanopatterning Using Compact Two-beam Fibre-optic Interference Lithography
Metallic Nanostructures on Arbitrary Surfaces Fabricated by Solution-processed Nanopatterning and Nanotransfer Printing
Nanoimprint-induced strain engineering of two-dimensional materials
Caillat, AmandineOpening the road to custom astronomical UV gratings
Calafiore, GiuseppeUltra-Narrow Gap Al/Al2O3 Bowtie Nanoantennas by Focused He Ion Milling Lithography
Calusine, GregoryFabrication Capabilities for Superconducting Quits at MIT Lincoln Laboratory
Campbell, DeAnnaAssessing the Other Dimension in Atomically Precise Fabrication
Carpenter, JoeNature-Inspired Chiral Metasurfaces for Circular Polarization Detection and Full-Stokes Polarimetric Measurement
Cen, MichelleAweSEM: Removing Barriers to Innovation with a Tabletop, Low-Cost SEM
Chamele, NinadPhotochemical Synthesis of Dendritic Silver Nano-particles (AgNPs) for Anti-counterfeiting
Chang, Chih-HaoModeling and Fabrication of Randomly Close Packed Nanostructures using Non-Monodispersed Colloidal Particles
Chang, Chi-HaoMultilayer Stacking of 3D Periodic Nanostructures Assisted by Atomic Layer Deposition
Chang, Po-YiMicro and nanopatterning of Metal Oxo-Cluster photoresists
Chang, Chih-HaoThree-Beam Lloyd’s Mirror Interference Lithography with Liquid Immersion
Chang, TongxinOptimization of Swelling Process in Solvent Vapor Annealed Block Copolymer Thin Films
Chang, Chih-HaoAssembly of Aligned Silver Nanowires using Roll-to-Roll System
Tunable nanoparticle self-assembly on magnetic template with dynamic optical properties
Double replication for characterizing cracks in surface-hardened PDMS
Chang, MikeAweSEM: Removing Barriers to Innovation with a Tabletop, Low-Cost SEM
Changqing, XieResonant Lattice Kerker Effect in Metasurfaces of Titanium Dioxide Nanodisks
Charaev, IlyaThe potential of negative-tone PMMA e-beam lithography to enhance superconducting nanowire applications
Measuring thickness in thin NbN films for superconducting devices
Charlson, E.J.A streamlined process for fabricating multi-channel neural probes on optical fiber substrates
Chaudhary, RimjhimSidewall Channel Fabrication Using Membrane Projection Lithography and Metal Assisted Chemical Etching
Chauhan, VishnuInfluence of high energy ion beam irradiation on nanocrystalline hafnium dioxide high-gate dielectric thin films grown by atomic layer deposition
Chen, XiahuiDNA Origami-Templated Assembly of Heterogeneous Nanocavity for Quantum Emitter
Chen, RifeiMicro-pump actuated dynamic color-morphing skin for octopus-like camouflage in soft robotics
Chen, Pai-YenHigh-Performance Infrared Nano-Rectennas Using New Photonic Nanostructures and 2D Materials
Chen, BuyunControlled Reduction of Photobleaching in Plasmon Enhanced Fluorescence Using Collapsible Nano-Fingers
Chen, XiahuiNature-Inspired Chiral Metasurfaces for Circular Polarization Detection and Full-Stokes Polarimetric Measurement
Chen, TimothyModeling and Fabrication of Randomly Close Packed Nanostructures using Non-Monodispersed Colloidal Particles
Chen, I-TeModeling and Fabrication of Randomly Close Packed Nanostructures using Non-Monodispersed Colloidal Particles
Chen, RifeiAn all-purpose programmable and scalable fluid central processing unit for large-scale parallel droplet manipulations
Chen, BuyunHardware Acceleration Implementation of Kalman filter with Memristor
Chen, AnNovel Technologies for Artificial Intelligence: prospects and challenges
Chen, I-TeMultilayer Stacking of 3D Periodic Nanostructures Assisted by Atomic Layer Deposition
Chen, XinyeEfficient Bacteria Trapping and Retrieving via Low Aspect Ratio Nano-Sieve Device
Chen, I-TeAssembly of Aligned Silver Nanowires using Roll-to-Roll System
Chen, Yi-AnDouble replication for characterizing cracks in surface-hardened PDMS
Chen, XinyeFabrication of Extremely Shallow “Nano-Sieve” Device with Positive Photoresist Sacrificial Layer
Chen, YanRapid fabrication of thermoplastic nanoforest substrates for high efficient capture of cancer cells
Chen, XinyeHydrodynamic Induced Deformation of Nano-Sieve Fluidic Device for Efficient Microparticle Trapping and Deposition
Chen, ShengqiongSuper Resonance from Gain-Assisted Silicon Nanowires
Chen, BuyunAll-Dielectric Hybrid Metasurface for Visible or Near-IR Applications
Cheng, XingMicro-pump actuated dynamic color-morphing skin for octopus-like camouflage in soft robotics
Nanoimprint mold fabrication by nanosphere self-assembly for QLED light extraction
Cheng, JunaoDry Etching of Perovskite Oxides for High Performance Electronic Device Applications
Cheng, XingAn all-purpose programmable and scalable fluid central processing unit for large-scale parallel droplet manipulations
Cheng, Khor WuiOptical property constant calculation for Advanced absorbers application
Cheung, RebeccaA simplified patterning process for the selective 1D ZnO nanorods growth
Parametric Study of 2D Pulsed Laser Deposited (PLD) WSe2 Transistors for enhancing an Infrared (IR) Detector
Closed cavity resonator formed by suspended large monolayer graphene-based membrane
Choi, JinA multi-row writing method for massively-parallel electron-beam systems
Effects of Abnormal Beams on Writing Qualities in Massively-parallel E-beam Systems
Chollet, MatthieuX-ray Shaping Using Gratings and Zone Plates and Wavefront Measurements on the Free-electron Laser
Chou, StephenA microfluidics-integrated photonic nanosensor for rapid and sensitive detection Ebola virus antigens
Chowdhury, MokterUltrafast response time as a clue to study heat transfer in nanostructured materials
Chu, YongFabrication of nanostructures for enhanced resolution in X-ray tomographic imaging
Churchill, DavidAtomic Scale Fabrication and Application of Silicon Dangling Bonds
Cloutier, MartinAtomic Scale Fabrication and Application of Silicon Dangling Bonds
Colangelo, MarcoThe potential of negative-tone PMMA e-beam lithography to enhance superconducting nanowire applications
Low-contrast electron beam lithography process for fabrication of sloped sidewall HSQ spacers
Investigation of ma-N 2400 Series Photoresist as an Electron Beam Resist for Superconducting Nanoscale Devices
Measuring thickness in thin NbN films for superconducting devices
Colominas, CarlesNovel approach for precise and flexible micro-nano patterning of surfaces towards enabling controlled textures on arbitrary objects
Combs, NickDry Etching of Perovskite Oxides for High Performance Electronic Device Applications
Cooke, MikeFluorocarbon assisted atomic layer etching of SiO2 using low temperature cyclic Ar/CHF3 plasma
Copeland, CraigA Multifunctional Microstructure for Microscope Calibration and Nanoparticle Characterization
Cordova, IsvarDesign Requirements for X-Ray Compatible Liquid Cell
Coskun, M. BulutA High-Speed Z-Axis MEMS STM Nanopositioner
Craver, BarryA high brightness source of energetic helium atoms for neutral particle lithography
Cronin, StephenControlled Reduction of Photobleaching in Plasmon Enhanced Fluorescence Using Collapsible Nano-Fingers
Croshaw, JeremiahIncorporation of Machine Learning in the Automation of Atom-Scale Device Fabrication
Atomic Scale Fabrication and Application of Silicon Dangling Bonds
cui, dehuStep-and-repeat Nanopatterning Using Compact Two-beam Fibre-optic Interference Lithography
Cui, BoFabrication and Sharpening of Silicon In-plane Microneedles with Open Capillary Networks
Nanofabrication of high aspect ratio and 25 nm wide Au nanostructures using low-temperature development of PMMA and pulse electrochemical deposition
Fabrication of Ultrahigh Aspect Ratio Trenches by Two-Step KOH Anisotropic Wet Etching
Effect of oxygen plasma cleaning on non-switching pseudo-Bosch etching of high aspect ratio silicon pillars
Effects of mask material conductivity on lateral undercut etching in silicon nano-pillar etching
Cumberland, Lonnie T.Nanoscale photonic dosimetry and calorimetry
Cuscuna', MassimoUltra-Narrow Gap Al/Al2O3 Bowtie Nanoantennas by Focused He Ion Milling Lithography
Czaplewski, DavidThere is plenty of room … in more dimensions
Czolkos, IljaHigh volume manufacturing of advanced diffractive optical elements by injection molding

D

Dallorto, StefanoFluorocarbon assisted atomic layer etching of SiO2 using low temperature cyclic Ar/CHF3 plasma
Dallorto, StephanoNanoscale Lift-Off Process Using Field-Emission Scanning Probe Lithography
Dane, AndrewThe potential of negative-tone PMMA e-beam lithography to enhance superconducting nanowire applications
Fabrication and Characterization of Multilayer Heater-Cryotrons
Dang, ZhaoyingPhotoelectric Effects of Bismuthene for Innovative Sensing and Energy Devices
Dani, John A.A streamlined process for fabricating multi-channel neural probes on optical fiber substrates
Das, RabindraFabrication Capabilities for Superconducting Quits at MIT Lincoln Laboratory
Das, SaptarshiNanofabrication and Characterization of Novel Devices Based on Two Dimensional (2D) Materials
Day, AlexandraFabrication Capabilities for Superconducting Quits at MIT Lincoln Laboratory
De Alba, RobertoNondegenerate Parametric Resonance in Large Ensembles of Coupled Micromechanical Cantilevers with Varying Natural Frequencies
Absolute deflection measurements in a MEMS/NEMS interferometry system
De Andrade, VincentSub-Micron High Aspect Ratio Direct E-beam Patterning of SU-8 Epoxy Resist
De Malsche, WimActive control of shear and mass transport in microfluidic devices
de Marco, AlexHybrid refractive-diffractive microlenses in glass and lithium niobate by focused Xe ion beam milling
Self-aligned structures by a single-step through-membrane 100-keV electron beam lithography
de Pablo, JuanUltrathin iCVD films to Control Interfacial Energy for DSA Hole Shrink Applications
de Villafranca, GlenOn the Trends and Application of Isofocality for Negative Resists in Electron Beam Lithography
Deep, AkashDevelopment of graphene-based immunosensor for highly sensitive biosensing of waterborne pathogens
Delaveaud, ChristopheFabrication and integration of graphene interdigitated resistors on printed circuit boards for RF interrogation of biological sensors.
DeLongchamp, DeanDesign Requirements for X-Ray Compatible Liquid Cell
Delony, JakinBlock Copolymer Directed Self-Assembly Defect Modes Induced by Localized Errors in Chemoepitaxial Guiding Underlayers: A Molecular Simulation Study
DeMell, JenniferSTM lithography and surface stability investigation of halogen-terminated Si(100)-(2x1)
Deng, JiangdongThe Integration of High-efficiency Vortex Light Emitters by 3D Photolithography
DeRose, GuySub-10 nm Helium Ion Beam Lithography with Metal–Organic Resists
Dey, RiponFabrication of Ultrahigh Aspect Ratio Trenches by Two-Step KOH Anisotropic Wet Etching
Effects of mask material conductivity on lateral undercut etching in silicon nano-pillar etching
Dhuey, ScottFluorocarbon assisted atomic layer etching of SiO2 using low temperature cyclic Ar/CHF3 plasma
Dick, NirNondegenerate Parametric Resonance in Large Ensembles of Coupled Micromechanical Cantilevers with Varying Natural Frequencies
Dienel, ThomasMoveable Quantum Dot Probe for Detecting Near-Surface Fixed Charges
Dilbaghi, NeerajControlled Synthesis of Nanowires/Nanorods via Electrodeposition in Anodisc Alumina Templates
Dohi, H.Micro-deflectors with two axes for a double micro-mirror aberration corrector
Doktycz, MitchelBio-printed hydrogel micro-droplets for culturing and analysis of microbial communities
Nanochannel-based microfluidic device for quantitative measurement of bacterial chemotaxis
Dolejsi, MosheUltrathin iCVD films to Control Interfacial Energy for DSA Hole Shrink Applications
Dong, ZengyaDesign of small low energy electron gun
Dong, SiyanInterferometric investigation of suspended monolayer TMDCs enabled by e-beam lithography
Donnelly, VincentDevelopment of Free-standing Membrane-based Electrostatic Lenses for Nanopantography
Dory, ConstantinDiamond and 4H-Silicon Carbide Quantum Photonics
Dragoi, ValentinA streamlined process for fabricating multi-channel neural probes on optical fiber substrates
Dreser, ChristophNanoscale engineering of 3D plasmonic nanostructures using focused helium ion beam milling
Dreyer, MichaelSTM lithography and surface stability investigation of halogen-terminated Si(100)-(2x1)
Drezner, YarivCs Ion Coldbeam Suitability for Circuit Edit and Additional Nanomachining Applications
Du, KeEfficient Bacteria Trapping and Retrieving via Low Aspect Ratio Nano-Sieve Device
Fabrication of Extremely Shallow “Nano-Sieve” Device with Positive Photoresist Sacrificial Layer
Hydrodynamic Induced Deformation of Nano-Sieve Fluidic Device for Efficient Microparticle Trapping and Deposition
Duerig, UrsHigh-performance spin-coatable hardmasks for transferring high-resolution t-SPL patterns
Duscher, GerdIn Situ Laser Heating and Excitation in the (Scanning) Transmission Electron Microscope for Real time imaging and excited state spectroscopy
Dwyer, KevinSTM lithography and surface stability investigation of halogen-terminated Si(100)-(2x1)
Dyck, OndrejA Versatile Common Platform for Quantum Transport Measurements in Fluidic, Cryogenic, and In Situ Electron Microscopy Environments
Giving a microscope the intelligence to see and manipulate: automated information extraction for single atom control

E

Economou, DemetreDevelopment of Free-standing Membrane-based Electrostatic Lenses for Nanopantography
Edwards, JeremyPreparation and behavior of ssDNA in porous roof nanochannels
Ehrhardt, MartinMultiple replication of hierarchical structures from polymer masters with anisotropy
Eichfeld, ChadBlazed gratings for X-ray astronomy fabricated by grayscale e-beam and nanoimprint
Ekinci, HuseyinFabrication of Ultrahigh Aspect Ratio Trenches by Two-Step KOH Anisotropic Wet Etching
Eldeeb, MohammedDetection of Filopodia and Cancer Cell Concentration by Hybrid Plasmonic and Impedance Biosensor
Engelhardt, VictorFabrication and integration of graphene interdigitated resistors on printed circuit boards for RF interrogation of biological sensors.
Eriksson, AxelThere is plenty of room … in more dimensions
Espinosa, HoracioInterferometric investigation of suspended monolayer TMDCs enabled by e-beam lithography
Evans, BenjaminTunable nanoparticle self-assembly on magnetic template with dynamic optical properties

F

Falzon, LukeEfficient Bacteria Trapping and Retrieving via Low Aspect Ratio Nano-Sieve Device
Hydrodynamic Induced Deformation of Nano-Sieve Fluidic Device for Efficient Microparticle Trapping and Deposition
Fan, HarrisonUltrafast response time as a clue to study heat transfer in nanostructured materials
Faria Briceno, JuanOptical Scatterometry for In-line Nano-Manufacturing
Nanoscale Details of Liquid Drops on 1D Patterned Surfaces Revealed by Etching
Farmakidis, NikolaosNano-Calligraphy: Precisely tuning the feature spacing in scanning-probe lithography
Farrow, ReginaldTrends in Nanosensor Arrays for Nanobiology & Nanomedicine
Favata, JosephAcuity of Electron-Beam Induced Deposition of Pt/C Composite Material
Feeney, StanleyThe Integration of High-efficiency Vortex Light Emitters by 3D Photolithography
Feezell, DanielField Emission Scanning Probe Lithography with GaN nanowires on active cantilevers
Feng, YipingWavefront Metrology for X-Ray Free Electron Laser Instruments
Feng, HongtaoRapid fabrication of thermoplastic nanoforest substrates for high efficient capture of cancer cells
Ferreira de Lima, ThomasNeuromorphic Computing Hardware with Silicon Photonics
Fitzgerald, RyanNanoscale photonic dosimetry and calorimetry
Fleischer, MonikaNanoscale engineering of 3D plasmonic nanostructures using focused helium ion beam milling
Fleming, BrianOpening the road to custom astronomical UV gratings
Fowlkes, Jason3D Nano-Printing via Focused Electron Beams: A Perspective
France, KevinOpening the road to custom astronomical UV gratings
Frimat, Jean-PhilippeNeuronal cell network activity enhanced by nanogrooved substrates
Fritz, HansExtending Multibeam SEM Technology to 331 Beams
Fritz, DavidWavefront Metrology for X-Ray Free Electron Laser Instruments
Fuchs, EhudStriving for Atomic Precision for large dopant arrays
The Next Generation of Extreme-Resolution E-beam Lithography

G

Gädda, Thomas M.High-performance spin-coatable hardmasks for transferring high-resolution t-SPL patterns
GAN, ZhuofeiStep-and-repeat Nanopatterning Using Compact Two-beam Fibre-optic Interference Lithography
Metallic Nanostructures on Arbitrary Surfaces Fabricated by Solution-processed Nanopatterning and Nanotransfer Printing
Gann, EliotDesign Requirements for X-Ray Compatible Liquid Cell
Gao, YuanFabrication of nanostructures for enhanced resolution in X-ray tomographic imaging
Garcia-Granada, AndresNovel approach for precise and flexible micro-nano patterning of surfaces towards enabling controlled textures on arbitrary objects
Gardner, DonaldUltraHigh Aspect Ratio Silicon Structures for Energy Storage Applications
Gardner, JamieCold Atom Ion Sources
Garfinkel, DavidIn Situ Laser Heating and Excitation in the (Scanning) Transmission Electron Microscope for Real time imaging and excited state spectroscopy
Geddes, JosephNanostructure manufacturing via holographic photolithography
Gelin, PierreActive control of shear and mass transport in microfluidic devices
Geng, YulinA simplified patterning process for the selective 1D ZnO nanorods growth
Gerngroß, MaikNew high etch resistant high resolution silsesquioxane based resist for DUV/EUV and e-beam lithography as long shelf-life and more sensitive alternative for HSQ
Getega, DanielThe Integration of High-efficiency Vortex Light Emitters by 3D Photolithography
Gheewala, MufaddalA streamlined process for fabricating multi-channel neural probes on optical fiber substrates
Giannelis, EmmanuelMetal organic cluster photoresists: etch behavior of metal oxide systems
Gleason, KarenUltrathin iCVD films to Control Interfacial Energy for DSA Hole Shrink Applications
Gölzhäuser, ArminImaging and Modification of 2D Materials: How Helium Ion Microscopy helps to fabricate novel filters and capacitors
Goodyear, AndyFluorocarbon assisted atomic layer etching of SiO2 using low temperature cyclic Ar/CHF3 plasma
Gorelick, SergeyHybrid refractive-diffractive microlenses in glass and lithium niobate by focused Xe ion beam milling
Self-aligned structures by a single-step through-membrane 100-keV electron beam lithography
Görrn, PatrickCharacteristics of thermal imprint with perovskite layers
Greenzweig, YuvalCs Ion Coldbeam Suitability for Circuit Edit and Additional Nanomachining Applications
Griep, MarkEnhanced Plasmonic Activity of Polymer Fibers Through Nanoparticle Doping
Grise, FabienOpening the road to custom astronomical UV gratings
Grutzik, ScottNondegenerate Parametric Resonance in Large Ensembles of Coupled Micromechanical Cantilevers with Varying Natural Frequencies
Gujrati, AbhijeetGreyscale E-Beam Lithography Revisited: Dynamic Range and Roughness of Patterns on Silicon
Guo, HongjieA high brightness source of energetic helium atoms for neutral particle lithography
Guo, YubingPhotopatterning of Molecular Orientations for Fabrication of Liquid Crystal Flat Optical Devices
Gupta, ArushiDevelopment of graphene-based immunosensor for highly sensitive biosensing of waterborne pathogens

H

Hagen, Cornelis (Kees)Cleanroom in SEM
Monte-Carlo Simulation of Charge-Induced Pattern Displacement in E-Beam Lithography
Hagen, Cornelis W.Cleanroom in an SEM: in-situ area selective ALD
Hahn, LotharNew high etch resistant high resolution silsesquioxane based resist for DUV/EUV and e-beam lithography as long shelf-life and more sensitive alternative for HSQ
Hallstein, RoyCs Ion Coldbeam Suitability for Circuit Edit and Additional Nanomachining Applications
Han, LiEffect of electron beam annealing duration on the optical-electrical properties of ZnO thin films
Design of small low energy electron gun
Han, ZhengFabrication and integration of graphene interdigitated resistors on printed circuit boards for RF interrogation of biological sensors.
Han, LiA True-Color SEM-CT
Hansson, NiklasHigh volume manufacturing of advanced diffractive optical elements by injection molding
Hasan, Md NabidEffects of Abnormal Beams on Writing Qualities in Massively-parallel E-beam Systems
Heerkens, C.T.H.Micro-deflectors with two axes for a double micro-mirror aberration corrector
Heiderhoff, RalfCharacteristics of thermal imprint with perovskite layers
Heilmann, RalfCharacterizing Profile Tilt of Nanoscale Deep-Etched Gratings via X-ray Diffraction
Henderson, CliffBlock Copolymer Directed Self-Assembly Defect Modes Induced by Localized Errors in Chemoepitaxial Guiding Underlayers: A Molecular Simulation Study
Herring, GeorgeBlack Silicon for high-contrast alignment marks fabricated using maskless photolithography and optimized Bosch Reactive-Ion Etching
Hesselink, LambertusBlack Silicon for high-contrast alignment marks fabricated using maskless photolithography and optimized Bosch Reactive-Ion Etching
Heyroth, FrankNew high etch resistant high resolution silsesquioxane based resist for DUV/EUV and e-beam lithography as long shelf-life and more sensitive alternative for HSQ
Hirai, YoshihikoComputational Study on 3-D Mold Profile Correction for Resist Shrinkage in Nanoimprint
Computational study on micro 3-dimensional imaging using novel photolithography
Hirjibehedin, CyrusFabrication Capabilities for Superconducting Quits at MIT Lincoln Laboratory
Hlawacek, GregorLi-containing liquid metal alloy ion sources for focused-ion beam instrumentation
Spatially resolved materials modification using Helium Ion Microscopy
Hofmann, MartinField Emission Scanning Probe Lithography with GaN nanowires on active cantilevers
Hofmann, UlrichProcess Correction for E-Beam Exposure of HSQ
On the Trends and Application of Isofocality for Negative Resists in Electron Beam Lithography
Hofmann, MartinNanoscale Lift-Off Process Using Field-Emission Scanning Probe Lithography
Tip-based electron beam induced deposition (TB-EBID) with active cantilevers
Holland, GlennNondegenerate Parametric Resonance in Large Ensembles of Coupled Micromechanical Cantilevers with Varying Natural Frequencies
Absolute deflection measurements in a MEMS/NEMS interferometry system
Holman, ZacharyNature-Inspired Chiral Metasurfaces for Circular Polarization Detection and Full-Stokes Polarimetric Measurement
Holz, MathiasInvestigation of Line Edge Roughness in Field-Emission Scanning Probe Lithography
Field Emission Scanning Probe Lithography with GaN nanowires on active cantilevers
Nanoscale Lift-Off Process Using Field-Emission Scanning Probe Lithography
Tip-based electron beam induced deposition (TB-EBID) with active cantilevers
Holzner, FelixHigh-performance spin-coatable hardmasks for transferring high-resolution t-SPL patterns
Honda, YoshioThe annealing effect for the air-exposed surface on the GaN photocathode
Hong, FanReflective Metamaterial Polarizer Enabled by Solid Immersion Lloyd’s Mirror Interference Lithography
Hosseinzadeh, HediehIncorporation of Machine Learning in the Automation of Atom-Scale Device Fabrication
Hu, WenhanFabrication and Sharpening of Silicon In-plane Microneedles with Open Capillary Networks
Hu, PanControlled Reduction of Photobleaching in Plasmon Enhanced Fluorescence Using Collapsible Nano-Fingers
Hu, GraceAweSEM: Removing Barriers to Innovation with a Tabletop, Low-Cost SEM
Hu, PanOptical Probing of Acoustic Vibration through Coupled Plasmon Resonance
All-Dielectric Hybrid Metasurface for Visible or Near-IR Applications
Huang, HaiyingOptimization of Swelling Process in Solvent Vapor Annealed Block Copolymer Thin Films
Huang, YuqingRapid fabrication of thermoplastic nanoforest substrates for high efficient capture of cancer cells
Hudak, BethanyTowards Single Atom Doping and Control in Silicon
Huff, TaleanaMoveable Quantum Dot Probe for Detecting Near-Surface Fixed Charges
Atomic Scale Fabrication and Application of Silicon Dangling Bonds
Hugo, AdrienFabrication and integration of graphene interdigitated resistors on printed circuit boards for RF interrogation of biological sensors.
Hundekar, PrateekInfluence of high energy ion beam irradiation on nanocrystalline hafnium dioxide high-gate dielectric thin films grown by atomic layer deposition
Hunt, MatthewSub-10 nm Helium Ion Beam Lithography with Metal–Organic Resists
Huston, LaneCombined Electrostatic and Air Driven Electrospinning for Biomedical Applications
Hwang, Hae-ChulEvaluation of EUV transmittance and mechanical strength of Si-based EUV pellicle film by nitrogen ion implantation

I

Iijima, HokutoWavefront Metrology for X-Ray Free Electron Laser Instruments
Ilic, RobNondegenerate Parametric Resonance in Large Ensembles of Coupled Micromechanical Cantilevers with Varying Natural Frequencies
Ilic, BojanDesign Requirements for X-Ray Compatible Liquid Cell
Ilic, RobAbsolute deflection measurements in a MEMS/NEMS interferometry system
Iqbal, SaleemFabrication and Characterization of Multilayer Heater-Cryotrons
Israel, YonatanDesign for a 10keV Multi-Pass Transmission Electron Microscope
A programmable phase patterning device for electron beams
Ivanov, TzvetanInvestigation of Line Edge Roughness in Field-Emission Scanning Probe Lithography
Nanoscale Lift-Off Process Using Field-Emission Scanning Probe Lithography
Tip-based electron beam induced deposition (TB-EBID) with active cantilevers

J

Jacobs, TevisGreyscale E-Beam Lithography Revisited: Dynamic Range and Roughness of Patterns on Silicon
Jaiswal, SupriyaWanted: More Photons for EUV Lithography
Jeevanandam, GaudhamanCleanroom in SEM
Cleanroom in an SEM: in-situ area selective ALD
Jelena Vučković, JelenaDiamond and 4H-Silicon Carbide Quantum Photonics
Jeon, Chan-UkA multi-row writing method for massively-parallel electron-beam systems
Jeon, ChanukEffects of Abnormal Beams on Writing Qualities in Massively-parallel E-beam Systems
Jeronimo, KarinaA simplified patterning process for the selective 1D ZnO nanorods growth
Jeronimo Martinez, KarinaZinc oxide nanowires-based flexible force sensor
Jesse, StephenA Versatile Common Platform for Quantum Transport Measurements in Fluidic, Cryogenic, and In Situ Electron Microscopy Environments
Towards Single Atom Doping and Control in Silicon
Giving a microscope the intelligence to see and manipulate: automated information extraction for single atom control
Jessen, KarlAweSEM: Removing Barriers to Innovation with a Tabletop, Low-Cost SEM
Ji, ShengxiangDesign of High-X Block Copolymers for Sub-10 nm Patterning
Jiang, MiaoPhotopatterning of Molecular Orientations for Fabrication of Liquid Crystal Flat Optical Devices
Jiang, YouweiMicro-pump actuated dynamic color-morphing skin for octopus-like camouflage in soft robotics
Jiang, LiMicro-textured electrolyte-electrode interfaces in solid-state supercapacitors
Jin, XinMotion of dsDNA in a coupled nanochannel/nanopore system under an electric field
Preparation and behavior of ssDNA in porous roof nanochannels
Jindal, VibhuOptical property constant calculation for Advanced absorbers application
Jing, WeiRapid fabrication of thermoplastic nanoforest substrates for high efficient capture of cancer cells
Johansson, AliciaHigh volume manufacturing of advanced diffractive optical elements by injection molding
Jones, DavidEmpirical Modeling of Lithographic Error in Direct Write Laser (DWL) Lithography
Jones, David J.Ultrafast response time as a clue to study heat transfer in nanostructured materials
Jonnalagadda, Venu SushirA high brightness source of energetic helium atoms for neutral particle lithography
A streamlined process for fabricating multi-channel neural probes on optical fiber substrates
A radiation-resistant stencil mask coating for neutral particle nanolithography with reduced stabilization implant
Joseph, McKenzieEnhanced Plasmonic Activity of Polymer Fibers Through Nanoparticle Doping
Jouvaud, CamilleFabrication and integration of graphene interdigitated resistors on printed circuit boards for RF interrogation of biological sensors.
Juffmann, ThomasDesign for a 10keV Multi-Pass Transmission Electron Microscope
Jung, Seok HeonMetal organic cluster photoresists: etch behavior of metal oxide systems

K

Kaddoura, MoufeedFabrication and Sharpening of Silicon In-plane Microneedles with Open Capillary Networks
Kagan, CherieMarrying Top-Down Fabrication and Bottom-Up Assembly of Colloidal Nanocrystals to Construct Devices
Kaiser, ChristianNew high etch resistant high resolution silsesquioxane based resist for DUV/EUV and e-beam lithography as long shelf-life and more sensitive alternative for HSQ
Brilliant Fluorescent Resists for E-beam and Photolithographic Applications
Kalinin, SergeiTowards Single Atom Doping and Control in Silicon
Giving a microscope the intelligence to see and manipulate: automated information extraction for single atom control
Kämmer, NicoExtending Multibeam SEM Technology to 331 Beams
Kanwal, AlokikDesign Requirements for X-Ray Compatible Liquid Cell
Kaplan, GerardoA microfluidics-integrated photonic nanosensor for rapid and sensitive detection Ebola virus antigens
Karaste, KimmoHigh-performance spin-coatable hardmasks for transferring high-resolution t-SPL patterns
Kärtner, FranzEnhanced Carrier-Envelope Phase Detection with Photoelectron Emission in Plasmonic Nanoantenna Arrays
Kasevich, MarkDesign for a 10keV Multi-Pass Transmission Electron Microscope
A programmable phase patterning device for electron beams
Nanosecond Pulse Electronics for Gated Electron Mirrors
Kashid, RanjitAtom by Atom Fabrication of Single Dopant and Single Electron Transistors for Quantum Technologies
Katzenmeyer, AaronAssessing the Other Dimension in Atomically Precise Fabrication
Kaufmann, NicolasExtending Multibeam SEM Technology to 331 Beams
Kawamata, TomoakiWavefront Metrology for X-Ray Free Electron Laser Instruments
Kawata, HiroakiComputational Study on 3-D Mold Profile Correction for Resist Shrinkage in Nanoimprint
Computational study on micro 3-dimensional imaging using novel photolithography
Keathley, PhillipEnhanced Carrier-Envelope Phase Detection with Photoelectron Emission in Plasmonic Nanoantenna Arrays
Kedia, DeepakControlled Synthesis of Nanowires/Nanorods via Electrodeposition in Anodisc Alumina Templates
Keijzer, P.Micro-deflectors with two axes for a double micro-mirror aberration corrector
Kern, DieterNanoscale engineering of 3D plasmonic nanostructures using focused helium ion beam milling
Ketelaars, W.S.M.M.Monte-Carlo Simulation of Charge-Induced Pattern Displacement in E-Beam Lithography
Khan, Md Ashiqur RahamanA streamlined process for fabricating multi-channel neural probes on optical fiber substrates
Khanom, FouziaSIMS Analysis of a Commercial Lithium Ion Battery Using a Highly Focused Neon Ion Beam
ki, Hyun KimDevelopment of graphene-based immunosensor for highly sensitive biosensing of waterborne pathogens
Kim, DavidFabrication Capabilities for Superconducting Quits at MIT Lincoln Laboratory
Kim, Seom-BeomA multi-row writing method for massively-parallel electron-beam systems
Effects of Abnormal Beams on Writing Qualities in Massively-parallel E-beam Systems
Kim, Ki-NamEvaluation of EUV transmittance and mechanical strength of Si-based EUV pellicle film by nitrogen ion implantation
Kim, MinguNanoscale metallic resistors in soft polymers
Kim, Ki-HyunControlled Synthesis of Nanowires/Nanorods via Electrodeposition in Anodisc Alumina Templates
Kim, Dae WookImprovement of Electrical Characteristics of Neural Electrode by Electroplating Process
Kim, Ho SeobImprovement of Electrical Characteristics of Neural Electrode by Electroplating Process
Kimura, HayatoMulti-beam mask writer MBM-1000
Kishimoto, T.Micro-deflectors with two axes for a double micro-mirror aberration corrector
Kiss, AndrewFabrication of nanostructures for enhanced resolution in X-ray tomographic imaging
Kisslinger, KimVapor-phase infiltration synthesis of hybrid nanocomposite resist for next generation lithography
Klimov, NikolaiNanoscale photonic dosimetry and calorimetry
Klimpel, T.Monte-Carlo Simulation of Charge-Induced Pattern Displacement in E-Beam Lithography
Klingner, NicoLi-containing liquid metal alloy ion sources for focused-ion beam instrumentation
Klopfer, BrannonDesign for a 10keV Multi-Pass Transmission Electron Microscope
Nanosecond Pulse Electronics for Gated Electron Mirrors
Knuffman, BrentonCold Atom Ion Sources
The Cesium Low Temperature Ion Source
Cs Ion Coldbeam Suitability for Circuit Edit and Additional Nanomachining Applications
Startup Award: zeroK NanoTech
Koizumi, AtsushiWavefront Metrology for X-Ray Free Electron Laser Instruments
Kommera, SwaroopBlack Silicon for high-contrast alignment marks fabricated using maskless photolithography and optimized Bosch Reactive-Ion Etching
Kong, XiangdongEffect of electron beam annealing duration on the optical-electrical properties of ZnO thin films
Kooistra-Manning, EmilyCombined Electrostatic and Air Driven Electrospinning for Biomedical Applications
Koppell, StewartDesign for a 10keV Multi-Pass Transmission Electron Microscope
A programmable phase patterning device for electron beams
Nanosecond Pulse Electronics for Gated Electron Mirrors
Koratkar, NikhilInfluence of high energy ion beam irradiation on nanocrystalline hafnium dioxide high-gate dielectric thin films grown by atomic layer deposition
Korivi, NagaMicro-textured electrolyte-electrode interfaces in solid-state supercapacitors
Kotula, PaulAssessing the Other Dimension in Atomically Precise Fabrication
Kozak, DarbyA Multifunctional Microstructure for Microscope Calibration and Nanoparticle Characterization
Kozicki, MichaelPhotochemical Synthesis of Dendritic Silver Nano-particles (AgNPs) for Anti-counterfeiting
Kravchenko, IvanA Versatile Common Platform for Quantum Transport Measurements in Fluidic, Cryogenic, and In Situ Electron Microscopy Environments
Greyscale E-Beam Lithography Revisited: Dynamic Range and Roughness of Patterns on Silicon
Krielaart, M.A.R.Micro-deflectors with two axes for a double micro-mirror aberration corrector
Kruczek, NicolasOpening the road to custom astronomical UV gratings
Kruit, P.Micro-deflectors with two axes for a double micro-mirror aberration corrector
Kruit, PieterCleanroom in SEM
Monte-Carlo Simulation of Charge-Induced Pattern Displacement in E-Beam Lithography
Cleanroom in an SEM: in-situ area selective ALD
Krylov, SlavaNondegenerate Parametric Resonance in Large Ensembles of Coupled Micromechanical Cantilevers with Varying Natural Frequencies
Absolute deflection measurements in a MEMS/NEMS interferometry system
Kulmala, Tero S.High-performance spin-coatable hardmasks for transferring high-resolution t-SPL patterns
Kumar, SandeepControlled Synthesis of Nanowires/Nanorods via Electrodeposition in Anodisc Alumina Templates
KUMAR, RAJESHInfluence of high energy ion beam irradiation on nanocrystalline hafnium dioxide high-gate dielectric thin films grown by atomic layer deposition
Kumar, MadhavFabrication and integration of graphene interdigitated resistors on printed circuit boards for RF interrogation of biological sensors.
Kumke, Michael U.Brilliant Fluorescent Resists for E-beam and Photolithographic Applications
Kurabayashi, KatsuoFabrication of Optoelectronic Cytokine Biosensors through Integration of Low-Noise MoS2 Photodetectors and Biotunable Nanoplasmonic Windows
Kusko, RebeccaA radiation-resistant stencil mask coating for neutral particle nanolithography with reduced stabilization implant
Kuznetsova, YuliyaMotion of dsDNA in a coupled nanochannel/nanopore system under an electric field
Preparation and behavior of ssDNA in porous roof nanochannels
Kuzyk, CasimirAweSEM: Removing Barriers to Innovation with a Tabletop, Low-Cost SEM

L

Laird, JamieA high-resolution source of single ions using correlated feedback
Lake, JosephStriving for Atomic Precision for large dopant arrays
The Next Generation of Extreme-Resolution E-beam Lithography
Lam, WilburNanoscale metallic resistors in soft polymers
Lavely, EugeneFabrication of nanostructures for enhanced resolution in X-ray tomographic imaging
Lavrentovich, Oleg D.Photopatterning of Molecular Orientations for Fabrication of Liquid Crystal Flat Optical Devices
Lavrik, NickolayGreyscale E-Beam Lithography Revisited: Dynamic Range and Roughness of Patterns on Silicon
Lee, Dennis T.Multilayer Stacking of 3D Periodic Nanostructures Assisted by Atomic Layer Deposition
Lee, NathanSub-10 nm Helium Ion Beam Lithography with Metal–Organic Resists
Lee, Soo-YoungA multi-row writing method for massively-parallel electron-beam systems
Effects of Abnormal Beams on Writing Qualities in Massively-parallel E-beam Systems
Lee, GisungEvaluation of EUV transmittance and mechanical strength of Si-based EUV pellicle film by nitrogen ion implantation
Lee, Dong-WookEvaluation of EUV transmittance and mechanical strength of Si-based EUV pellicle film by nitrogen ion implantation
Leifels, MiriamMultiple replication of hierarchical structures from polymer masters with anisotropy
Characteristics of thermal imprint with perovskite layers
Double replication for characterizing cracks in surface-hardened PDMS
Lewis, ScottSub-10 nm Helium Ion Beam Lithography with Metal–Organic Resists
Li, Wen-DiStep-and-repeat Nanopatterning Using Compact Two-beam Fibre-optic Interference Lithography
Li, KenanUltraHigh Aspect Ratio Silicon Structures for Energy Storage Applications
Li, YanFabrication and Sharpening of Silicon In-plane Microneedles with Open Capillary Networks
Li, YunziBio-printed hydrogel micro-droplets for culturing and analysis of microbial communities
Li, WeihaoMicro-pump actuated dynamic color-morphing skin for octopus-like camouflage in soft robotics
Nanoimprint mold fabrication by nanosphere self-assembly for QLED light extraction
Li, YuanruiInverse design of angle-sensing metasurface by particle swarm optimization
Li, KenanX-ray Shaping Using Gratings and Zone Plates and Wavefront Measurements on the Free-electron Laser
Li, YuanruiControlled Reduction of Photobleaching in Plasmon Enhanced Fluorescence Using Collapsible Nano-Fingers
Li, DaPlasma Process for Generating Movable Sulfur Vacancies in MoS2 Layers and Fabricating Analogue Ionically-Coupled Multi-Terminal Memristors
Large Area Chemical Vapor Deposition of High-Quality Few-Layer MoS2 through Modulation of Precursor Source Temperature Profiles
Li, Wen-DiMetallic Nanostructures on Arbitrary Surfaces Fabricated by Solution-processed Nanopatterning and Nanotransfer Printing
Li, WeiminOptical property constant calculation for Advanced absorbers application
Li, HongquanAweSEM: Removing Barriers to Innovation with a Tabletop, Low-Cost SEM
Li, YanliEffect of electron beam annealing duration on the optical-electrical properties of ZnO thin films
Li, Wen-DiNanoimprint-induced strain engineering of two-dimensional materials
Li, JunjieLarge size and high productive growth of SnS2 nanoflakes for good performance photodetecting application
Li, KenanWavefront Metrology for X-Ray Free Electron Laser Instruments
Li, YuanruiOptical Probing of Acoustic Vibration through Coupled Plasmon Resonance
All-Dielectric Hybrid Metasurface for Visible or Near-IR Applications
Li, YunziNanochannel-based microfluidic device for quantitative measurement of bacterial chemotaxis
Liang, ChuweiStep-and-repeat Nanopatterning Using Compact Two-beam Fibre-optic Interference Lithography
Liang, XiaoganFabrication of Optoelectronic Cytokine Biosensors through Integration of Low-Noise MoS2 Photodetectors and Biotunable Nanoplasmonic Windows
Plasma Process for Generating Movable Sulfur Vacancies in MoS2 Layers and Fabricating Analogue Ionically-Coupled Multi-Terminal Memristors
Large Area Chemical Vapor Deposition of High-Quality Few-Layer MoS2 through Modulation of Precursor Source Temperature Profiles
Liang, Zhixiu3D contact stencil masks for lift-off process on extremely uneven surface
Liao, Kuo-TangA Multifunctional Microstructure for Microscope Calibration and Nanoparticle Characterization
Optimal nanofabrication of complex nanofluidics for interfacial characterization of colloidal nanoparticles
Liddle, JamesNanofabrication by self-assembly: pathways and defects
Design Requirements for X-Ray Compatible Liquid Cell
Lilach, YigalWhy So Negative? Ways to make PMMA useful as a negative-tone resist in EBL.
Lin, YuanOne-step assembly of multi-layered structures with orthogonally oriented stripe-like patterns on the surface of a capillary tube
Lina, ShiResonant Lattice Kerker Effect in Metasurfaces of Titanium Dioxide Nanodisks
Lister, KevinOn the Trends and Application of Isofocality for Negative Resists in Electron Beam Lithography
Liu, YanSoft Robotics Programmed with Double Crosslinking DNA Hydrogels
DNA Origami-Templated Assembly of Heterogeneous Nanocavity for Quantum Emitter
Liu, P. Q.Assessing the Other Dimension in Atomically Precise Fabrication
Liu, LintaoNanofabrication of high aspect ratio and 25 nm wide Au nanostructures using low-temperature development of PMMA and pulse electrochemical deposition
Liu, YuMicro-pump actuated dynamic color-morphing skin for octopus-like camouflage in soft robotics
Liu, Peter QiangTerahertz Photonic Devices Employing Functional Nanostructures
Liu, YanweiX-ray Shaping Using Gratings and Zone Plates and Wavefront Measurements on the Free-electron Laser
Liu, ZeruiControlled Reduction of Photobleaching in Plasmon Enhanced Fluorescence Using Collapsible Nano-Fingers
Liu, XianmingAn all-purpose programmable and scalable fluid central processing unit for large-scale parallel droplet manipulations
Liu, YuAn all-purpose programmable and scalable fluid central processing unit for large-scale parallel droplet manipulations
Liu, YingSoft x-ray varied-line-spacing gratings by near field holography with an electron beam lithography-written mask
Liu, ShuweiOptical property constant calculation for Advanced absorbers application
Liu, YingEnergy dependence of self-organized nanostructures on photoresist surfaces by ion bombardment at normal incidence
Liu, JunbiaoDesign of small low energy electron gun
Liu, YanweiWavefront Metrology for X-Ray Free Electron Laser Instruments
Liu, JunbiaoA True-Color SEM-CT
Liu, HeAll-Dielectric Hybrid Metasurface for Visible or Near-IR Applications
Liu, ZeruiAll-Dielectric Hybrid Metasurface for Visible or Near-IR Applications
Livengood, RichardCs Ion Coldbeam Suitability for Circuit Edit and Additional Nanomachining Applications
Lomax, PeterA simplified patterning process for the selective 1D ZnO nanorods growth
Loncar, MarkoThe Integration of High-efficiency Vortex Light Emitters by 3D Photolithography
Longjie, LiResonant Lattice Kerker Effect in Metasurfaces of Titanium Dioxide Nanodisks
Lopez, DanielThere is plenty of room … in more dimensions
Lopez, GeraldEmpirical Modeling of Lithographic Error in Direct Write Laser (DWL) Lithography
On the Trends and Application of Isofocality for Negative Resists in Electron Beam Lithography
Process Window Enhancement through Shape Proximity Effect Correction of an Electron Beam Lithography Process
Lorenz, PierreMultiple replication of hierarchical structures from polymer masters with anisotropy
Lorusso, GianDetermining the Ultimate Resolution of SEM-based Unbiased Roughness Measurements
Lu, WuPhotopatterning of Molecular Orientations for Fabrication of Liquid Crystal Flat Optical Devices
Lu, MingVapor-phase infiltration synthesis of hybrid nanocomposite resist for next generation lithography
Lu, WuGraphene-based Microsupercapacitors for On-Chip Micro Power Sources
Lu, Tzu-MingAssessing the Other Dimension in Atomically Precise Fabrication
Lu, PingAssessing the Other Dimension in Atomically Precise Fabrication
Lu, WuDry Etching of Perovskite Oxides for High Performance Electronic Device Applications
Lu, Ming3D contact stencil masks for lift-off process on extremely uneven surface
Ludovice, PeterBlock Copolymer Directed Self-Assembly Defect Modes Induced by Localized Errors in Chemoepitaxial Guiding Underlayers: A Molecular Simulation Study
Ludvigsen, EmilHemiwicking effect on nanoscale; wetting of surfaces derived from in-situ nano-lithography by self-assembly of block-copolymer structures
Luk, T. S.Assessing the Other Dimension in Atomically Precise Fabrication
Luo, ZhirenThree-Beam Lloyd’s Mirror Interference Lithography with Liquid Immersion
Tunable nanoparticle self-assembly on magnetic template with dynamic optical properties
Lupini, AndrewTowards Single Atom Doping and Control in Silicon
Luttge, ReginaNeuronal cell network activity enhanced by nanogrooved substrates
Ly, MinhCs Ion Coldbeam Suitability for Circuit Edit and Additional Nanomachining Applications

M

Ma, Yu-tianThe quality analysis for brazing joint of copper/ diamond window using micro-computed tomography
Ma, YutianA True-Color SEM-CT
Mack, ChrisDetermining the Ultimate Resolution of SEM-based Unbiased Roughness Measurements
Mackin, CharlesNovel Technologies for Artificial Intelligence: prospects and challenges
Madison, AndrewOptimal nanofabrication of complex nanofluidics for interfacial characterization of colloidal nanoparticles
Maes, DominiqueActive control of shear and mass transport in microfluidic devices
Magel, Gregory A.In Situ Laser Heating and Excitation in the (Scanning) Transmission Electron Microscope for Real time imaging and excited state spectroscopy
Mai, TobiasNew high etch resistant high resolution silsesquioxane based resist for DUV/EUV and e-beam lithography as long shelf-life and more sensitive alternative for HSQ
Brilliant Fluorescent Resists for E-beam and Photolithographic Applications
Mailley, PascalFabrication and integration of graphene interdigitated resistors on printed circuit boards for RF interrogation of biological sensors.
Majikes, JacobNanofabrication by self-assembly: pathways and defects
Mallek, JustinFabrication Capabilities for Superconducting Quits at MIT Lincoln Laboratory
Mandsberg, NikolajHemiwicking effect on nanoscale; wetting of surfaces derived from in-situ nano-lithography by self-assembly of block-copolymer structures
Manenti, RiccardoInvestigating Nanofabrication Techniques for Low Dissipation Superconducting Qubits
Mankos, MarianDesign for a 10keV Multi-Pass Transmission Electron Microscope
Manske, EberhardNanoscale Lift-Off Process Using Field-Emission Scanning Probe Lithography
Mao, WenboThe Integration of High-efficiency Vortex Light Emitters by 3D Photolithography
Marshall, MichaelAssessing the Other Dimension in Atomically Precise Fabrication
Mastel, KieranIncorporation of Machine Learning in the Automation of Atom-Scale Device Fabrication
Mastropaolo, EnricoA simplified patterning process for the selective 1D ZnO nanorods growth
Zinc oxide nanowires-based flexible force sensor
Closed cavity resonator formed by suspended large monolayer graphene-based membrane
Masurkar, AmritaFabrication of nanostructures for enhanced resolution in X-ray tomographic imaging
Matsumoto, HiroshiMulti-beam mask writer MBM-1000
Mauger, PhilipA streamlined process for fabricating multi-channel neural probes on optical fiber substrates
Mayer, AndreMultiple replication of hierarchical structures from polymer masters with anisotropy
Characteristics of thermal imprint with perovskite layers
Double replication for characterizing cracks in surface-hardened PDMS
Mazarov, PaulLi-containing liquid metal alloy ion sources for focused-ion beam instrumentation
Mbisike, StephenParametric Study of 2D Pulsed Laser Deposited (PLD) WSe2 Transistors for enhancing an Infrared (IR) Detector
McCaughan, Adam N.Fabrication and Characterization of Multilayer Heater-Cryotrons
McClelland, JabezCold Atom Ion Sources
The Cesium Low Temperature Ion Source
McCoy, JakeBlazed gratings for X-ray astronomy fabricated by grayscale e-beam and nanoimprint
McCulloch, AndrewA high-resolution source of single ions using correlated feedback
Mccurdy, RossOptimizing 100 kV electron beam lithography for X-ray grating fabrication
McEntaffer, RandallOpening the road to custom astronomical UV gratings
Blazed gratings for X-ray astronomy fabricated by grayscale e-beam and nanoimprint
McGehee, WilliamCold Atom Ion Sources
Mechold, StephanTip-based electron beam induced deposition (TB-EBID) with active cantilevers
Mecholdt, StephanField Emission Scanning Probe Lithography with GaN nanowires on active cantilevers
Nanoscale Lift-Off Process Using Field-Emission Scanning Probe Lithography
Medeiros, OwenMeasuring thickness in thin NbN films for superconducting devices
Melville, AlexanderFabrication Capabilities for Superconducting Quits at MIT Lincoln Laboratory
Meng, DemingControlled Reduction of Photobleaching in Plasmon Enhanced Fluorescence Using Collapsible Nano-Fingers
All-Dielectric Hybrid Metasurface for Visible or Near-IR Applications
Michels, ThomasTip-based electron beam induced deposition (TB-EBID) with active cantilevers
Miljkovic, VladimirHigh volume manufacturing of advanced diffractive optical elements by injection molding
Mills, Arthur K.Ultrafast response time as a clue to study heat transfer in nanostructured materials
Ming, YaDevelopment of Free-standing Membrane-based Electrostatic Lenses for Nanopantography
Misaka, AkioComputational study on micro 3-dimensional imaging using novel photolithography
Misra, ShashankAssessing the Other Dimension in Atomically Precise Fabrication
Mohan, YuvrajInvestigating Nanofabrication Techniques for Low Dissipation Superconducting Qubits
Mohanty, SauravAssembly of Aligned Silver Nanowires using Roll-to-Roll System
Moheimani, S.O. RezaA High-Speed Z-Axis MEMS STM Nanopositioner
Mohseni, HoomanGiant Conductivity Modulation of Aluminum Oxide using Focused Ion Beam
Mol, Jan A.Nano-Calligraphy: Precisely tuning the feature spacing in scanning-probe lithography
Mol, JanA Versatile Common Platform for Quantum Transport Measurements in Fluidic, Cryogenic, and In Situ Electron Microscopy Environments
Moni, PriyaUltrathin iCVD films to Control Interfacial Energy for DSA Hole Shrink Applications
Moore, Thomas M.In Situ Laser Heating and Excitation in the (Scanning) Transmission Electron Microscope for Real time imaging and excited state spectroscopy
Mori, TakahiroaquaSAVE™ Antistatic Agent for Electron Beam Lithography
Morrell-Falvey, JenniferBio-printed hydrogel micro-droplets for culturing and analysis of microbial communities
Nanochannel-based microfluidic device for quantitative measurement of bacterial chemotaxis
Motwani, PrateekA high brightness source of energetic helium atoms for neutral particle lithography
A streamlined process for fabricating multi-channel neural probes on optical fiber substrates
Mukherjee, SubhrangsuDesign Requirements for X-Ray Compatible Liquid Cell
Müller, IngoExtending Multibeam SEM Technology to 331 Beams
Muntada, OlgaNovel approach for precise and flexible micro-nano patterning of surfaces towards enabling controlled textures on arbitrary objects
Murthy, SwathiR2R fabrication of plasmonic color surfaces
Muslimov, EduardOpening the road to custom astronomical UV gratings
Myers, DavidNanoscale metallic resistors in soft polymers
Myung, Ja HyeA Multifunctional Microstructure for Microscope Calibration and Nanoparticle Characterization

N

Nadzeyka, AchimLi-containing liquid metal alloy ion sources for focused-ion beam instrumentation
Nahmias, MitchellNeuromorphic Computing Hardware with Silicon Photonics
Nakayamada, NoriakiMulti-beam mask writer MBM-1000
Nam, Chang-YongVapor-phase infiltration synthesis of hybrid nanocomposite resist for next generation lithography
Namboodiri, PradeepAtom by Atom Fabrication of Single Dopant and Single Electron Transistors for Quantum Technologies
Narasimhan, VijayBlack Silicon for high-contrast alignment marks fabricated using maskless photolithography and optimized Bosch Reactive-Ion Etching
Narayanan, PritishNovel Technologies for Artificial Intelligence: prospects and challenges
Nathamgari, Samba Shiva PrasadInterferometric investigation of suspended monolayer TMDCs enabled by e-beam lithography
Ndoni, SokolHemiwicking effect on nanoscale; wetting of surfaces derived from in-situ nano-lithography by self-assembly of block-copolymer structures
Nealey, PaulUltrathin iCVD films to Control Interfacial Energy for DSA Hole Shrink Applications
Nehra, MonikaControlled Synthesis of Nanowires/Nanorods via Electrodeposition in Anodisc Alumina Templates
Nersisyan, AniInvestigating Nanofabrication Techniques for Low Dissipation Superconducting Qubits
Neumann, AlexanderMotion of dsDNA in a coupled nanochannel/nanopore system under an electric field
Preparation and behavior of ssDNA in porous roof nanochannels
Neustock, Lars ThorbenBlack Silicon for high-contrast alignment marks fabricated using maskless photolithography and optimized Bosch Reactive-Ion Etching
NEWTON, MichaelClosed cavity resonator formed by suspended large monolayer graphene-based membrane
Niedzielski Huffman, BethanyFabrication Capabilities for Superconducting Quits at MIT Lincoln Laboratory
Nielsen, TheodorHigh volume manufacturing of advanced diffractive optical elements by injection molding
Nishitani, TomohiroThe annealing effect for the air-exposed surface on the GaN photocathode
Wavefront Metrology for X-Ray Free Electron Laser Instruments
Niu, JiebinNanofabrication of high aspect ratio and 25 nm wide Au nanostructures using low-temperature development of PMMA and pulse electrochemical deposition
Niu, GengA True-Color SEM-CT
Niu, JiebinSuper Resonance from Gain-Assisted Silicon Nanowires
Nojeh, AlirezaAweSEM: Removing Barriers to Innovation with a Tabletop, Low-Cost SEM
Ultrafast response time as a clue to study heat transfer in nanostructured materials
Nounu, HatemA radiation-resistant stencil mask coating for neutral particle nanolithography with reduced stabilization implant

O

Ober, ChristopherMetal organic cluster photoresists: etch behavior of metal oxide systems
Ocola, LeonidasSub-Micron High Aspect Ratio Direct E-beam Patterning of SU-8 Epoxy Resist
Ogletree, FrankQuantum information at the Molecular Foundry - an overview of new toolsets for QIS research
Oh, Bo-RamFabrication of Optoelectronic Cytokine Biosensors through Integration of Low-Noise MoS2 Photodetectors and Biotunable Nanoplasmonic Windows
Ohkubo, HiroyukiFabrication of sub-10 nanometer half-pitch dense line and space structure by using electron beam lithography
Ohlhausen, JamesAssessing the Other Dimension in Atomically Precise Fabrication
Ohyi, HideyukiFabrication of sub-10 nanometer half-pitch dense line and space structure by using electron beam lithography
Oliver, WilliamFabrication Capabilities for Superconducting Quits at MIT Lincoln Laboratory
Omoregie, OsaiyekemwenMicro-textured electrolyte-electrode interfaces in solid-state supercapacitors
Oni, BenMicro-textured electrolyte-electrode interfaces in solid-state supercapacitors
Onishi, YuukiComputational Study on 3-D Mold Profile Correction for Resist Shrinkage in Nanoimprint
Ostrumov, EvgenyUltrafast response time as a clue to study heat transfer in nanostructured materials
othmen, RiadFabrication and integration of graphene interdigitated resistors on printed circuit boards for RF interrogation of biological sensors.
Ou, Tse-HsienControlled Reduction of Photobleaching in Plasmon Enhanced Fluorescence Using Collapsible Nano-Fingers
All-Dielectric Hybrid Metasurface for Visible or Near-IR Applications
Owen, JamesStriving for Atomic Precision for large dopant arrays
The Next Generation of Extreme-Resolution E-beam Lithography

P

Palermo, EdmundSuper-resolution Interference Lithography using Spirothiopyran molecular switches
Pan, WenyangMetal organic cluster photoresists: etch behavior of metal oxide systems
Pan, AixiEffect of oxygen plasma cleaning on non-switching pseudo-Bosch etching of high aspect ratio silicon pillars
Pang, StellaDetection of Filopodia and Cancer Cell Concentration by Hybrid Plasmonic and Impedance Biosensor
Park, YounggeunFabrication of Optoelectronic Cytokine Biosensors through Integration of Low-Noise MoS2 Photodetectors and Biotunable Nanoplasmonic Windows
Parker, SaraNanochannel-based microfluidic device for quantitative measurement of bacterial chemotaxis
Parsons, GregoryMultilayer Stacking of 3D Periodic Nanostructures Assisted by Atomic Layer Deposition
Patankar, ShreyasUltrafast response time as a clue to study heat transfer in nanostructured materials
Patrick, N. ShaneProcess Correction for E-Beam Exposure of HSQ
Paudel, PravinScalable fabrication of triangular nanopore membranes on sapphire substrate for low-noise DNA detection
Pazos, Ileana M.Nanoscale photonic dosimetry and calorimetry
Pease, FabianAweSEM: Removing Barriers to Innovation with a Tabletop, Low-Cost SEM
Peng, HuirenNanoimprint mold fabrication by nanosphere self-assembly for QLED light extraction
Peng, Hsuan-TungNeuromorphic Computing Hardware with Silicon Photonics
Perez-Murano, FrancescNovel approach for precise and flexible micro-nano patterning of surfaces towards enabling controlled textures on arbitrary objects
Phair, JohnParametric Study of 2D Pulsed Laser Deposited (PLD) WSe2 Transistors for enhancing an Infrared (IR) Detector
Pilz, WolfgangLi-containing liquid metal alloy ion sources for focused-ion beam instrumentation
Pitters, JasonAtomic Scale Fabrication and Application of Silicon Dangling Bonds
Plank, Harald3D Nano-Printing via Focused Electron Beams: A Perspective
Poletto, StefanoInvestigating Nanofabrication Techniques for Low Dissipation Superconducting Qubits
Popratiloff, AnastasWhy So Negative? Ways to make PMMA useful as a negative-tone resist in EBL.
Pourdavoud, NedaCharacteristics of thermal imprint with perovskite layers
Prucnal, PaulNeuromorphic Computing Hardware with Silicon Photonics
Purushothaman, GopathyA streamlined process for fabricating multi-channel neural probes on optical fiber substrates
Putnam, WilliamEnhanced Carrier-Envelope Phase Detection with Photoelectron Emission in Plasmonic Nanoantenna Arrays
Pyka, NicolasNew high etch resistant high resolution silsesquioxane based resist for DUV/EUV and e-beam lithography as long shelf-life and more sensitive alternative for HSQ

R

Rack, Philip D.In Situ Laser Heating and Excitation in the (Scanning) Transmission Electron Microscope for Real time imaging and excited state spectroscopy
Raghuram, UshaBlack Silicon for high-contrast alignment marks fabricated using maskless photolithography and optimized Bosch Reactive-Ion Etching
Rajan, SiddharthDry Etching of Perovskite Oxides for High Performance Electronic Device Applications
Rand, RichardNondegenerate Parametric Resonance in Large Ensembles of Coupled Micromechanical Cantilevers with Varying Natural Frequencies
Randall, JohnStriving for Atomic Precision for large dopant arrays
The Next Generation of Extreme-Resolution E-beam Lithography
Randhawa, NavjotA high brightness source of energetic helium atoms for neutral particle lithography
Self-folding shape memory bilayer structures formed by irradiating anisotropically stressed polycarbonate films
A streamlined process for fabricating multi-channel neural probes on optical fiber substrates
A radiation-resistant stencil mask coating for neutral particle nanolithography with reduced stabilization implant
Rangelow, Ivo W.Investigation of Line Edge Roughness in Field-Emission Scanning Probe Lithography
Rangelow, IvoFluorocarbon assisted atomic layer etching of SiO2 using low temperature cyclic Ar/CHF3 plasma
Field Emission Scanning Probe Lithography with GaN nanowires on active cantilevers
Rangelow, Ivo W.Nanoscale Lift-Off Process Using Field-Emission Scanning Probe Lithography
Rangelow, IvoTip-based electron beam induced deposition (TB-EBID) with active cantilevers
Rantala, Juha T.High-performance spin-coatable hardmasks for transferring high-resolution t-SPL patterns
Rao, MadhuriA streamlined process for fabricating multi-channel neural probes on optical fiber substrates
Rashidi, MohammadMoveable Quantum Dot Probe for Detecting Near-Surface Fixed Charges
Incorporation of Machine Learning in the Automation of Atom-Scale Device Fabrication
Atomic Scale Fabrication and Application of Silicon Dangling Bonds
Ratnayake, DilanWhy So Negative? Ways to make PMMA useful as a negative-tone resist in EBL.
Raveh, AmirCs Ion Coldbeam Suitability for Circuit Edit and Additional Nanomachining Applications
Ray, VishvaPhotopatterning of Molecular Orientations for Fabrication of Liquid Crystal Flat Optical Devices
Ray, ValeryAcuity of Electron-Beam Induced Deposition of Pt/C Composite Material
Reagor, MatthewInvestigating Nanofabrication Techniques for Low Dissipation Superconducting Qubits
Renard, JulienFabrication and integration of graphene interdigitated resistors on printed circuit boards for RF interrogation of biological sensors.
Renzas, RussInvestigating Nanofabrication Techniques for Low Dissipation Superconducting Qubits
Retterer, ScottBio-printed hydrogel micro-droplets for culturing and analysis of microbial communities
Nanochannel-based microfluidic device for quantitative measurement of bacterial chemotaxis
Reum, AlexanderTip-based electron beam induced deposition (TB-EBID) with active cantilevers
Reuter, christoferField Emission Scanning Probe Lithography with GaN nanowires on active cantilevers
Reuter, ChristophTip-based electron beam induced deposition (TB-EBID) with active cantilevers
Richter, TorstenLi-containing liquid metal alloy ion sources for focused-ion beam instrumentation
Riedesel, ChristofExtending Multibeam SEM Technology to 331 Beams
Riedl, ThomasCharacteristics of thermal imprint with perovskite layers
Rishinaramangalam, AshwinField Emission Scanning Probe Lithography with GaN nanowires on active cantilevers
Rius, GemmaNovel approach for precise and flexible micro-nano patterning of surfaces towards enabling controlled textures on arbitrary objects
Robinson-Leith, GabrielAweSEM: Removing Barriers to Innovation with a Tabletop, Low-Cost SEM
Rond, JohannesMultiple replication of hierarchical structures from polymer masters with anisotropy
Characteristics of thermal imprint with perovskite layers
Roodenburg, KoosRemoving halos around IBID deposits with a broad ion beam
Rosenberg, DannaFabrication Capabilities for Superconducting Quits at MIT Lincoln Laboratory
Ruchhoeft, PaulDevelopment of Free-standing Membrane-based Electrostatic Lenses for Nanopantography
Ryu, ByunghoonFabrication of Optoelectronic Cytokine Biosensors through Integration of Low-Noise MoS2 Photodetectors and Biotunable Nanoplasmonic Windows
Plasma Process for Generating Movable Sulfur Vacancies in MoS2 Layers and Fabricating Analogue Ionically-Coupled Multi-Terminal Memristors
Large Area Chemical Vapor Deposition of High-Quality Few-Layer MoS2 through Modulation of Precursor Source Temperature Profiles

S

Saha, ShubhroThree-Beam Lloyd’s Mirror Interference Lithography with Liquid Immersion
Sajedi, HastiA streamlined process for fabricating multi-channel neural probes on optical fiber substrates
Sakai, KazunoriMetal organic cluster photoresists: etch behavior of metal oxide systems
Sakdinawat, AnneUltraHigh Aspect Ratio Silicon Structures for Energy Storage Applications
X-ray Shaping Using Gratings and Zone Plates and Wavefront Measurements on the Free-electron Laser
Wavefront Metrology for X-Ray Free Electron Laser Instruments
Sanabia, JasonLi-containing liquid metal alloy ion sources for focused-ion beam instrumentation
Sandhir, RajatDevelopment of siRNA Functionalized Graphene Oxide Based Therapeutic Nanoformulation to Ameliorate Type-2 Diabetes Mellitus (T2DM)
Sasago, MasaruComputational study on micro 3-dimensional imaging using novel photolithography
Sato, KousukeComputational study on micro 3-dimensional imaging using novel photolithography
Sato, DaikiThe annealing effect for the air-exposed surface on the GaN photocathode
Wavefront Metrology for X-Ray Free Electron Laser Instruments
Sattelkow, Juergen3D Nano-Printing via Focused Electron Beams: A Perspective
Sawadichai, RyanDevelopment of Free-standing Membrane-based Electrostatic Lenses for Nanopantography
Schattenburg, MarkCharacterizing Profile Tilt of Nanoscale Deep-Etched Gratings via X-ray Diffraction
Scheer, Hella-ChristinMultiple replication of hierarchical structures from polymer masters with anisotropy
Characteristics of thermal imprint with perovskite layers
Double replication for characterizing cracks in surface-hardened PDMS
Scherer, AxelSub-10 nm Helium Ion Beam Lithography with Metal–Organic Resists
Schirmer, MatthiasNew high etch resistant high resolution silsesquioxane based resist for DUV/EUV and e-beam lithography as long shelf-life and more sensitive alternative for HSQ
Brilliant Fluorescent Resists for E-beam and Photolithographic Applications
Schmidt, GeorgNew high etch resistant high resolution silsesquioxane based resist for DUV/EUV and e-beam lithography as long shelf-life and more sensitive alternative for HSQ
Schmucker, ScottAtom by Atom Fabrication of Single Dopant and Single Electron Transistors for Quantum Technologies
Schnabel, BerndHow Variable is Variable Shaped Beam?
Scholten, RobertA high-resolution source of single ions using correlated feedback
Schvartzman, MarkDirect Nanoimprint of Chalcogenide Glasses for Optical Applications
Nanoscale Mechanosensing of Natural Killer Cells is Revealed by Antigen-Functionalized Nanowires
Schwalb, Christian3D Nano-Printing via Focused Electron Beams: A Perspective
Schwartz, MollieFabrication Capabilities for Superconducting Quits at MIT Lincoln Laboratory
Schwartzberg, AdamQuantum information at the Molecular Foundry - an overview of new toolsets for QIS research
Controlled Reduction of Photobleaching in Plasmon Enhanced Fluorescence Using Collapsible Nano-Fingers
Schwarzkopf, AndrewCold Atom Ion Sources
The Cesium Low Temperature Ion Source
Cs Ion Coldbeam Suitability for Circuit Edit and Additional Nanomachining Applications
Seaberg, MatthewX-ray Shaping Using Gratings and Zone Plates and Wavefront Measurements on the Free-electron Laser
Wavefront Metrology for X-Ray Free Electron Laser Instruments
Seo, SehunParametric Study of 2D Pulsed Laser Deposited (PLD) WSe2 Transistors for enhancing an Infrared (IR) Detector
Sete, Eyob A.Investigating Nanofabrication Techniques for Low Dissipation Superconducting Qubits
Shadman, KhashayarDesign for a 10keV Multi-Pass Transmission Electron Microscope
Shahbazmohamadi, SinaAcuity of Electron-Beam Induced Deposition of Pt/C Composite Material
Shao, GrantOn the Trends and Application of Isofocality for Negative Resists in Electron Beam Lithography
Sharma, AmitDevelopment of graphene-based immunosensor for highly sensitive biosensing of waterborne pathogens
Shastri, BhavinNeuromorphic Computing Hardware with Silicon Photonics
Shaw, StevenThere is plenty of room … in more dimensions
Shelby, Robert M.Novel Technologies for Artificial Intelligence: prospects and challenges
Shi, LinaSuper Resonance from Gain-Assisted Silicon Nanowires
Shibata, MasahiroFabrication of sub-10 nanometer half-pitch dense line and space structure by using electron beam lithography
Shih, Wei-chuanA streamlined process for fabricating multi-channel neural probes on optical fiber substrates
A radiation-resistant stencil mask coating for neutral particle nanolithography with reduced stabilization implant
Shikano, HarukaWavefront Metrology for X-Ray Free Electron Laser Instruments
Shirai, MasamitsuComputational Study on 3-D Mold Profile Correction for Resist Shrinkage in Nanoimprint
Computational study on micro 3-dimensional imaging using novel photolithography
Shoron, OmorDry Etching of Perovskite Oxides for High Performance Electronic Device Applications
Shoshani, OrielThere is plenty of room … in more dimensions
Silver, RickAtom by Atom Fabrication of Single Dopant and Single Electron Transistors for Quantum Technologies
simeone, danielaUltra-Narrow Gap Al/Al2O3 Bowtie Nanoantennas by Focused He Ion Milling Lithography
Simonaitis, JohnNanosecond Pulse Electronics for Gated Electron Mirrors
Singh, VishalDevelopment of siRNA Functionalized Graphene Oxide Based Therapeutic Nanoformulation to Ameliorate Type-2 Diabetes Mellitus (T2DM)
Singhal, NitinDevelopment of siRNA Functionalized Graphene Oxide Based Therapeutic Nanoformulation to Ameliorate Type-2 Diabetes Mellitus (T2DM)
Skinner, JackCombined Electrostatic and Air Driven Electrospinning for Biomedical Applications
Hollow Mn2O3 Nanoparticles for Supercapacitor Electrodes via Solvothermal and High-Temperature Processing
Enhanced Plasmonic Activity of Polymer Fibers Through Nanoparticle Doping
Slodowski, MatthiasHow Variable is Variable Shaped Beam?
Smith, RandyFabrication of nanostructures for enhanced resolution in X-ray tomographic imaging
Snijders, PaulTowards Single Atom Doping and Control in Silicon
Soleymaniha, MohammadrezaDesign and Nanofabrication of New Heated Atomic Force Microscope (AFM) Cantilever for Nanolithography Applications
Song, JiamingTowards Single Atom Doping and Control in Silicon
Song, YujingFabrication of Optoelectronic Cytokine Biosensors through Integration of Low-Noise MoS2 Photodetectors and Biotunable Nanoplasmonic Windows
Song, BoxiangControlled Reduction of Photobleaching in Plasmon Enhanced Fluorescence Using Collapsible Nano-Fingers
Song, JungkiCharacterizing Profile Tilt of Nanoscale Deep-Etched Gratings via X-ray Diffraction
Song, BoxiangHardware Acceleration Implementation of Kalman filter with Memristor
Optical Probing of Acoustic Vibration through Coupled Plasmon Resonance
All-Dielectric Hybrid Metasurface for Visible or Near-IR Applications
Soppera, OlivierMicro and nanopatterning of Metal Oxo-Cluster photoresists
Spieser, MartinHigh-performance spin-coatable hardmasks for transferring high-resolution t-SPL patterns
Staabs, JohannesMultiple replication of hierarchical structures from polymer masters with anisotropy
Characteristics of thermal imprint with perovskite layers
Stanwyck, SamInvestigating Nanofabrication Techniques for Low Dissipation Superconducting Qubits
Stark, ThomasFabrication of nanostructures for enhanced resolution in X-ray tomographic imaging
Stavis, SamuelA Multifunctional Microstructure for Microscope Calibration and Nanoparticle Characterization
Optimal nanofabrication of complex nanofluidics for interfacial characterization of colloidal nanoparticles
Steele, AdamCold Atom Ion Sources
The Cesium Low Temperature Ion Source
Cs Ion Coldbeam Suitability for Circuit Edit and Additional Nanomachining Applications
Startup Award: zeroK NanoTech
Steffen, MaritaBrilliant Fluorescent Resists for E-beam and Photolithographic Applications
Steglich, ThomasBrilliant Fluorescent Resists for E-beam and Photolithographic Applications
Stein, AaronVapor-phase infiltration synthesis of hybrid nanocomposite resist for next generation lithography
Fabrication of nanostructures for enhanced resolution in X-ray tomographic imaging
Stemmer, SusanneDry Etching of Perovskite Oxides for High Performance Electronic Device Applications
Stewart, MichaelAtom by Atom Fabrication of Single Dopant and Single Electron Transistors for Quantum Technologies
Stolberg, InesHow Variable is Variable Shaped Beam?
Stroes, JanRemoving halos around IBID deposits with a broad ion beam
Stroobants, SanderActive control of shear and mass transport in microfluidic devices
Su, ZhijuanA microfluidics-integrated photonic nanosensor for rapid and sensitive detection Ebola virus antigens
Subramanian, AshwanthVapor-phase infiltration synthesis of hybrid nanocomposite resist for next generation lithography
Sugihara, DaikiComputational study on micro 3-dimensional imaging using novel photolithography
Sun, YingjuanOne-step assembly of multi-layered structures with orthogonally oriented stripe-like patterns on the surface of a capillary tube
Sun, ChuyingNanoimprint-induced strain engineering of two-dimensional materials
Sunagawa, HirokiComputational Study on 3-D Mold Profile Correction for Resist Shrinkage in Nanoimprint
Suryanarayana, AnuPreparation and behavior of ssDNA in porous roof nanochannels
Swett, Jacob L.Nano-Calligraphy: Precisely tuning the feature spacing in scanning-probe lithography
Swett, JacobA Versatile Common Platform for Quantum Transport Measurements in Fluidic, Cryogenic, and In Situ Electron Microscopy Environments
Szornel, Julia E.Fluorocarbon assisted atomic layer etching of SiO2 using low temperature cyclic Ar/CHF3 plasma

T

Taboryski, RafaelHemiwicking effect on nanoscale; wetting of surfaces derived from in-situ nano-lithography by self-assembly of block-copolymer structures
R2R fabrication of plasmonic color surfaces
Tait, AlexanderNeuromorphic Computing Hardware with Silicon Photonics
Tamura, MarcusIncorporation of Machine Learning in the Automation of Atom-Scale Device Fabrication
Tan, ShidaCs Ion Coldbeam Suitability for Circuit Edit and Additional Nanomachining Applications
Tao, LiPhotoelectric Effects of Bismuthene for Innovative Sensing and Energy Devices
Taucer, MarcoAtomic Scale Fabrication and Application of Silicon Dangling Bonds
Tazrin, FahimaFabrication and Sharpening of Silicon In-plane Microneedles with Open Capillary Networks
Telecka, AgnieszkaHemiwicking effect on nanoscale; wetting of surfaces derived from in-situ nano-lithography by self-assembly of block-copolymer structures
Tisa, Tamana AfrinA streamlined process for fabricating multi-channel neural probes on optical fiber substrates
Tiwale, NikhilVapor-phase infiltration synthesis of hybrid nanocomposite resist for next generation lithography
Toomey, EmilyInvestigation of ma-N 2400 Series Photoresist as an Electron Beam Resist for Superconducting Nanoscale Devices
Toomey, Emily A.Fabrication and Characterization of Multilayer Heater-Cryotrons
Tosh, Ronald E.Nanoscale photonic dosimetry and calorimetry
Tsai, HsinyuNovel Technologies for Artificial Intelligence: prospects and challenges
Turchetti, MarcoNanosecond Pulse Electronics for Gated Electron Mirrors
Enhanced Carrier-Envelope Phase Detection with Photoelectron Emission in Plasmonic Nanoantenna Arrays
Turiv, TarasPhotopatterning of Molecular Orientations for Fabrication of Liquid Crystal Flat Optical Devices
Tzvetan, IvanovField Emission Scanning Probe Lithography with GaN nanowires on active cantilevers

U

Ueba, RyosukeMulti-beam mask writer MBM-1000
Ullal, ChaitanyaSuper-resolution Interference Lithography using Spirothiopyran molecular switches
Ute, Golla-SchindlerSIMS Analysis of a Commercial Lithium Ion Battery Using a Highly Focused Neon Ion Beam

V

Van Campen, DouglasUltraHigh Aspect Ratio Silicon Structures for Energy Storage Applications
van de Burgt, YoeriOrganic Electronics for Neuromorphic Computing
van der Linden, J.H.M.Micro-deflectors with two axes for a double micro-mirror aberration corrector
van Goozen, YoupCleanroom in an SEM: in-situ area selective ALD
van Nunen, TeunNeuronal cell network activity enhanced by nanogrooved substrates
van Tol, R.F.C.Micro-deflectors with two axes for a double micro-mirror aberration corrector
Cleanroom in SEM
van Tol, RuudCleanroom in an SEM: in-situ area selective ALD
Vasireddy, PrafulEnhanced Carrier-Envelope Phase Detection with Photoelectron Emission in Plasmonic Nanoantenna Arrays
Vasudevan, RamaGiving a microscope the intelligence to see and manipulate: automated information extraction for single atom control
Verdoorn, BoydRemoving halos around IBID deposits with a broad ion beam
Verschuuren, MarcSubstrate Conformal Imprint Lithography. High volume NIL production with functional resists
Blazed gratings for X-ray astronomy fabricated by grayscale e-beam and nanoimprint
Vijayamohanan, HarikrishnanSuper-resolution Interference Lithography using Spirothiopyran molecular switches
Vine, WyattMoveable Quantum Dot Probe for Detecting Near-Surface Fixed Charges
Von Ehr, JamesStriving for Atomic Precision for large dopant arrays
Vu, KimInvestigating Nanofabrication Techniques for Low Dissipation Superconducting Qubits

W

Walker, EmilyPhotoelectric Effects of Bismuthene for Innovative Sensing and Energy Devices
Wallin, ChristopherNondegenerate Parametric Resonance in Large Ensembles of Coupled Micromechanical Cantilevers with Varying Natural Frequencies
Absolute deflection measurements in a MEMS/NEMS interferometry system
Walter, PeterWavefront Metrology for X-Ray Free Electron Laser Instruments
Wan, ChunxuMicro-pump actuated dynamic color-morphing skin for octopus-like camouflage in soft robotics
Nanoimprint mold fabrication by nanosphere self-assembly for QLED light extraction
Wang, JunFabrication of nanostructures for enhanced resolution in X-ray tomographic imaging
Wang, ChaoPhotochemical Synthesis of Dendritic Silver Nano-particles (AgNPs) for Anti-counterfeiting
Soft Robotics Programmed with Double Crosslinking DNA Hydrogels
DNA Origami-Templated Assembly of Heterogeneous Nanocavity for Quantum Emitter
Wang, YunxiangInverse design of angle-sensing metasurface by particle swarm optimization
Wang, XiqiaoAtom by Atom Fabrication of Single Dopant and Single Electron Transistors for Quantum Technologies
Wang, YunxiangControlled Reduction of Photobleaching in Plasmon Enhanced Fluorescence Using Collapsible Nano-Fingers
Wang, ChaoNature-Inspired Chiral Metasurfaces for Circular Polarization Detection and Full-Stokes Polarimetric Measurement
Wang, CaiyuDry Etching of Perovskite Oxides for High Performance Electronic Device Applications
Wang, XuemeiNanoscale Details of Liquid Drops on 1D Patterned Surfaces Revealed by Etching
Wang, ChaoScalable fabrication of triangular nanopore membranes on sapphire substrate for low-noise DNA detection
Wang, ChengDesign Requirements for X-Ray Compatible Liquid Cell
Wang, Ruo-QianEfficient Bacteria Trapping and Retrieving via Low Aspect Ratio Nano-Sieve Device
Wang, YanDesign of small low energy electron gun
Wang, Ruo-QianFabrication of Extremely Shallow “Nano-Sieve” Device with Positive Photoresist Sacrificial Layer
Wang, YanA True-Color SEM-CT
Wang, Ruo-QianHydrodynamic Induced Deformation of Nano-Sieve Fluidic Device for Efficient Microparticle Trapping and Deposition
Wang, YunxiangOptical Probing of Acoustic Vibration through Coupled Plasmon Resonance
All-Dielectric Hybrid Metasurface for Visible or Near-IR Applications
Ward, DanielAssessing the Other Dimension in Atomically Precise Fabrication
Watanabe, KentaComputational Study on 3-D Mold Profile Correction for Resist Shrinkage in Nanoimprint
Watson, PatSidewall Channel Fabrication Using Membrane Projection Lithography and Metal Assisted Chemical Etching
Weber, StevenFabrication Capabilities for Superconducting Quits at MIT Lincoln Laboratory
Weber-Bargioni, AlexQuantum information at the Molecular Foundry - an overview of new toolsets for QIS research
Wei, Qi-HuoPhotopatterning of Molecular Orientations for Fabrication of Liquid Crystal Flat Optical Devices
Weidenmueller, UlfHow Variable is Variable Shaped Beam?
Weiss, ThomasX-ray Shaping Using Gratings and Zone Plates and Wavefront Measurements on the Free-electron Laser
Weitzer, Anna3D Nano-Printing via Focused Electron Beams: A Perspective
Wertheim, AlexSub-10 nm Helium Ion Beam Lithography with Metal–Organic Resists
Westly, DaronNondegenerate Parametric Resonance in Large Ensembles of Coupled Micromechanical Cantilevers with Varying Natural Frequencies
Whyland, TylerInvestigating Nanofabrication Techniques for Low Dissipation Superconducting Qubits
Winkler, Robert3D Nano-Printing via Focused Electron Beams: A Perspective
Winpenny, RichardSub-10 nm Helium Ion Beam Lithography with Metal–Organic Resists
Wojcik, MichaelSub-Micron High Aspect Ratio Direct E-beam Patterning of SU-8 Epoxy Resist
Wolf, BenIn Situ Laser Heating and Excitation in the (Scanning) Transmission Electron Microscope for Real time imaging and excited state spectroscopy
Wolfe, JohnA high brightness source of energetic helium atoms for neutral particle lithography
Self-folding shape memory bilayer structures formed by irradiating anisotropically stressed polycarbonate films
A streamlined process for fabricating multi-channel neural probes on optical fiber substrates
A radiation-resistant stencil mask coating for neutral particle nanolithography with reduced stabilization implant
Wolkow, RobertMoveable Quantum Dot Probe for Detecting Near-Surface Fixed Charges
Incorporation of Machine Learning in the Automation of Atom-Scale Device Fabrication
Atomic Scale Fabrication and Application of Silicon Dangling Bonds
Wood, GrahamZinc oxide nanowires-based flexible force sensor
Closed cavity resonator formed by suspended large monolayer graphene-based membrane
Wu, WeiInverse design of angle-sensing metasurface by particle swarm optimization
Controlled Reduction of Photobleaching in Plasmon Enhanced Fluorescence Using Collapsible Nano-Fingers
Wu, YueyingIn Situ Laser Heating and Excitation in the (Scanning) Transmission Electron Microscope for Real time imaging and excited state spectroscopy
Wu, WangzhouDry Etching of Perovskite Oxides for High Performance Electronic Device Applications
Wu, WeiHardware Acceleration Implementation of Kalman filter with Memristor
Optical Probing of Acoustic Vibration through Coupled Plasmon Resonance
All-Dielectric Hybrid Metasurface for Visible or Near-IR Applications
Wyrick, JonAtom by Atom Fabrication of Single Dopant and Single Electron Transistors for Quantum Technologies

X

Xia, DeyingNeon Ion Beam Etching on Photoresist Patterns
Xia, PengkunScalable fabrication of triangular nanopore membranes on sapphire substrate for low-noise DNA detection
Xie, NingzhiEmpirical Modeling of Lithographic Error in Direct Write Laser (DWL) Lithography
Xie, ChangqingNanofabrication of high aspect ratio and 25 nm wide Au nanostructures using low-temperature development of PMMA and pulse electrochemical deposition
Super Resonance from Gain-Assisted Silicon Nanowires
Xin, Huolin3D contact stencil masks for lift-off process on extremely uneven surface
Xing, YaruAn all-purpose programmable and scalable fluid central processing unit for large-scale parallel droplet manipulations
Xu, JingClosed cavity resonator formed by suspended large monolayer graphene-based membrane

Y

Yamamoto, HiromichiSidewall Channel Fabrication Using Membrane Projection Lithography and Metal Assisted Chemical Etching
Yamashita, RyunosukeComputational Study on 3-D Mold Profile Correction for Resist Shrinkage in Nanoimprint
Yamazaki, AkiraaquaSAVE™ Antistatic Agent for Electron Beam Lithography
Yan, HaoSoft Robotics Programmed with Double Crosslinking DNA Hydrogels
DNA Origami-Templated Assembly of Heterogeneous Nanocavity for Quantum Emitter
Yang, RuifengFabrication and Sharpening of Silicon In-plane Microneedles with Open Capillary Networks
Yang, HaoGraphene-based Microsupercapacitors for On-Chip Micro Power Sources
Inverse design of angle-sensing metasurface by particle swarm optimization
Yang, YujiaEnhanced Carrier-Envelope Phase Detection with Photoelectron Emission in Plasmonic Nanoantenna Arrays
Yang, HaoControlled Reduction of Photobleaching in Plasmon Enhanced Fluorescence Using Collapsible Nano-Fingers
Dry Etching of Perovskite Oxides for High Performance Electronic Device Applications
Hardware Acceleration Implementation of Kalman filter with Memristor
All-Dielectric Hybrid Metasurface for Visible or Near-IR Applications
Yao, YuPhotochemical Synthesis of Dendritic Silver Nano-particles (AgNPs) for Anti-counterfeiting
DNA Origami-Templated Assembly of Heterogeneous Nanocavity for Quantum Emitter
Nature-Inspired Chiral Metasurfaces for Circular Polarization Detection and Full-Stokes Polarimetric Measurement
Yao, YuhanAll-Dielectric Hybrid Metasurface for Visible or Near-IR Applications
Yasuda, MasaakiComputational Study on 3-D Mold Profile Correction for Resist Shrinkage in Nanoimprint
Computational study on micro 3-dimensional imaging using novel photolithography
Yasui, KenichiMulti-beam mask writer MBM-1000
Yazgi, Sertac GuneriInvestigation of Line Edge Roughness in Field-Emission Scanning Probe Lithography
Ye, BrionAweSEM: Removing Barriers to Innovation with a Tabletop, Low-Cost SEM
Yeates, StephenSub-10 nm Helium Ion Beam Lithography with Metal–Organic Resists
Yeh, Chun-ChengMicro and nanopatterning of Metal Oxo-Cluster photoresists
Yoder, JonilynFabrication Capabilities for Superconducting Quits at MIT Lincoln Laboratory
Yoon, JeongseopPlasma Process for Generating Movable Sulfur Vacancies in MoS2 Layers and Fabricating Analogue Ionically-Coupled Multi-Terminal Memristors
Yoon, Jeong SeopLarge Area Chemical Vapor Deposition of High-Quality Few-Layer MoS2 through Modulation of Precursor Source Temperature Profiles
Yost, Donna-RuthFabrication Capabilities for Superconducting Quits at MIT Lincoln Laboratory
Youngblood, NathanNano-Calligraphy: Precisely tuning the feature spacing in scanning-probe lithography
Yu, HaoPhotopatterning of Molecular Orientations for Fabrication of Liquid Crystal Flat Optical Devices
Yu, YangLi-containing liquid metal alloy ion sources for focused-ion beam instrumentation
Yu, Shang-YuMicro and nanopatterning of Metal Oxo-Cluster photoresists
Yusuf, MahaBlack Silicon for high-contrast alignment marks fabricated using maskless photolithography and optimized Bosch Reactive-Ion Etching

Z

Zajadacz, JoachimMultiple replication of hierarchical structures from polymer masters with anisotropy
Zaman, Mohammad AsifBlack Silicon for high-contrast alignment marks fabricated using maskless photolithography and optimized Bosch Reactive-Ion Etching
Zan, HsiaowenMicro and nanopatterning of Metal Oxo-Cluster photoresists
Zang, FahengA microfluidics-integrated photonic nanosensor for rapid and sensitive detection Ebola virus antigens
Zehnder, AlanNondegenerate Parametric Resonance in Large Ensembles of Coupled Micromechanical Cantilevers with Varying Natural Frequencies
Zeidler, DirkExtending Multibeam SEM Technology to 331 Beams
Zhang, HangFabrication and Sharpening of Silicon In-plane Microneedles with Open Capillary Networks
Zhang, CuipingMetallic Nanostructures on Arbitrary Surfaces Fabricated by Solution-processed Nanopatterning and Nanotransfer Printing
Zhang, MeiyueOn the Trends and Application of Isofocality for Negative Resists in Electron Beam Lithography
Zhang, JieHydrodynamic Induced Deformation of Nano-Sieve Fluidic Device for Efficient Microparticle Trapping and Deposition
Zhang, XiaohuiHydrodynamic Induced Deformation of Nano-Sieve Fluidic Device for Efficient Microparticle Trapping and Deposition
Zhang, XuInterferometric investigation of suspended monolayer TMDCs enabled by e-beam lithography
Zhao, ZhiPhotochemical Synthesis of Dendritic Silver Nano-particles (AgNPs) for Anti-counterfeiting
Soft Robotics Programmed with Double Crosslinking DNA Hydrogels
DNA Origami-Templated Assembly of Heterogeneous Nanocavity for Quantum Emitter
Zhao, KaiyueNanoimprint-induced strain engineering of two-dimensional materials
Zhao, weixiaDesign of small low energy electron gun
Zhao, QingyuanFabrication and Characterization of Multilayer Heater-Cryotrons
Zhao, WeixiaA True-Color SEM-CT
Zhong, GuixiongThe Integration of High-efficiency Vortex Light Emitters by 3D Photolithography
Zhou, ChunUltrathin iCVD films to Control Interfacial Energy for DSA Hole Shrink Applications
Zhu, ChenxuFabrication and Sharpening of Silicon In-plane Microneedles with Open Capillary Networks
Zhu, XiaoliNanofabrication of high aspect ratio and 25 nm wide Au nanostructures using low-temperature development of PMMA and pulse electrochemical deposition
Zhu, LiangHigh-Performance Infrared Nano-Rectennas Using New Photonic Nanostructures and 2D Materials
zhu, ruichaoOptical Scatterometry for In-line Nano-Manufacturing
Zhu, ShuyanDetection of Filopodia and Cancer Cell Concentration by Hybrid Plasmonic and Impedance Biosensor
Zhu, DiLow-contrast electron beam lithography process for fabrication of sloped sidewall HSQ spacers
Zhu, DilingWavefront Metrology for X-Ray Free Electron Laser Instruments
Ziatdinov, MaximGiving a microscope the intelligence to see and manipulate: automated information extraction for single atom control
Zimmer, KlausMultiple replication of hierarchical structures from polymer masters with anisotropy
Zimmerman, NeilAtom by Atom Fabrication of Single Dopant and Single Electron Transistors for Quantum Technologies
Zonnevylle, A.C.Monte-Carlo Simulation of Charge-Induced Pattern Displacement in E-Beam Lithography
Zuo, JiaweiScalable fabrication of triangular nanopore membranes on sapphire substrate for low-noise DNA detection
Zwolak, MichaelNanofabrication by self-assembly: pathways and defects

EIPBN Abstracts