EIPBN

EIPBN 2019 Table of Contents


Section Abstract and Authors
Plenary 1 Unravelling the Origins of Functionality through Correlative Multimodal Chemical Imaging, Olga Ovchinnikova, Chemical Imaging Team Lead and R&D Scientist, Center for Nanophase Materials Sciences, Oak Ridge National Laboratory
Plenary 2 Engineering and imaging the tissue microenvironment, Karen Cheng, Department of Electrical and Computer Engineering, The University of British Columbia
Plenary 3 Quantum Computing with Super Conducting Circuits, Markus Brink, IBM T. J. Watson Research Center
1A-1 (Invited)
3D-Nanosculpturing
3D Nano-Printing via Focused Electron Beams: A Perspective, H. Plank, R. Winkler, J. Sattelkow, A. Weitzer; Christian Graz University of Technology & Graz Centre for Electron Microscopy, J. Fowlkes; Center for Nanophase Materials Sciences, Oak Ridge National Laboratory, C. Schwalb; GETec Microscopy Inc.
1A-2
3D-Nanosculpturing
Self-folding shape memory bilayer structures formed by irradiating anisotropically stressed polycarbonate films, N. S. Randhawa, J. C. Wolfe, University of Houston
1A-3
3D-Nanosculpturing
Nano-Calligraphy: Precisely tuning the feature spacing in scanning-probe lithography, Harish Bhaskaran,Jan A. Mol, Nikolaos Farmakidis Jacob L. Swett, Nathan Youngblood, University of Oxford Department of Materials
1A-4 (Invited)
3D-Nanosculpturing
Cleanroom in SEM, C.W. Hagen, G. Jeevanandam, M. Scotuzzi, V. van der Meijden, N. Noordzij, R. van Tol, Y. van Goozen, P. Kruit, Delft University of Technology
1B-1
Biomedical Devices
Motion of dsDNA in a coupled nanochannel/nanopore system under an electric field, Yuliya Kuznetsova, Alexander Neumann, Olga Amisova and S.R.J. Brueck, Armonica Technologies, Inc.
1B-2
Biomedical Devices
Fabrication and Sharpening of Silicon In-plane Microneedles with Open Capillary Networks, Y. Li, H. Zhang, R. Yang, C. Zhu, W. Hu, F. Tazrin, M. Kaddoura*, E. J. M. Blondeel*, B. Cui, University of Waterloo, *ExVivo Labs Inc.
1B-3
Biomedical Devices
A streamlined process for fabricating multi-channel neural probes on optical fiber substrates, Tamana Afrin Tisa, Maduri Manjunath, Venu Sushir, Mufaddal Gheewala, Apeksha Awale, Pratik Motwani, Navjot S. Randhawa, M. Ashiqur Khan, Hasti Sajedi, E.J. Charlson, Wei-Chuan Shih, J.C. Wolfe, Ariana Andrei*, Valentin Dragoi*, Gopathy Purushothaman**, John A. Dani***, Philip Mauger****, University of Houston, *Univ. of Texas-Houston Medical School, **Parallon Business Performance Group, ***University of Pennsylvania, ****Nanostructures, Inc.
1B-4
Biomedical Devices
Bio-printed hydrogel micro-droplets for culturing and analysis of microbial communities, Y. Li, A. N. Bible, J. L. Morrell-Falvey, M. J. Doktycz, S. T. Retterer, Biosciences Division, Oak Ridge National Laboratory
1B-5
Biomedical Devices
A microfluidics-integrated photonic nanosensor for rapid and sensitive detection Ebola virus antigens, F. Zang, Z. Su, G. Kaplan*, and S. Y. Chou, Princeton University, *U.S. Food and Drug Administration
1C-1 (Invited)
Quantum Computing & Technology
Marrying Top-Down Fabrication and Bottom-Up Assembly of Colloidal Nanocrystals to Construct Devices, Cherie Kagan, University of Pennsylvania
1C-2
Quantum Computing & Technology
Fabrication Capabilities for Superconducting Quits at MIT Lincoln Laboratory, B. M. Niedzielski, D. K. Kim, J. L. Yoder, A. Melville, G. Calusine, R. Das, A. L. Day, C. F. Hirjibehedin, J. Mallek, D. Rosenberg, M. Schwartz, S. Weber, D. R. W. Yost, W. D. Oliver, MIT Lincoln Laboratory
1C-3
Quantum Computing & Technology
Moveable Quantum Dot Probe for Detecting Near-Surface Fixed Charges, Taleana Huff **, Thomas Dienel, Mohammad Rashidi **, Roshan Achal **, Wyatt Vine, Robert A. Wolkow *,** University of Alberta, * Nanotechnology Initiative, **Quantum Silicon
1C-4 (Invited)
Quantum Computing & Technology
Diamond and 4H-Silicon Carbide Quantum Photonics, Constantin Dory, Jelena Vučković, E. L. Ginzton Laboratory, Stanford University
2A-1 (Invited)
Electron-& Ion-Beam Lithography
How Variable is Variable Shaped Beam?, Ines Stolberg, Matthias Slodowski, Bernd Schnabel, Ulf Weidenmueller, Vistec Electron Beam GmbH
2A-2
Electron-& Ion-Beam Lithography
Fabrication of nanostructures for enhanced resolution in X-ray tomographic imaging, A. Masurkar, T. Stark, E. Lavely, A. Stein*, Y. Gao*, A. Kiss*, R. Smith*, J. Wang*, Y. Chu*, BAE Systems, *Brookhaven National Laboratory
2A-3
Electron-& Ion-Beam Lithography
The potential of negative-tone PMMA e-beam lithography to enhance superconducting nanowire applications, I. Charaev, M. Colangelo, A. Dane, A. Agarwal, K. K. Berggren, Massachusetts Institute of Technology
2A-4 (Invited)
Electron-& Ion-Beam Lithography
Multi-beam mask writer MBM-1000, H. Matsumoto, H. Kimura, R. Ueba, K. Yasui, N. Nakayamada, NuFlare Technology
2B-1 (Invited)
Quantum Computing & Technology
Superconducting Nanowires for Single-Photon Detection, Electronics, and Microwave Plasmonics, Karl K. Berggren, Massachusetts Institute of Technology
2B-2
Quantum Computing & Technology
Quantum information at the Molecular Foundry - an overview of new toolsets for QIS research, Adam Schwartzberg, Stefano Cabrini, Frank Ogletree, Shaul Aloni, Alex Weber-Bargioni, The Molecular Foundry, Lawrence Berkeley National Lab
2B-3
Quantum Computing & Technology
A Versatile Common Platform for Quantum Transport Measurements in Fluidic, Cryogenic, and In Situ Electron Microscopy Environments, J. Swett*, I. Kravchenko**, X. Bian*, O. Dyck**, S. Jesse**, J. Mol*,***, *University of Oxford, **Oak Ridge National Laboratory, ***Queen Mary University of London
2B-4 (Invited)
Quantum Computing & Technology
Investigating Nanofabrication Techniques for Low Dissipation Superconducting Qubits, Tyler Whyland, Ani Nersisyan, Stefano Poletto, Nasser Alidoust, Riccardo Manenti, Russ Renzas, Cat-Vu Bui, Kim Vu, Yuvraj Mohan, Eyob A. Sete, Sam Stanwyck, Andrew Bestwick, and Matthew Reagor, Rigetti Computing
2C-1 (Invited)
Probe-based Lithography
Patterning metal contacts on monolayer MoS2 with vanishing Schottky barriers using thermal nanolithography, Xiaoriu Zheng, Edoardo Albisetti, Annalisa Calo, Xiangyu Liu, Elisa Riedo, New York University
2C-2
Probe-based Lithography
Investigation of Line Edge Roughness in Field-Emission Scanning Probe Lithography, S. G. Yazgi, B. E. Alaca, T. Ivanov*, M. Holz**, I. W. Rangelow**, Koc University, *TU Ilmenau, **nano analytik GmbH
2C-3
Probe-based Lithography
Design and Nanofabrication of New Heated Atomic Force Microscope (AFM) Cantilever for Nanolithography Applications, M. Soleymaniha, J. R. Felts, Texas A&M University
2C-4
Probe-based Lithography
High-performance spin-coatable hardmasks for transferring high-resolution t-SPL patterns, T. S. Kulmala, S. Bisig, M. Spieser, U. Duerig, F. Holzner, T. M. Gädda*, K. Karaste*, J. T. Rantala*, SwissLitho AG, *PiBond Oy
2C-5 (Invited)
Probe-based Lithography
Incorporation of Machine Learning in the Automation of Atom-Scale Device Fabrication, J. Croshaw, M. Rashidi, K. Mastel, M. Tamura, H. Hosseinzadeh*, R. Wolkow, University of Alberta, * Quantum Silicon Inc.
3A-1
Advanced Materials Applications I
Graphene-based Microsupercapacitors for On-Chip Micro Power Sources, Hao Yang, Wu Lu, The Ohio State University
3A-2
Advanced Materials Applications I
Photochemical Synthesis of Dendritic Silver Nano-particles (AgNPs) for Anti-counterfeiting, Z. Zhao, N. Chamele, M. Kozicki, Y. Yao, C. Wang, Arizona State University
3A-3
Advanced Materials Applications I
Soft Robotics Programmed with Double Crosslinking DNA Hydrogels, Z. Zhao, C. Wang, Y. Liu, H. Yan, Arizona State University
3A-4
Advanced Materials Applications I
DNA Origami-Templated Assembly of Heterogeneous Nanocavity for Quantum Emitter, Z. Zhao, X. Chen, A. Basiri, Y. Yao, Y. Liu, H. Yan, C. Wang, Arizona State University
3A-5
Advanced Materials Applications I
A simplified patterning process for the selective 1D ZnO nanorods growth, Y. Geng, E. Mastropaolo, K. Jeronimo, M. A. Bin Che Mahzan, P. Lomax, R. Cheung, University of Edinburgh
3B-1
Atomically Precise Fabrication
Atomic Scale Fabrication and Application of Silicon Dangling Bonds, Roshan Achal*,**, Mohammad Rashidi*,**, Jeremiah Croshaw*, David Churchill***, Marco Taucer*,**, Taleana Huff*,**, Martin Cloutier****, Jason Pitters**,****, Robert A. Wolkow*,**,****, *University of Alberta, **Quantum Silicon, Inc., ***Memorial University, St. John’s, ****Nanotechnology Research Centre
3B-2
Atomically Precise Fabrication
Assessing the Other Dimension in Atomically Precise Fabrication, A. M. Katzenmeyer, T. S. Luk, M. T. Marshall, E. Bussmann, P. Q. Liu, J. Olhausen, P. Kotula, P. Lu, E. Anderson, D. M. Campbell, T. M. Lu, D. R. Ward, S. Misra, Sandia National Laboratories
3B-3
Atomically Precise Fabrication
Striving for Atomic Precision for large dopant arrays, J. H. G. Owen, J. Lake, E. Fuchs, J. N. Randall, J. R. Von Ehr, Zyvex Labs
3B-4
Atomically Precise Fabrication
Nanofabrication by self-assembly: pathways and defects, Jacob Majikes, Michael Zwolak, J. Alexander Liddle, Physical Measurement Laboratory, National Institute of Standards and Technology
3B-5
Atomically Precise Fabrication
Towards Single Atom Doping and Control in Silicon, A. R. Lupini, B. M. Hudak, S. Jesse, J. Song, P. C. Snijders, S. V. Kalinin Oak Ridge National Laboratory
4A-1
Advanced Pattern Transfer I
Fluorocarbon assisted atomic layer etching of SiO2 using low temperature cyclic Ar/CHF3 plasma, S. Dallorto, A. Goodyear**, M. Cooke**, S. Dhuey, J. E. Szornel, I. W. Rangelow*, S. Cabrini, Molecular Foundry, Lawrence Berkeley National Lab, *TU Ilmenau, **Oxford Instruments
4A-2
Advanced Pattern Transfer I
Fabrication of Optoelectronic Cytokine Biosensors through Integration of Low-Noise MoS2 Photodetectors and Biotunable Nanoplasmonic Windows, Byunghoon Ryu, Younggeun Park, Bo-Ram Oh, Yujing Song, Katsuo Kurabayashi, and Xiaogan Liang*
4A-3
Advanced Pattern Transfer I
High volume manufacturing of advanced diffractive optical elements by injection molding, B. Bilenberg, T. Nielsen, A. Johansson, V. Miljkovic, N. Hansson, I. Czolkos, NIL Technology
4A-4
Advanced Pattern Transfer I
Nanofabrication of high aspect ratio and 25 nm wide Au nanostructures using low-temperature development of PMMA and pulse electrochemical deposition, Xiaoli Zhu*, Bo Cui*, Lintao Liu**, Jiebin Niu**, Changqing Xie**, *University of Waterloo, **Institute of Microelectronics of Chinese Academy of Sciences
4B-1
Micro-& Nanoscale Mech. Devices
There is plenty of room … in more dimensions, David A. Czaplewski, Axel Eriksson*, Oriel Shoshani**, Steven W. Shaw***, and Daniel Lopez, Center for Nanoscale Materials, Argonne National Laboratory, *Chalmers University, Sweden, **Ben-Gurion University, Israel, ***Florida Institute of Technology
4B-2
Micro-& Nanoscale Mech. Devices
Nondegenerate Parametric Resonance in Large Ensembles of Coupled Micromechanical Cantilevers with Varying Natural Frequencies, C.B. Wallin, R. De Alba, N. Dick*, D. Westly, G. Holland, S. Grutzik**, A.T. Zehnder***, R.H. Rand***, V. Aksyuk, S. Krylov*, B.R. Ilic, National Institute Of Standards And Technology, *Tel Aviv University, **Sandia National Laboratories, ***Cornell University
4B-3
Micro-& Nanoscale Mech. Devices
Zinc oxide nanowires-based flexible force sensor, G. S. Wood, M. A. Bin Che Mahzan, K. Jeronimo Martinez, E. Mastropaolo, University of Edinburgh
4B-4
Micro-& Nanoscale Mech. Devices
Micro-pump actuated dynamic color-morphing skin for octopus-like camouflage in soft robotics, Chunxu Wan, Weihao Li, Rifei Chen, Yu Liu, Youwei Jiang, Xing Cheng, Southern University of Science and Technology
4C-1
Nanoimprint
Substrate Conformal Imprint Lithography. High volume NIL production with functional resists, Marc A. Verschuuren, Philips SCIL Nanoimprint Solutions
4C-2
Nanoimprint
Direct Nanoimprint of Chalcogenide Glasses for Optical Applications, D. Yehuda*, N. Ostrovsky*, S. Tzdaka* , E. Kassys**, S. Joseph*, M. Schvartzman*, * Isle Katz Institute of Nanoscale Science and Technology, Ben-Gurion University of the Negev, ** Optical Component Center
4C-3
Nanoimprint
Multiple replication of hierarchical structures from polymer masters with anisotropy, A. Mayer, J. Rond, J. Staabs, M. Leifels, H.-C. Scheer, J. Zajadacz*, M. Erhardt*, P. Lorenz*, K. Zimmer*, University of Wuppertal, *Leibniz Institute for Surface Modification - Leipzig
4C-4
Nanoimprint
Nanoimprint mold fabrication by nanosphere self-assembly for QLED light extraction, Huiren Peng, Weihao Li, Chunxu Wan, Xing Cheng, Southern University of Science and Technology
5A-1 (Invited)
Advanced Ion-Beam Technologies I
Cold Atom Ion Sources, J. J. McClelland, J. R. Gardner, W. R. McGehee, A. Schwarzkopf*, B. Knuffman*, A. V. Steele*, NIST, *zeroK NanoTech
5A-2
Advanced Ion-Beam Technologies I
A high-resolution source of single ions using correlated feedback, A. J. McCulloch, J. S. Laird, R. E. Scholten, The University of Melbourne
5A-3
Advanced Ion-Beam Technologies I
Nanoscale engineering of 3D plasmonic nanostructures using focused helium ion beam milling, C. Dreser, A. Bräuer, D. P. Kern, M. Fleischer, University of Tuebingen
5A-4
Advanced Ion-Beam Technologies I
The Cesium Low Temperature Ion Source, A.V. Steele, B. Knuffman, A. Schwarzkopf, J.J. McClelland*, zeroK NanoTech, *National Institute of Standards and Technology
5A-5
Advanced Ion-Beam Technologies I
Li-containing liquid metal alloy ion sources for focused-ion beam instrumentation, P. Mazarov, A. Nadzeyka, T. Richter, Y. Yu*, J. E. Sanabia*, L. Bischoff**, G. Hlawacek**, W. Pilz**, N. Klingner**, Raith GmbH, *Raith America, **Helmholtz-Zentrum Dresden-Rossendorf
5A-6
Advanced Ion-Beam Technologies I
Cs Ion Coldbeam Suitability for Circuit Edit and Additional Nanomachining Applications, Y. Greenzweig, R.H. Livengood, R.M. Hallstein, Y. Drezner, M.P. Ly, S. Tan, A. Raveh, A.V. Steele*, B. Knuffman*, A. Schwarzkopf*, Intel Corporation, * zeroK Nanotech
5B-1 (Invited)
Photonics I
Terahertz Photonic Devices Employing Functional Nanostructures, Peter Qiang Liu, University at Buffalo, State University of New York
5B-2
Photonics I
Nanoscale photonic dosimetry and calorimetry, Nikolai N. Klimov, Ronald E. Tosh, Ryan Fitzgerald, Zeeshan Ahmed, Fred Bateman, Lonnie T. Cumberland, Ileana M. Pazos, National Institute of Standards and Technology
5B-3
Photonics I
Inverse design of angle-sensing metasurface by particle swarm optimization, Yunxiang Wang, Yuanrui Li, Hao Yang, Wei Wu, University of Southern California, Los Angeles
5B-4
Photonics I
Enhanced Carrier-Envelope Phase Detection with Photoelectron Emission in Plasmonic Nanoantenna Arrays, Y. Yang, P. D. Keathley, W. P. Putnam*, P. Vasireddy, M. Turchetti, F. X. Kärtner**, K. K. Berggren, Massachusetts Institute Of Technology, *Northrop Grumman Corp., **University of Hamburg and Deutsches Elektronen-Synchrotron (DESY)
5B-5
Photonics I
X-ray Shaping Using Gratings and Zone Plates and Wavefront Measurements on the Free-electron Laser, K. Li, Y. Liu, T. Weiss, M. Seaberg, M. Chollet, A. Sakdinawat, SLAC National Accelerator Laboratory
5B-6
Photonics I
Ultra-Narrow Gap Al/Al2O3 Bowtie Nanoantennas by Focused He Ion Milling Lithography, Massimo Cuscunà, Daniela Simeone, Giuseppe Calafiore* and Stefano Cabrini*, CNR-NANOTEC, *Molecular Foundry LBNL
5C-1 (Invited)
Next Generation ElectronBeam Lithography
The Next Generation of Extreme-Resolution E-beam Lithography, J.N. Randall, J.H.G. Owen, E. Fuchs, J. Lake, Zyvex Labs
5C-2
Next Generation ElectronBeam Lithography
Atom by Atom Fabrication of Single Dopant and Single Electron Transistors for Quantum Technologies, Richard Silver1, Xiqiao Wang2,3, Ranjit Kashid1, Jon Wyrick1, Pradeep Namboodiri1, Scott W. Schmucker3, Michael D. Stewart Jr.1, and Neil Zimmerman1,1National Institute of Standards and Technology, 2Chemical Physics Program, University of Maryland, 3Joint Quantum Institute, University of Maryland
5C-3
Next Generation ElectronBeam Lithography
STM lithography and surface stability investigation of halogen-terminated Si(100)-(2x1), K.J. Dwyer, Michael Dreyer, Jennifer E. DeMell*, R.E. Butera*, University of Maryland, *Laboratory for Physical Sciences
5C-4
Next Generation ElectronBeam Lithography
Field Emission Scanning Probe Lithography with GaN nanowires on active cantilevers, Mahmoud Behzadirad, Ashwin K. Rishinaramangalam, Daniel Feezell, Tito Busani, Christoph Reuter*, Alexander Reum*, Mathias Holz*, Stephan Mecholdt**, Martin Hofmann**, Ahmad Ahmad**, Tzvetan Ivanov**, and Ivo W. Rangelow**, Center for High Technology Materials, University of New Mexico, Albuquerque, *nano analytik GmbH, **Department of Micro- and Nanoelectronic Systems, Technische Universität Ilmenau
5C-5
Next Generation ElectronBeam Lithography
Giving a microscope the intelligence to see and manipulate: automated information extraction for single atom control, O. Dyck, M. Ziatdinov, X. Li, R. Vasudevan, S. V. Kalinin, S. Jesse, Oak Ridge National Laboratory
5C-6
Next Generation ElectronBeam Lithography
A High-Speed Z-Axis MEMS STM Nanopositioner, A. Alipour, M. B. Coskun, S.O. R. Moheimani, University of Texas at Dallas
6A-1 (Invited)
Advanced Ion-Beam Technologies II
Imaging and Modification of 2D Materials: How Helium Ion Microscopy helps to fabricate novel filters and capacitors, Armin Gölzhäuser, Bielefeld University, Xiaoli Zhu, University of Waterloo
6A-2
Advanced Ion-Beam Technologies II
Hybrid refractive-diffractive microlenses in glass and lithium niobate by focused Xe ion beam milling, S. Gorelick, A. de Marco, Monash University, University of Warwick, ARC Centre of Excellence in Advanced Molecular Imaging
6A-3
Advanced Ion-Beam Technologies II
Neon Ion Beam Etching on Photoresist Patterns, Deying Xia and Doug Runt, Carl Zeiss SMT, Inc. PCS Integration Center,
6A-4 (Invited)
Advanced Ion-Beam Technologies II
Spatially resolved materials modification using Helium Ion Microscopy, Gregor Hlawacek, Helmholtz Zentrum Dresden Rossendorf
6B-1 (Invited)
Photonics II
High-Performance Infrared Nano-Rectennas Using New Photonic Nanostructures and 2D Materials, L. Zhu, P. Y. Chen, University of Illinois at Chicago
6B-2
Photonics II
Controlled Reduction of Photobleaching in Plasmon Enhanced Fluorescence Using Collapsible Nano-Fingers, B. Song, Z. Liu, Y. Wang, Y. Li, H. Yang, D. Meng, B. Chen, P. Hu, T. Ou, S. Cronin, A. Schwartzberg*, S. Cabrini*, and W. Wu, University of Southern California, *Lawrence Berkeley National Laboratory
6B-3
Photonics II
Nature-Inspired Chiral Metasurfaces for Circular Polarization Detection and Full-Stokes Polarimetric Measurement, Ali Basiri, Xiahui Chen, Jing Bai, Pouya Amrollahi, Joe Carpenter, Zachary Holman, Chao Wang, Yu Yao, Arizona State University
6B-4 (Invited)
Photonics II
Spatiotemporal Manipulation of Optical Fields enabled by Metasurfaces, A. Agrawal, W. Zhu, C. Zhang, L. Chen, S. Divitt and H. J. Lezec*, *National Institute of Standards and Technology, **University of Maryland
6C-1
Simulation and Modeling
Computational Study on 3-D Mold Profile Correction for Resist Shrinkage in Nanoimprint, K. Watanabe*, H. Sunagawa*, R. Yamashita**, M. Yasuda*, M. Shirai*, H. Kawata*, Y. Onishi**, Y. Hirai*, *Osaka Pref. Univ.,**Toyko Intitute of Technology
6C-2
Simulation and Modeling
Determining the Ultimate Resolution of SEM-based Unbiased Roughness Measurements, Gian F. Lorusso, Chris A. Mack*, imec, *Fractilia
6C-3
Simulation and Modeling
Modeling and Fabrication of Randomly Close Packed Nanostructures using Non-Monodispersed Colloidal Particles, T. Chen, I. Chen, C. Chang, North Carolina State University
6C-4
Simulation and Modeling
Monte-Carlo Simulation of Charge-Induced Pattern Displacement in E-Beam Lithography, K.T. Arat, C.W. Hagen, P. Kruit, A.C. Zonnevylle*, W.S.M.M. Ketelaars*, T. Klimpel**, Delft University of Technology, *RAITH B.V., **GenISys GmbH
6C-5
Simulation and Modeling
Process Correction for E-Beam Exposure of HSQ, R.J. Bojko, U. Hofmann, N.S. Patrick*, GenISys GmbH, *University of Washington
7A-1 (Invited)
Imaging and Characterization
A Multifunctional Microstructure for Microscope Calibration and Nanoparticle Characterization, K.-T. Liao, C. R. Copeland*, J. H. Myung, D. Kozak, S. M. Stavis*, Food and Drug Administration, * National Institute of Standards and Technology
7A-2
Imaging and Characterization
Optical Scatterometry for In-line Nano-Manufacturing, J.J. Faria Briceno, Ruichao Zhu, S.R.J. Brueck, University of New Mexico
7A-3
Imaging and Characterization
SIMS Analysis of a Commercial Lithium Ion Battery Using a Highly Focused Neon Ion Beam, Fouzia Khanom, U. Golla-Schindler*, Carl Zeiss SMT, Inc., *Aalen University
7A-4
Imaging and Characterization
Characterizing Profile Tilt of Nanoscale Deep-Etched Gratings via X-ray Diffraction, J. Song, R. K. Heilmann, M. L. Schattenburg, A. R. Bruccoleri*, Massachusetts Institute Of Technology Kavli Institute for Astrophysics and Space Research, *Izentis LLC
7A-5
Imaging and Characterization
In Situ Laser Heating and Excitation in the (Scanning) Transmission Electron Microscope for Real time imaging and excited state spectroscopy, David A. Garfinkel, Yueying Wu, Gerd Duscher and Philip D. Rack,*, Thomas M. Moore**, Ben Wolf** and Gregory A. Magel** University of Tennessee *Oak Ridge National Laboratory, **Waviks Inc.
7B-1 (Invited)
Nanoelectronics
Organic Electronics for Neuromorphic Computing, Y. van de Burgt, Eindhoven University of Technology
7B-2
Nanoelectronics
Dry Etching of Perovskite Oxides for High Performance Electronic Device Applications, J. Cheng, C. Wang, H. Yang, S. Rajan, W. Lu*, N. Combs, W. Wu, O. Shoron, S. Stemmer**, *The Ohio State University, **University of California, Santa Barbara
7B-3
Nanoelectronics
Photoelectric Effects of Bismuthene for Innovative Sensing and Energy Devices, Zhaoying Dang1, Emily Walker2, Seth Bank2, Deji Akinwande2, and Li Tao1, 1Southeast University, 2Microelectronic Research Center, The University of Texas at Austin
7B-4
Nanoelectronics
Plasma Process for Generating Movable Sulfur Vacancies in MoS2 Layers and Fabricating Analogue Ionically-Coupled Multi-Terminal Memristors, D. Li, J. Yoon, B. Ryu, and X. Liang, Mechanical Engineering Department, University of Michigan, Ann Arbor
7B-5 (Invited)
Nanoelectronics
Nanofabrication and Characterization of Novel Devices Based on Two Dimensional (2D) Materials, Saptarshi Das, Pennsylvania State University
7C-1 (Invited)
Micro- and Nanofluidics
Active control of shear and mass transport in microfluidic devices, W. De Malsche, P. Gelin, µFlow group, Vrije Universiteit Brussel, S. Stroobants, D. Maes, SBB, Vrije Universiteit Brussel
7C-2
Micro- and Nanofluidics
Optimal nanofabrication of complex nanofluidics for interfacial characterization of colloidal nanoparticles, A. Madison, K.-T. Liao*, S. M. Stavis, National Institute of Standards and Technology, *Food and Drug Administration
7C-3
Micro- and Nanofluidics
Hemiwicking effect on nanoscale; wetting of surfaces derived from in-situ nano-lithography by self-assembly of block-copolymer structures, Emil Ludvigsen, Nikolaj Mandsberg, Agnieszka Telecka, Sokol Ndoni, Rafael Taboryski, Technical University of Denmark
7C-4
Micro- and Nanofluidics
Nanoscale Details of Liquid Drops on 1D Patterned Surfaces Revealed by Etching, Xuemei Wang, Juan J. Faria Briceno, Tito Busani, S. R. J Brueck, University of New Mexico
7C-5
Micro- and Nanofluidics
An all-purpose programmable and scalable fluid central processing unit for large-scale parallel droplet manipulations, Yaru Xing, Xianming Liu, Yu Liu, Rifei Chen, Xing Cheng, Southern University of Science and Technology
8A-1
Lithographic Materials I
Sub-Micron High Aspect Ratio Direct E-beam Patterning of SU-8 Epoxy Resist, M. J. Wojcik, V. De Andrade, L. E. Ocola*, Argonne National Lab, IBM
8A-2
Lithographic Materials I
A radiation-resistant stencil mask coating for neutral particle nanolithography with reduced stabilization implant, Rebecca E. Kusko, Hatem Nounu*, Venu Sushir**, Navjot S. Randhawa**, Wei-Chuan Shih**, and JC Wolfe**, Texas Tech University Health Science Center, *Johnson Space Center, **University of Houston
8A-3
Lithographic Materials I
Design of High-X Block Copolymers for Sub-10 nm Patterning, S. Ji, Chinese Academy of Sciences
8A-4
Lithographic Materials I
Block Copolymer Directed Self-Assembly Defect Modes Induced by Localized Errors in Chemoepitaxial Guiding Underlayers: A Molecular Simulation Study, J. B. Delony*, P. J. Ludovice**, C. L. Henderson*, *University of South Florida, **Georgia Institute of Technology
8B-1 (Invited)
Neuromorphic Hardware
Neuromorphic Computing Hardware with Silicon Photonics, B. J. Shastri, A. N. Tait*, M. A. Nahmias*, T. Ferreira de Lima*, H.-T. Peng*, P. R. Prucnal*, Queen's University, **Princeton University
8B-2
Neuromorphic Hardware
Large Area Chemical Vapor Deposition of High-Quality Few-Layer MoS2 through Modulation of Precursor Source Temperature Profiles, J.S. Yoon, B. Ryu, D. Li, X. Liang, University of Michigan
8B-3
Neuromorphic Hardware
Hardware Acceleration Implementation of Kalman filter with Memristor, Buyun Chen, Hao Yang, Boxiang Song, Wei Wu University of Southern California
8B-4 (Invited)
Neuromorphic Hardware
Novel Technologies for Artificial Intelligence: prospects and challenges, Stefano Ambrogio, Pritish Narayanan, Hsinyu Tsai, Charles Mackin, An Chen, Robert M. Shelby, Geoffrey W. Burr, IBM Research-Almaden
8C-1 (Invited)
Advanced Materials Applications II
Plasma-enhanced atomic layer deposition of transition metal dichalcogenides: from 2D monolayers to 3D vertical nanofins, Ageeth A. Bol, Eindhoven University of Technology
8C-2
Advanced Materials Applications II
Multilayer Stacking of 3D Periodic Nanostructures Assisted by Atomic Layer Deposition, I-Te Chen,* Dennis T. Lee, Gregory Parsons, Chih-Hao Chang, North Carolina State University
8C-3
Advanced Materials Applications II
Parametric Study of 2D Pulsed Laser Deposited (PLD) WSe2 Transistors for enhancing an Infrared (IR) Detector, S.Mbisike, S.Seo*, J.Phair**, R.Cheung, University of Edinburgh, Gwangju Institute of Science and Technology*, Pyreos Ltd**
8C-4
Advanced Materials Applications II
Cleanroom in an SEM: in-situ area selective ALD, G. Jeevanandam, R. van Tol, Y. Van Goozen, P. Kruit, C.W. Hagen, Delft University of Technology
9A-1
Lithographic Materials II
Metal organic cluster photoresists: etch behavior of metal oxide systems, Kazunori Sakai, Seok Heon Jung*, Wenyang Pan*, Emmanuel Giannelis*, Christopher Ober*, JSR Corp., *Cornell University
9A-2
Lithographic Materials II
New high etch resistant high resolution silsesquioxane based resist for DUV/EUV and e-beam lithography as long shelf-life and more sensitive alternative for HSQ, Tobias Mai, Christian Kaiser, Matthias Schirmer, Maik Gerngroß, Allresist GmbH, Frank Heyroth, Georg Schmidt, Martin-Luther Universität , Lothar Hahn, Karlsruhe Institute of Technology
9A-3
Lithographic Materials II
Micro and nanopatterning of Metal Oxo-Cluster photoresists, Chun-Cheng Yeh, Shang-Yu Yu, Po-Yi Chang, Dominique Berling, Olivier Soppera, Hsiao-Wen Zan*, CNRS IS2M, *NCTU
9A-4
Lithographic Materials II
Sub-10 nm Helium Ion Beam Lithography with Metal–Organic Resists, M. Hunt, G. DeRose, H. Alty*, A. Wertheim, N. Lee, S. Yeates*, R. Winpenny*, A. Scherer, S. Lewis*, California Institute of Technology, University of Manchester*
9B-1
Nanobiology and Nanomedicine
Trends in Nanosensor Arrays for Nanobiology & Nanomedicine, Reginald Farrow, New Jersey Institute of Technology
9B-2
Nanobiology and Nanomedicine
Preparation and behavior of ssDNA in porous roof nanochannels, Anu Suryanarayana*, Olga Amosova, Yuliya Kuznetsove, Xin Jin, S.R.J. Brueck, Armonica Technologies, Inc., Jeremy Edwards, University of New Mexico
9B-3
Nanobiology and Nanomedicine
Scalable fabrication of triangular nanopore membranes on sapphire substrate for low-noise DNA detection, Pengkun Xia, Jiawei Zuo, Pravin Paudel, Chao Wang, Arizona State University
9B-4
Nanobiology and Nanomedicine
Combined Electrostatic and Air Driven Electrospinning for Biomedical Applications, L.G. Huston, E.A. Kooistra-Manning, J.L. Skinner, J.M. Andriolo, Montana Technological University
9B-5
Nanobiology and Nanomedicine
Detection of Filopodia and Cancer Cell Concentration by Hybrid Plasmonic and Impedance Biosensor, S. Zhu, M. Eldeeb, S. W. Pang, City University of Hong Kong
9C-1
Advanced Pattern Transfer II
Design Requirements for X-Ray Compatible Liquid Cell, Alokik Kanwal, B. Robert Ilic, Subhrangsu Mukherjee, Eliot H. Gann, Cheng Wang*, Isvar A. Cordova*, Dean DeLongchamp, and J. Alexander Liddle, National Institute of Standards and Technology, *Lawrence Berkeley National Laboratory
9C-2
Advanced Pattern Transfer II
Ultrathin iCVD films to Control Interfacial Energy for DSA Hole Shrink Applications, M. Dolejsi, P. Moni*, C. Bezik, C. Zhou, J. J. de Pablo, K. Gleason*, P. F. Nealey, University of Chicago *Massachusetts Institute of Technology
9C-3
Advanced Pattern Transfer II
Efficient Bacteria Trapping and Retrieving via Low Aspect Ratio Nano-Sieve Device, Xinye Chen1; Luke Falzon2 Ruo-Qian Wang3, Ke Du1, 1Rochester Institute of Technology, 2The University of Dundee; 3Rutgers, The State University of New Jersey
9C-4
Advanced Pattern Transfer II
Metallic Nanostructures on Arbitrary Surfaces Fabricated by Solution-processed Nanopatterning and Nanotransfer Printing, J. Cai, Z. Gan, C. Zhang, W. D. Li, University of Hong Kong
9C-5
Advanced Pattern Transfer II
Fabrication of Ultrahigh Aspect Ratio Trenches by Two-Step KOH Anisotropic Wet Etching, Huseyin Ekinci*, Ripon Kumar Dey, Bo Cui; University of Waterloo, *Erzincan University
10A-1
Advanced Lithography
Black Silicon for high-contrast alignment marks fabricated using maskless photolithography and optimized Bosch Reactive-Ion Etching, M. Yusuf, G. K. Herring*, L. T. Neustock*, U. Raghuram**, S. Kommera**, V. Narasimhan***,M. A. Zaman*, L. Hesselink*, Department of Chemical Engineering, Stanford, Department of Electrical Engineering, Stanford*, Stanford Nanofabrication Facility**, Intermolecular Inc***.
10A-2
Advanced Lithography
Super-resolution Interference Lithography using Spirothiopyran molecular switches, H. Vijayamohanan, E. Palermo, C. Ullal, Rensselaer Polytechnic Institute
10A-3
Advanced Lithography
Empirical Modeling of Lithographic Error in Direct Write Laser (DWL) Lithography, N. Xie, D. Jones, G. Lopez, University of Pennsylvania
10A-4
Advanced Lithography
The Integration of High-efficiency Vortex Light Emitters by 3D Photolithography, Wenbo Mao, Stanley Feeney, Daniel Getega, Guixiong Zhong, Jiangdong Deng, Marko Lončar, Fang Bo*, Harvard University, *Nankai University
10A-5
Advanced Lithography
Step-and-repeat Nanopatterning Using Compact Two-beam Fibre-optic Interference Lithography, Zhuofei Gan, Chuwei Liang, Jingxuan Cai, Dehu Cui*, and Wen-Di Li, The University of Hong Kong, *Southern University of Science and Technology
10B-1
Electron and Ion beam Sources and Optics
Design for a 10keV Multi-Pass Transmission Electron Microscope, S. A. Koppell, A. J. Bowman, Y. Israel, B. B. Klopfer, M. A. Kasevich, T. Juffmann*, M. Mankos**, K.Shadman**, Stanford University, *University of Vienna, **Electron Optica
10B-2
Electron and Ion beam Sources and Optics
Micro-deflectors with two axes for a double micro-mirror aberration corrector, T. Kishimoto* **, H. Dohi**, M.A.R. Krielaart*, C.T.H. Heerkens*, R.F.C. van Tol*, J.H.M van der Linden*, P. Keijzer*, P. Kruit*, *Delft University of Technology, **Hitachi High-Technologies Corp
10B-3
Electron and Ion beam Sources and Optics
A high brightness source of energetic helium atoms for neutral particle lithography, Venu Sushir, Navjot Randhawa, Hong-Jie Guo, Barry Craver, Apeksha Awale, Pratik Motwani, John C. Wolfe
10B-4
Electron and Ion beam Sources and Optics
A programmable phase patterning device for electron beams, S. A. Koppell, A. J. Bowman, Y. Israel, M. A. Kasevich, Stanford University
10B-5
Electron and Ion beam Sources and Optics
Nanosecond Pulse Electronics for Gated Electron Mirrors, J.W. Simonaitis*, M. Turchetti*, N. Abedzadeh*, B.B. Klopfer**, S.A. Koppell**, M.A. Kasevich**, K.K. Berggren*
10B-6
Electron and Ion beam Sources and Optics
Extending Multibeam SEM Technology to 331 Beams, C. Riedesel, I. Müller, N. Kaufmann, A. Adolf, N. Kämmer, H. Fritz, D. Zeidler, Carl Zeiss Microscopy GmbH
10C-1 (Invited)
Highlights in Nanofabrication
Wanted: More Photons for EUV Lithography, S.L. Jaiswal, Astrileux Corporation
10C-2
Highlights in Nanofabrication
Photopatterning of Molecular Orientations for Fabrication of Liquid Crystal Flat Optical Devices, H Yu, M Jiang, Y Guo, T Turiv, OD Lavrentovich, V Ray*, W Lu**, QH Wei. Kent State University, *University of Michigan, **Ohio State University
10C-3
Highlights in Nanofabrication
UltraHigh Aspect Ratio Silicon Structures for Energy Storage Applications, Donald S. Gardner, Kenan Li, Douglas Van Campen, Anne Sakadinawat, Stanford Synchrotron Radiation Lightsource, SLAC National Accelerator Labs
10C-4
Highlights in Nanofabrication
Vapor-phase infiltration synthesis of hybrid nanocomposite resist for next generation lithography, N. Tiwale*, A. Subramanian**, K. Kisslinger*, M. Lu*, A. Stein*, C.-Y. Nam* **, *Brookhaven National Laboratory, **Stony Brook University
10C-5
Highlights in Nanofabrication
Novel approach for precise and flexible micro-nano patterning of surfaces towards enabling controlled textures on arbitrary objects, G. Rius, O. Muntada, F. Pérez-Murano, A. García-Granada*, T. Baldi*, C. Colominas*, IMB-CNM-CSIC, *IQS
P1-01 (Invited) Low-contrast electron beam lithography process for fabrication of sloped sidewall HSQ spacers, M. Colangelo, D. Zhu, K. K. Berggren, Massachusetts Institute of Technology

P1-02 Fabrication of sub-10 nanometer half-pitch dense line and space structure by using electron beam lithography, M. Shibata, H. Ohkubo, H. Ohyi, CRESTEC CORPORATION

P1-03 Self-aligned structures by a single-step through-membrane 100-keV electron beam lithography, S. Gorelick, A. de Marco, Monash University, University of Warwick, ARC Centre of Excellence in Advanced Molecular Imaging

P1-04 A multi-row writing method for massively-parallel electron-beam systems, S.-Y. Lee, B.-S.Ahn*, J. Choi*, S.-B. Kim and C.-U. Jeon*, Auburn University, *Samsung Electronics

P1-05 Effects of Abnormal Beams on Writing Qualities in Massively-parallel E-beam Systems, M. N. Hasan, S.-Y. Lee, B.-S. Ahn*, J. Choi*, S.-B. Kim* and C.-U. Jeon*, Auburn University, *Samsung Electronics

P1-06 Optimizing 100 kV electron beam lithography for X-ray grating fabrication, R. McCurdy, R. McEntaffer, F. Grisé, C. Eichfeld, The Pennsylvania State University

P1-07 On the Trends and Application of Isofocality for Negative Resists in Electron Beam Lithography, G. Lopez, M. Zhang, G. Shao, G. de Villafranca, K. Lister*, N. Belic**, U. Hofmann**, University of Pennsylvania, University of Delaware*, GenISys GmbH

P1-08 Why So Negative? Ways to make PMMA useful as a negative-tone resist in EBL., Y. Lilach, D. Ratnayake, A. Popratiloff, The George Washington University, Washington DC

P1-09 Soft x-ray varied-line-spacing gratings by near field holography with an electron beam lithography-written mask, Ying Liu, University of Science and Technology of China

P1-10 Optical property constant calculation for Advanced absorbers application, Shuwei Liu, Weimin Li, Khor Wui Cheng, Vibhu Jindal, Applied Materials

P1-11 Evaluation of EUV transmittance and mechanical strength of Si-based EUV pellicle film by nitrogen ion implantation, Gi-Sung Lee, Hae-Chul Hwang, Ki-Nam, Kim, National Nanofab Center

P1-12 Investigation of ma-N 2400 Series Photoresist as an Electron Beam Resist for Superconducting Nanoscale Devices, E. Toomey, M. Colangelo, K. K. Berggren, Massachusetts Institute of Technology

P1-13 Three-Beam Lloyd’s Mirror Interference Lithography with Liquid Immersion, Shubhro Saha, Zhiren Luo, and Chih-Hao Chang, North Carolina State University

P1-14 aquaSAVE™ Antistatic Agent for Electron Beam Lithography, Takahiro Mori, Akira Yamazaki, Mitsubishi Chemical Corporation

P1-15 (Invited) Computational study on micro 3-dimensional imaging using novel photolithography, D. Sugihara, A. Misaka, K. Sato, H. Kikuta, H. Kawata, M. Yasuda, M. Shirai, M. Sasago, Y.Hirai, Osaka Prefecture University

P1-16 Greyscale E-Beam Lithography Revisited: Dynamic Range and Roughness of Patterns on Silicon, I. I. Kravchenko, N. V. Lavrik, A. Gujrati* and T. D. B. Jacobs*, Oak Ridge National Laboratory, *University of Pittsburgh

P1-17 3D contact stencil masks for lift-off process on extremely uneven surface, Ming Lu, Zhixiu Liang, Huolin Xin* Brookhaven National Laboratory, *University of California, Irvine

P1-18 Nanoscale Lift-Off Process Using Field-Emission Scanning Probe Lithography, M. Hofmann, S. Mecholdt, A. Ahmad, T. Ivanov, E. Manske, I. W. Rangelow, M. Holz*, TU Ilmenau, *Nanoanalytik GmbH

P1-19 Tip-based electron beam induced deposition (TB-EBID) with active cantilevers, M. Holz1,2, C. Reuter1,2, S. Mechold2, A. Ahmad1,2, M. Hofmann1, A. Reum2, T. Ivanov1, E. Guliyev1 and I.W. Rangelow1,2, 1Technische Universität Ilmenau, MNES, 2nano analytik GmbH

P1-20 Development of Free-standing Membrane-based Electrostatic Lenses for Nanopantography, Prithvi Basu*, Ryan Sawadichai**, Ya Ming**, Vincent M. Donnelly**, Demetre J. Economou**, Jiming Bao* and Paul Ruchhoeft*, * Department of Electrical and Computer Engineering, ** Department of Chemical and Biomolecular Engineering, University of Houston

P1-21 Opening the road to custom astronomical UV gratings, Fabien Grise, Randall L. McEntaffer; Nicholas E. Kruczek*, Kevin C. France*, Brian T. Fleming, Eduard R. Muslimov**, Jean-Claude Bouret**, Amandine Caillat**, The Pennsylvania State Univ. (United States), *Univ. of Colorado Boulder (United States), **Aix

P1-22 One-step assembly of multi-layered structures with orthogonally oriented stripe-like patterns on the surface of a capillary tube, 2 authors same affiliation: Y. Lin, Y. Sun, State Key Laboratory of Polymer Physics and Chemistry, Changchun Institute of Applied Chemistry, Chinese Academy of Sciences

P1-23 Optimization of Swelling Process in Solvent Vapor Annealed Block Copolymer Thin Films, H. Huang*, T Chang, Changchun Institute of Applied Chemistry, Chinese Academy of Sciences

P1-24 Assembly of Aligned Silver Nanowires using Roll-to-Roll System, S. Mohanty, I-Te Chen, C. H. Chang, North Carolina State University

P1-25 Nanoscale metallic resistors in soft polymers, D. K. Brown*, M. Kim*, O. Brand*, D. R. Myers*,**, W. A. Lam*,**, *Georgia Institute of Technology, **Emory University

P1-26 Effect of oxygen plasma cleaning on non-switching pseudo-Bosch etching of high aspect ratio silicon pillars, A. Pan, F. Aydinoglu, B. Cui, University of Waterloo

P1-27 Tunable nanoparticle self-assembly on magnetic template with dynamic optical properties, Z. Luo, B. Evans*, C. Chang, North Carolina State University, *Elon University

P1-28 Controlled Synthesis of Nanowires/Nanorods via Electrodeposition in Anodisc Alumina Templates, M. Nehra*, D. Kedia*, N. Dilbaghi*, K.H. Kim**, S. Kumar*,**, *Guru Jambheshwar University of Science and Technology, **Hanyang University

P1-29 Effects of mask material conductivity on lateral undercut etching in silicon nano-pillar etching, R. Dey, B. Cui, Waterloo Institute for Nanotechnology (WIN), University of Waterloo.

P1-30 Characteristics of thermal imprint with perovskite layers, A. Mayer, N. Pourdavoud, J. Staabs, J. Rond, R. Heiderhoff, P. Görrn, R. Riedl, H-C. Scheer, University of Wuppertal

P1-31 Double replication for characterizing cracks in surface-hardened PDMS, M. Leifels, A. Mayer, P. Görrn, H.-C. Scheer, University of Wuppertal

P1-32 Blazed gratings for X-ray astronomy fabricated by grayscale e-beam and nanoimprint, Jake McCoy*, Randall McEntaffer*, Chad Eichfeld* and Marc Verschuuren**, *Pennsylvania State University. **Philips SCIL Nanoimprint Solutions

P1-33 (Invited) Sidewall Channel Fabrication Using Membrane Projection Lithography and Metal Assisted Chemical Etching, R. Chaudhary, H. Yamamoto, G. P. Watson*, University of Pennsylvania

P1-34 Plasma Etching of Sapphire Antireflection Nanostructures, Y.-A. Chen, C.-H. Chang, North Carolina State University

P1-35 Nanostructure manufacturing via holographic photolithography, J. B. Geddes III, Photia Incorporated

P1-36 Brilliant Fluorescent Resists for E-beam and Photolithographic Applications, Christian Kaiser, Matthias Schirmer, Tobias Mai, ALLRESIST GmbH, Thomas Steglich, Präzisionsoptik Gera Philipp Basitan, Marita Steffen, Michael U. Kumke, Universität Potsdam

P1-37 Process Window Enhancement through Shape Proximity Effect Correction of an Electron Beam Lithography Process, Kashif M. Awana*, Gerald Lopez**, Lukas Chrowstoski*,*** and Jeff F. Young*,*****Advanced Materials and Process Engineering Laboratory and the Stewart Blusson Quantum Matter Institute, University of British Columbia, **Singh Center for Nanotechnology, University of Pennsylvania

P2-01 (Invited) AweSEM: Removing Barriers to Innovation with a Tabletop, Low-Cost SEM, C. Kuzyk, E. Blankenburg*, G. Robinson-Leith, H. Li*, M. Chang, M. Cen*, B. Ye**, G. Hu*, K. Jessen, A. Nojeh, R. F. Pease*, University of British Columbia,

P2-02 Micro-textured electrolyte-electrode interfaces in solid-state supercapacitors, O. Omoregie, E. Aigbogun, L. Jiang, B. Oni, N.S. Korivi, Tuskegee University

P2-03 Closed cavity resonator formed by suspended large monolayer graphene-based membrane, J.Xu, G. S. Wood1, A.K. Al-mashaal, E. Mastropaolo and R. Cheung, M. J. Newton, Acoustics and Audio Group, University of Edin

P2-04 Development of graphene-based immunosensor for highly sensitive biosensing of waterborne pathogens, Arushi Gupta, Amit L. Sharma, Akash Deep, Ki-Hyun Kim*, CSIR-Central Scientific Instruments Organisation (CSIR-CSIO), *Hanyang University

P2-05 Ultrafast response time as a clue to study heat transfer in nanostructured materials, S. Patankar, H. Fan, E. Ostrumov, M. Chowdhury, A. Mills, D. Jones, A. Nojeh

P2-06 Effect of electron beam annealing duration on the optical-electrical properties of ZnO thin films, Y. Li, X. Kong, L. Han, Institute of Electrical Engineering Chinese Academy of Sciences

P2-07 Hollow Mn2O3 Nanoparticles for Supercapacitor Electrodes via Solvothermal and High-Temperature Processing, M.C. Brockway, J.L. Skinner, Montana Tech Nanotechnology Laboratory

P2-08 (Invited) Nanoimprint-induced strain engineering of two-dimensional materials, Chuying Sun, Jingxuan Cai, Kaiyue Zhao, Wendi Li, The Univerisity of Hong Kong

P2-09 Large size and high productive growth of SnS2 nanoflakes for good performance photodetecting application, Xiansheng Jia, Chengchun Tang, Changzhi Gu, Junjie Li, Institute of Physics, Chinese Academy of Sciences

P3-01 Giant Conductivity Modulation of Aluminum Oxide using Focused Ion Beam, Simone Bianconi, Hooman Mohseni, Northwestern University

P3-02 Energy dependence of self-organized nanostructures on photoresist surfaces by ion bombardment at normal incidence, Ying Liu, Gaoyuan Yang, University of Science and Technology of China

P3-03 Removing halos around IBID deposits with a broad ion beam, Boyd Verdoorn, Koos Roodenburg, Jan Stroes, Paul Alkemade, Delft University of Technology

P3-04 Acuity of Electron-Beam Induced Deposition of Pt/C Composite Material, V. Ray*, J. Favata, S. Shahbazmohamadi, University of Connecticut, *MEO Engineering Co.

P3-05 (Invited) Design of small low energy electron gun, Wang Yan, Institute of Electrical Engineering, Chinese Academy of Sciences

P3-06 SEM imaging using photo-electron beam by semiconductor photocathode, T. Nishitani, D. Sato, H. Shikano, T. Kawamata, A. Koizumi, H. Iijima, Photo electron Soul Inc.

P3-07 The annealing effect for the air-exposed surface on the GaN photocathode, D. Sato, T. Nishitani*, Y. Honda, H. Amano, Nagoya University,

P3-08 Influence of high energy ion beam irradiation on nanocrystalline hafnium dioxide high-gate dielectric thin films grown by atomic layer deposition, Rajesh Kumara, Vishnu Chauhan, University School of Basic and Applied Sciences, Guru Gobind Singh Indraprastha University, Prateek Hundekar1, N. Koratkar1, 1Rensselaer Polytechnic Institute

P3-09 Wavefront Metrology for X-Ray Free Electron Laser Instruments, Yanwei Liu, Matthew Seaberg, Diling Zhu, Kenan Li, Peter Walter, Yiping Feng, David Fritz, Anne Sakdinawat, SLAC National Accelerator Lab

P3-10 Measuring thickness in thin NbN films for superconducting devices, O. Medeiros, M. Colangelo, I. Charaev, K Berggren, Massachusetts Institute of Technology

P3-11 The quality analysis for brazing joint of copper/ diamond window using micro-computed tomography, Ma Yu-tian, Liu Jun-biao, Zhao Wei-xia, Niu Geng, Han Li,Institute of Electrical Engineering, Chinese Academy of Sciences

P3-12 Startup Award: zeroK NanoTech, A.V. Steele, B. Knuffman, zeroK NanoTech Corporation

P4-01 Fabrication and Characterization of Multilayer Heater-Cryotrons, R. Baghdad*, B. A. Butters*, S. Iqbal**, E. A. Toomey*, A. N. McCaughan***, Q. Y. Zhao****, A. E. Dane*, and K. K. Berggren*, *Massachusetts Institute of Technology,**University of Rochester, ***National Institute of Standards and Technology,**** Researc

P4-02 Fabrication of Extremely Shallow “Nano-Sieve” Device with Positive Photoresist Sacrificial Layer, X. Chen* and K. Du*, *Rochester Institute of Technology R. Wang**, **Rutgers

P4-03 Improvement of Electrical Characteristics of Neural Electrode by Electroplating Process, Dong Hyun Baek, Dae Wook Kim, Seung Joon Ahn, Ho Seob Kim, Sun Moon University

P4-04 Fabrication and integration of graphene interdigitated resistors on printed circuit boards for RF interrogation of biological sensors., Madhav Kumar, Adrien Hugo, Riad Othmen1, Zheng Han, Victor Engelhardt, Christophe Delaveaud, Camille Jouvaud , Julien Renard1, Vincent Bouchiat1, Pascal Mailley, Thomas Alava, University of Grenoble and CEA/LETI, 1University of Grenoble

P4-05 Neuronal cell network activity enhanced by nanogrooved substrates, A.J. Bastiaens, T. van Nunen, J.P. Frimat, R. Luttge, Eindhoven University of Technology

P4-06 Development of siRNA Functionalized Graphene Oxide Based Therapeutic Nanoformulation to Ameliorate Type-2 Diabetes Mellitus (T2DM), V. Singh, R. Sandhir*, N.K. Singhal, National Agri-Food Biotechnology Institute, *Panjab University

P4-07 A True-Color SEM-CT, W. Zhao, J. Liu, G. Niu*, Y. Ma, Y. Wang, L. Han, Institute of Electrical Engineering of Chinese Academy of Sciences, *University of Chinese Academy of Sciences

P4-08 Nanoscale Mechanosensing of Natural Killer Cells is Revealed by Antigen-Functionalized Nanowires, G. Le Saux, N. Bar Hanin, A. Edri, U. Hadad, A. Porgador, M.Schvartzman, Ben-Gurion University of the Negev

P4-09 Rapid fabrication of thermoplastic nanoforest substrates for high efficient capture of cancer cells, H. Feng, W. Jin, Y. Huang, Y. Chen, Chinese Academy of Sciences

P4-10 Hydrodynamic Induced Deformation of Nano-Sieve Fluidic Device for Efficient Microparticle Trapping and Deposition, X. Chen, K. Du, Rochester Institute of Technology, L. Falzon, University of Dundee, R. Wang, Rutgers, The State University of New Jersey, J. Zhang, Carollo Engineers, X. Zhang, CRRC Corporation Limited

P4-11 (Invited) Absolute deflection measurements in a MEMS/NEMS interferometry system, R. De Alba*,**, C. B. Wallin*,**, G. Holland*, S. Krylov***, B. R. Ilic*, *National Institute of Standards and Technology, **University of Maryland, ***Tel Aviv University

P4-12 Interferometric investigation of suspended monolayer TMDCs enabled by e-beam lithography, S. Dong, S. Nathamgari, X. Zhang, H. Espinosa, Northwestern University

P4-13 Optical Probing of Acoustic Vibration through Coupled Plasmon Resonance, P. Hu, Y. Li, B. Song, Y. Wang, W. Wu, University of Southern California

P4-14 Reflective Metamaterial Polarizer Enabled by Solid Immersion Lloyd’s Mirror Interference Lithography, F. Hong, R.J. Blaikie, University of Otago

P4-15 Resonant Lattice Kerker Effect in Metasurfaces of Titanium Dioxide Nanodisks, L. Li, L. Shi, C. Xie, Chinese Academy of Sciences

P4-16 Super Resonance from Gain-Assisted Silicon Nanowires, S. Chen, L. Shi, J. Niu, C. Xie, Institute of Microelectronics of Chinese Academy of Sciences

P4-17 Enhanced Plasmonic Activity of Polymer Fibers Through Nanoparticle Doping, J.M. Andriolo, M.L. Joseph, M.H. Griep,* and J.L. Skinner, Montana Technological University, *Army Research Laboratory

P4-18 R2R fabrication of plasmonic color surfaces, Swathi Murthy, Rafael Taboryski*, Inmold A/S , *Technical University of Denmark

P4-19 (Invited) All-Dielectric Hybrid Metasurface for Visible or Near-IR Applications, H. Yang, H. Liu*, Y. Yao**, B. Song, Y. Li, B. Chen, D. Meng, Z. Liu, P. Hu, Y. Wang, T. Ou, W. Wu, University of Southern California, *Google LLC, **Apple Inc.

P4-20 (Invited) Progress, challenges and outlook of three-dimensional hybrid CMOS/ReRAM systems, G.C. Adam, George Washington University

P4-21 Nanochannel-based microfluidic device for quantitative measurement of bacterial chemotaxis, Y. Li, S. E. Parker, A. N. Bible, J. L. Morrell-Falvey, M. J. Doktycz, S. T. Retterer, Biosciences Division, Oak Ridge National Laboratory

EIPBN Abstracts