Section | Abstract and Authors |
---|---|
Wednesday, May 28, 2025 8:00 am – 10:45 am EST |
Plenary Session 1 |
Plenary 1 | The Importance of Atomic Precision for Realising Quantum Processors in Silicon
Joris Keizer (U. New South Wales) The realisation of an error corrected quantum computer relies on our ability to reproducibly manufacture qubits that are fast, highly coherent and stable. By engineering every aspect of a silicon processor with atomic precision, we demonstrate the highest fidelity Grover's algorithm realised to date. |
Plenary 2 | Quantum Computing Using Electron Spins in Si/SiGe Gate-Define Quantum Dot
Mark Eriksson (U. Wisconsin-Madison) Remarkably, the techniques to make classical silicon CMOS devices can be used to make qubits. The operation of these devices is very different from advanced classical integrated circuits. In this talk I will present a historical overview of quantum computing in silicon and the latest results from my group. |
Plenary 3 | Adventures at the Atomic Scale: Scaling for Neuromorphic Systems, Wearables and Clean Energy
Deji Akinwande (UT Austin) Atomic 2D materials such as graphene and transition metal dichalcogenides offer unique properties driven by dimensional scaling, including enhanced electronic, mechanical, and chemical behaviors. This presentation details the fundamental exploration of atomically-thin materials, highlighting pioneering investigations and translational approaches aimed at addressing pressing challenges in computing, healthcare, and sustainability. |
Wednesday, May 28, 2025 1:20 pm – 3:20 pm EST | Session 1A – Extreme Ultraviolet Lithography (Invited Session) Session Chairs: Anthony Yen and Julia Hsu |
1A-1 (Invited) Extreme Ultraviolet Lithography | Indium-based Sol-gel Films as Potential EUV Resist
Julia Hsu (UT Dallas) Indium-based sol-gel precursors, predominantly indium nitrate hydrate films, are investigated as potential EUV resists. The sensitivity and contrast of these materials under 100 eV electron beam exposure are comparable to the state-of-the-art SnOxo resist. We also measure high sensitivity under EUV exposure and demonstrate line/space patterns using e-beam lithography. |
1A-2 (Invited) Extreme Ultraviolet Lithography | Approaches to Low Stochastics Resist Design for EUV Lithography
Low stochastics, high sensitivity photoresists remain a goal for EUV lithography. Here we describe single component, positive tone scissioning poly(phthalaldehyde) and negative tone peptoid resist made of identical molecules. Both have EUV sensitivity of 10 - 20 mJ/cm2 and in some examples a resolution approaching 10 nm. |
1A-3 (Invited) Extreme Ultraviolet Lithography | Current Status and Prospect for EUV Lithography at Univ. of Hyogo
R&D of EUV lithography has been carried out science 1996 at Himeji Institute (present University of Hyogo. More fundamental researches are required to meet the various kinds of specification related to the EUVL. The research activities of resist, mask, and optical element including metrology will be introduced. |
1A-4 (Invited) Extreme Ultraviolet Lithography | Atomic Layer Deposition (ALD) Based Synthesis Approaches for Organic-inorganic Hybrid Resists for Next-generation Extreme Ultraviolet (EUV) Lithography
In this talk, I will provide an overview of atomic layer deposition (ALD) based organic-inorganic material thin film synthesis approaches, including vapor-phase infiltration (VPI) and molecular ALD (MALD) for next-generation extreme ultraviolet (EUV) lithography applications. |
Wednesday, May 28, 2025 1:20 pm – 3:20 pm PST |
Session 1B – Synthesis/Integration 2D Materials |
1B-1 (Invited) Synthesis/Integration 2D Materials | Enabling Electronic Applications of Two-Dimensional Materials
New and improved measurements are needed to drive discovery of scientific phenomena and enable electronic applications of 2D materials. Example techniques will be discussed to measure the intrinsic properties of 2D materials, to determine integration aspects, and to correlate the material properties with the electrical performance of completed devices. |
1B-2 Synthesis/Integration 2D Materials | Engineered Strain in 2D Semiconductor During the Growth on Grayscale Nanopatterned Surfaces
We present a new approach in which strain in MoS2 is introduced during its growth process using grayscale-patterned surfaces instead of flat substrates, and we experimentally demonstrate up to 0.8% strain, confirmed by photoluminescence spectroscopy. The grayscale surfaces are fabricated using combined thermal scanning probe lithography, nanoimprint, and plasma-based etching. |
1B-3 (Invited) Synthesis/Integration 2D Materials | Quasiparticle Band Gap Renormalization in Semiconducting Single-Layer WS2 Induced by Dielectric Screening
We elucidate the impact of varying the external and internal dielectric screening strength on the electronic structure of single-layer WS2. Through sample design and ion beam irradiation, we systematically vary the dielectric screening environment. Increasing screening strength induces significant electronic band gap reduction and enhanced non-radiative recombination. |
1B-4 Synthesis/Integration 2D Materials | Graphene Field-Effect Transistors for the On-Site Wastewater Monitoring of SARSCoV-2
We present a graphene-based biosensor for the rapid, on-site detection of SARS-CoV-2 RNA in wastewater. Using an array of 52 GFETs, the platform enables amplification-free detection with high specificity and a 0.1 aM limit of detection, supporting portable environmental monitoring and real-time public health surveillance. |
1B-5 Synthesis/Integration 2D Materials | Size-tuned Silver Nanocubes as Optimized Two-dimensional SERS effective Superlattices
Polymer phase separation can generate billions of structures in a few seconds during spin coating. These structures can be used as templates to obtain structured metals, semiconductors, SAMs or polymer brushes. The control of the phase behaviour of the polymers by pre-structured substrates allows a controlled geometry of the morphology. |
Wednesday, May 28, 2025 1:20 pm – 3:00 pm EST |
Session 1C – Ion Beam, Electron Beam Lithography Session Chairs: Aimee Bross Price and Vishva Ray |
1C-1 Ion Beam, Electron Beam Lithography | Positive Electron Beam Resists for Lithography below 5nm
Nanostructures with dimensions as small as 1.5nm and half-pitch dimensions as small as 2nm have been fabricated with fluoride and organic resists. We compare these resists and characterize the effect of resist thickness, acceleration voltage and beam size on the ultimate pattern resolution. |
1C-2 Ion Beam, Electron Beam Lithography | Myo-Inositol as a Fully Dry-Processed Positive Electron Beam Resist
Myo-inositol is introduced as a fully dry-processed positive electron beam resist. It is deposited via thermal evaporation and exposed regions develop by a subsequent lower temperature heating. Initial results demonstrate transferring sub-100 nm features into metal layers via ion milling, highlighting its potential for vacuum-compatible lithography in cluster tool environments. |
1C-3 (Invited) Ion Sources 3 | As Small as Small Can Be - Structuring 2D Materials on the Nanoscale
Processing with focused ion beams enables the rapid prototyping of novel devices in a maskless process with the highest spatial resolution. The presentation gives an overview of the basics and different possibilities of ion beam structuring, in particular using focused He ions for nanoscale structuring of suspended 2D materials. |
1C-4 Ion Beam, Electron Beam Lithography | Understanding the Effect of Electron Irradiation on Nanotube Devices to Improve Prototyping Routines
Electron irradiation during e-beam lithography and imaging can significantly alter the electrical properties of nanoscale materials and devices. We show that for WS2 nanotubes in FET transistor configuration, electron irradiation changes their resistance due to substrate charging induced field effect. We also provide suggestion, how to reduce this effect. |
1C-5 Ion Beam, Electron Beam Lithography | High-Resolution Patterning on Chemically Amplified Resists via Controlling Photoacid Diffusion in Electron Beam Lithography
This study improves patterning resolution in SU-8 by applying a mid-range correction factor into traditional proximity effect correction (PEC) for electron-beam lithography. The modified PEC accounts for photoacid diffusion, improving critical dimension uniformity. Experimental validation and optimization by adding base additives highlight its potential for advanced nanofabrication applications. |
Wednesday, May 28, 2025 3:30 pm – 5:20 pm EST |
Session 2A – Atomically Precise Fabrication Session Chairs: Pradeep Namboodiri and Max Yuan |
2A-1 (Invited) Atomically precise fabrication | The Changing View of the STEM: from Characterization, to Fabrication, to Synthesis
The electron microscope was invented in the 1930s but has only recently been employed for atomically precise fabrication. Why the long delay? In this talk, I will attempt to answer this question, provide examples that indicate a change in viewpoint, and give an indication of the road ahead. |
2A-2 Atomically precise fabrication | Machine Learning Algorithms to Automate Atomically Precise Fabrication Using a Scanning Tunneling Microscope
Machine learning algorithms, such as neural networks and computer vision, can be leveraged to scale fabrication of donor dot quantum devices in silicon by automating scanning tunneling microscope tip conditioning, atomic manipulation techniques, and hydrogen depassivation lithography. |
2A-3 Atomically precise fabrication |
Thin Hard Mask Layers for High-resolution Transfer in t-SPL Enabled Processes
The NanoFrazor, a thermal scanning probe lithography tool, can create structures in the 10s of nm. Transferring these structures requires a multilayer stack. A critical part of that stack is a thin hard mask layer. The material and deposition technique of this layer define the final structures' resolution and characteristics. |
2A-4 Atomically precise fabrication |
Focused E-Beam-Driven Liquid-Phase Surface Modification of Metals
We present a technique to directly modify metallic surfaces through etching and deposition using liquid-phase, focused electron-beam–mediated processes. In our approach, we employ an electrohydrodynamically delivered water–ammonia thin liquid precursor film on a copper surface. Upon electron-beam irradiation, radiolytically generated redox species induce two distinct chemical and morphological regimes. |
2A-5 Atomically precise fabrication |
Silicon-Based Microevaporator Print Head for Direct-Write Vapor Deposition
We have developed a silicon-based print head which can be used to perform direct- write deposition of thin films by physical vapor deposition (PVD) or chemical vapor deposition (CVD) for meso- to nanometer-scale applications such as heterogeneous integration and fabrication 3D of microelectronic devices in a single "direct-write" step. |
Wednesday, May 28, 2025 3:30 pm – 5:20 pm EST |
Session 2B – Metasurfaces 1 Session Chairs: Amir Arbabi and Can Li |
2B-1 (Invited) Metasurfaces 1 | Sustainable Manufacturing of Optical Metasurfaces for Imaging, Sensing and Display
Metamaterials and metasurfaces are nanostructure arrays enabling near-diffraction-limit imaging across UV to infrared wavelengths for microscopy, 3D sensing, and displays. While offering functionalities like tunable focusing and trichannel imaging, their commercialization faces manufacturing challenges. Recent advances in lithography provide scalable production solutions. |
2B-2 Metasurfaces 1 | Metal-assisted Chemical Etching of High Aspect Ratio Features in Silicon
This work focuses on metal assisted chemical etching exploring self-anchored features to promote higher aspect ratio anisotropic silicon etching and to prevent tumbling of the noble metal as it etches down through the silicon. |
2B-3 Metasurfaces 1 | Transfer and Integration of Optical Metasurfaces Optical metasurfaces hold great promise for miniaturizing optical systems with a reduced footprint and improved functionality. Here, we demonstrate a universal metasurface integration technique, composed of a polymer-assisted transfer process and a micro-punching process with alignment under microscope, which is experimentally demonstrated by metasurface integration on optical fiber tips. |
2B-4 Metasurfaces 1 | Scalable Manufacturing of Visible Metalenses for Next-Generation Display Applications
Metasurfaces, ultra-thin optical systems with engineered nanostructures, offer superior optical performance but face high manufacturing costs. To address this, we developed nanoimprint lithography with high-index nanoparticle-embedded resin and photolithography-based approaches, enabling wafer-scale mass production of metalenses. These manufacturing solutions make metasurfaces viable for practical applications like next-generation display technology. |
2B-5 Metasurfaces 1 | Generating Optical Vortex Needle Beams with a Flat Diffractive Lens
We describe the design, fabrication, and experimental verification processes of a multilevel diffractive lens (MDL) for use in a focused optical vortices (FOV). The MDL extended the depth of focus of the FOV, which increases the research and practical applications of FOVs, including for trapping and manipulation, and microscopy applications. |
2B-6 Metasurfaces 1 | Silicon-Based Microevaporator Print Head for Direct-Write Vapor Deposition
DALP can deliver the local growth of materials, with ALD quality. With versatility and and high control of materials and microstructure with a conformality to the substrate geometry. DALP gives you the unique advantage to deposit gradients and do multimaterial stack printing with exceptional precision and easy integration. |
Wednesday, May 28, 2025 3:30 pm – 5:20 pm EST |
Session 2C - Nanoimprint 1 (Invited Session) Session Chairs: Wei Wu and Guy DeRose |
2C-1 (Invited) Nanoimprint 1 | Additive Full-wafer Fabrication of All-inorganic Metaoptics and AR waveguides via Direct Nanoimprint Lithography
We fabricate all-inorganic, high-efficiency, metalenses, metasurfaces and augmented reality waveguides on full-wafer platforms with cycle times of less than 5 minutes/wafer via additive nanoimprint lithography using nanoparticle dispersion inks for applications in the visible and near IR. |
2C-2 (Invited) Nanoimprint 1 | Take the Best of Two Worlds – How Hybrid Processing Enhances the Potential of Nanoimprint Lithography
NIL is more than lithography, it is a toolbox for hybrid processing. I will show combinations of NIL with electron- and photon-based lithography, thermal reflow for selective surface smoothening. NIL can pattern hybrid glass inks for glass microfluidics and can be combined with printed electronics and injection molding. |
2C-3 (Invited) Nanoimprint 1 | A Decade of Research in Nanoimprint and Its Applications
Since its inception 30 years ago, Nanoimprint has become the only alternative to EUV lithography for deep-nanoscale Si electronics. Nanoimprint can go beyond Si chip fabrication and beyond wafer scale applications. This talk summarizes the main effort and outcome in nanoimprint related research at the University of Michigan between 2000~2010. |
2C-4 (Invited) Nanoimprint 1 | Development and Applications of Three-Dimensional Nanoimprint Technology
Advancements in nanoimprint technology enable the creation of diverse 3D nanostructures essential for biosensors, THz devices, and meta devices. This presentation explores stacking layers for flexible 3D designs and applications, including biomimetic platforms, plasmonic biosensors, THz lenses and antennas, and meta devices with enhanced functionality and performance. |
Thursday, May 29, 2025 8:00 am – 10:00 am EST |
Plenary Session 2 |
Plenary 4 | 30 Years of Nanoimprint: A Transformative Technology Revolutionizing Research, Nanomanufacturing, and Industry
Stephen Chou (Princeton University) Nanostructures offer a unique pathway to discoveries, innovations, and breakthroughs across a wide range of disciplines and industries. As feature sizes shrink below fundamental length scales, conventional theories may no longer apply. Among all existing technologies for manufacturing nanostructures, nanoimprint is clearly one of the most promising. |
Plenary 5 | EUV Lithography: History, Latest Results, What’s Next
Anthony Yen (ASML) Research on EUV lithography started in the mid-1980s. The long history of its development will be briefly reviewed by someone who was a rather late participant in this process. EUV lithography entered the high-volume production of semiconductor chips in 2019, at the 7-nm node of logic integrated circuits. |
Thursday, May 29, 2025 10:20 am – 12:10 pm EST |
Session 3A – Metrology, Microscopy Session Chairs: Martha Sanchez and Chi-Hao Chang |
3A-1 (Invited) Metrology, Microscopy | Next-Generation Expansion Microscopy for Biological Model Systems and Gel-Based Nanofabrication with 20 nm Precision
Magnify is a next-generation Expansion Microscopy method that enables nanoscale imaging with simplified sample preparation. It expands tissues up to 11-fold, achieving ~15 nm resolution. Additionally, we introduce a gel-based nanofabrication approach using femtosecond light sheets for assembling complex 3D nanostructures, enabling applications in nanodevice engineering and bio-integrated materials. |
3A-2 Metrology, Microscopy | NIST Accuracy Standards – Scale Factor Calibration
NIST Accuracy Standards will make microscopy accurate for everyone. We introduce nanostructure arrays for microscope calibration that will be, if not completely universal, then widely applicable, broadly available, and easily usable, aiming for scale-factor uncertainty of 10-6 and absolute position uncertainty of 0.1 nm at 68% coverage. |
3A-3 Metrology, Microscopy | Surface and Subsurface Characterization of Lithium-Ion Battery Materials Using FIB-SEM with Integrated ToF-SIMS
In recent years, significant attention has been directed towards enhancing lithium-ion batteries, widely utilized for electrical energy storage across various devices. Ongoing battery research and development need advanced analytical techniques and metrology where the FIB-SEM equipped with ToF-SIMS comes to its element. |
3A-4 Metrology, Microscopy | Correlative Microscopy Arrays for Nanoparticle Standards Characterization
Correlative microscopy provides essential insights, but combining disparate images is prone to error. We introduce correlative microscopy arrays with reference positions to improve microscope calibration and image registration, while facilitating suspension deposition. We apply our novel methodology to obtain reference intensity–size data for common but poorly understood nanoparticle standards. |
3A-5 Metrology, Microscopy | Nanoplastic Glow Up: Polyethylene Pillar Arrays for Chemical Sorption Assays
Nanoplastic–chemical interactions are of concern and interest, but optical measurements thereof lack standards to ensure accuracy. To address this issue, we fabricate arrays of low-density polyethylene (LDPE) nanopillars. We determine that the LDPE chemical composition is invariant to nanofabrication, and we test the sorption and signals of two chemicals. |
Thursday, May 29, 2025 10:20 am – 12:20 pm EST |
Session 3B – Metasurfaces 2 (Invited Session) Session Chairs: Junsuk Rho and Rajesh Menon |
3B-1 (Invited) Metasurfaces 2 |
Study on the Physical Factors Determining Critical Resolution of Nanoimprint
We will discuss the moldability and releasability of nanoimprinting based on the results of experimental simulations, and explore the critical resolution and the demanded materials. |
3B-2 (Invited) Metasurfaces 2 | Spinning Metasurface Stack for Spectro-polarimetric Thermal Imaging
We introduce an approach for spectro-polarimetric thermal imaging by co-design of a stack of spinning dispersive metasurfaces along with compressive sensing and dictionary learning algorithms. Our approach represents a significant advance in thermal imaging for a wide range of applications including heat-assisted detection and ranging (HADAR). |
3B-3 (Invited) Metasurfaces 2 | Design, Fabrication and Characterization of Broadband Multilayer Nanolattices
This work focuses on designing, fabricating, and characterizing multilayer (six-stack) periodic nanolattices with alternating high/low index pairs for broadband Bragg reflectors. Using lithography, ALD, and e-beam evaporation, multilayer structures achieve >90% reflectance from 400–1600 nm. RCWA simulations validate experimental results, offering advancements in nanophotonic applications for AR/VR and display technologies. |
3B-4 (Invited) Metasurfaces 2 | Fabrication, Assembly and Applications of Metasurfaces and Metasystems
The rapid advancement of optical metasurfaces has enabled a wide range of applications. This talk will provide an overview of our work on developing optical metasurfaces and metasystems. Specifically, we will discuss the scalable fabrication of efficient visible metasurfaces, miniature beam scanning, and high-resolution 3D alignment techniques using metasurfaces. |
Thursday, May 29, 2025 10:20 am – 12:10 pm EST |
Session 3C – Nanoimprint 2 Session Chairs: Stephen Chou and Helmet Schift |
3C-1 (Invited) Nanoimprint 2 |
Singularities in Non-Hermitian Systems at the Subwavelength Scale and applications
Photonic devices that exhibit both sensitivity and robustness have long been sought, yet these characteristics are thought to be mutually exclusive; through sensitivity, a sensor responds to external stimuli, whereas robustness embodies the inherent ability of a device to withstand weathering by these same stimuli. This challenge stems from the inherent contradiction between robustness and sensitivity in wave dynamics, which require the coexistence of noise-immune sensitive states and modulation-sensitive transitions between these states. Here, we report and experimentally demonstrate a subwavelength phase singularity in a chiral medium that is resilient to fabrication imperfections and disorder while remaining highly responsive to external stimuli. |
3C-2 Nanoimprint 2 |
High-quality Factor of Micro Ring Resonator Array Using Nano-imprint Lithography for Photoacoustic Tomography Imaging Applications
This study presents the nanoimprint lithography fabrication and application of high-performance polymer-based micro ring resonators array with 40 elements tailored for ultrasound signal detection, in particular, to attempt photoacoustic tomography imaging for the first time. |
3C-3 Nanoimprint 2 |
Demonstration of Biconic Micro-Mirror Fabrication for Co-Packaged Optics by Imprint Technology
In co-packaged optics called active optical packages, biconic micro-mirrors are essential for beam shaping from photonic ICs. In this study, the fabrication of 4.2-µm-height biconic mirrors was demonstrated using imprint technology. The mirrors were successfully formed from a two-photon polymerization 3D-printed master mold, with a height difference of 0.42 µm. |
3C-4 Nanoimprint 2 |
Tape-Assisted Residual Layer-Free One-Step Nanoimprinting of High-Index Hybrid Polymer for Optical Loss-Suppressed Metasurfaces
Metasurfaces are vital for applications like AR/VR devices, sensors, and color pixels. Nanoimprint lithography (NIL) offers scalable fabrication but faces limitations: low refractive index resins and residual layers causing optical losses. This study overcomes these issues using particle-embedded resin and a tape-assisted process, enabling high-index, residual-free metasurfaces for broader applications. |
3C-5 Nanoimprint 2 |
Fabrication of Fine Slanted Diffraction Gratings by Electron Beam Lithography and Nickel Electrolytic Plating
The slanted diffraction gratings is fabricated using electron beam lithography and oblique ion beam etching. As this method requires many steps, we have developed a method in which we first delineate a diffraction grating on an inclined substrate using EBL, then make a sturdy master mold using nickel electrolytic plating. |
Thursday, May 29, 2025 1:40 pm – 3:20 pm EST |
Session 4A – Nanoelectronics, Quantum Electronics 1 Session Chairs: Joris Keizer and Curt Richter |
4A-1 Nanoelectronics, Quantum Electronics 1 | Improvement of the Yield of 2D-Layered Memristors in the Crossbar Architecture through Implementation of Buried Bottom Electrodes
This work provides a practical method for fabricating crossbar arrays of memristive devices based on layered semiconductors, Bi2Se3, with enhanced scalability and yield. The proposed fabrication processes offer promising pathways for creating hardware-based synaptic nodes in artificial neural networks and neuromorphic sensory systems. |
4A-2 Nanoelectronics, Quantum Electronics 1 | Challenges in Single-Electron Charge Detection in Non-Abelian Type Quantum Dots Using Single Electron Transistors
The detection of spatial movement of single-charges within a nanoscale quantum dot, is a critical step toward topological quantum computers. Quantum dots were grown in defined locations, and SETs fabricated in close proximity to the dots. The substrate were illuminated and charge measurements performed using the SETs. |
4A-3 Nanoelectronics, Quantum Electronics 1 | Fabrication of Atom Arrays for the Quantum Simulation of a Lattice Gauge Theory
We are developing a solid-state quantum simulator to explore questions in quantum field theory. The origin of mass of elementary and composite particles remains a challenge in particle and hadronic physics. Fabricating arrays of precisely-placed dopant atoms presents a new quantum simulation platform with unique application in lattice gauge theory. |
4A-4 Nanoelectronics, Quantum Electronics 1 | Gate Width Variation for MOS Quantum Dot Formation
In this work, we lithographically vary a continuous, metal gate’s width in a planar metal-oxide-semiconductor (MOS) quantum device to seek the formation of a single-electron-transistor (SET) using one gate voltage. Our approach is to fabricate “nano-wire” transistors and “bubble-gate” transistors where one voltage can form a quantum dot. |
4A-5 Nanoelectronics, Quantum Electronics 1 | Investigation of Gate-Induced Leakage Current in Gate-All-Around Nanosheet Field-Effect Transistors
Investigation of the source of drain leakage current in gate-all-around nano sheet field-effect transistors shows that the dominant contributor to drain leakage current involves tunneling from gate to drain through the high-k dielectric. We show the dependence of this effect on gate bias and temperature, backed by analytical calculations. |
Thursday, May 29, 2025 1:40 pm – 3:30 pm EST |
Session 4B – Ion Sources 1 Session Chairs: Bhavesh Kamaliya and Nikolai Klimov |
4B-1 (Invited) Ion Sources 1 | Advanced Nanofabrication of Nanosuperconductors, and Devices Using Focused Ion Beam Technologies
We present a focused ion beam-based strategy to fabricate superconducting nanostructures with tunable geometry. These architectures exhibit robust superconductivity, enhanced chiroptical response, and controlled vortex pinning. Additionally, superconducting properties can be modulated via electric fields. This work opens new pathways for advanced quantum and nanoelectronic applications using nanosuperconductors. |
4B-2 Ion Sources 1 | Focused Ion Beam Induced Platinum Deposition with a Low Temperature Cesium Ion Source
We report on the deposition of Platinum with a new kind of Cesium focused ion beam (FIB) at different acceleration voltages and ion beam currents. The deposition rate, the material composition and specific resistance was examined and compared with layers deposited at comparable settings with a standard Ga FIB. |
4B-3 Ion Sources 1 | The Role of Defects in Ion Induced 𝛽-Ga2O3 to 𝛾-Ga2O3 Conversion
Gallium oxide is a versatile material with promising applications. This study investigates ion-irradiated β-Ga2O3 and thin films of α- and κ-Ga2O3, focusing on polymorph conversion, defect evolution, and fabrication of nanostructures. Key findings include reduced defect density during phase transitions and minimal achievable feature size for future devices. |
4B-4 Ion Sources 1 | Liquid Metal Alloy Ion Sources (LMAIS) for FIB Applications to Advance Nano Analytics and Nanofabrication
Advanced ion source technologies are key enablers for breakthroughs in material characterization and nanofabrication. FIB systems play a critical role for analytical techniques such as Secondary Ion Mass Spectrometry (SIMS), but also applications like 3D structural analysis and nanofabrication. We will present use cases for correlative nano-analysis and device trimming. |
4B-5 (Invited) Ion Sources 1 | Beam Induced Chemistry in Microelectronics and Quantum Applications
Beam induced surface modification using electrons and ions provide new means of processing sensitive materials with nanometer resolution. Recent work on electron beam induced etching and ion beam materials engineering are discussed. Key emphases are processing 2D materials, emergent properties, and the role of reactive ion species during deposition. |
Thursday, May 29, 2025 1:40 pm – 3:40 pm EST |
Session 4C – Industrial Highlights Session Chairs: Gerald Lopez and Jack Skinner |
4C-1 Industrial Highlights | High-Resolution Chemical Imaging and Depth Profiling of Hybrid and Organic Materials with Gas Cluster and Water Cluster Secondary Ion Mass Spectrometry (Cluster SIMS) at Cryogenic Temperatures
Gas Cluster Ion Beam SIMS is a high-sensitivity technique for imaging materials. Volatile species are prone to migration, so analysis at RT may not be representative of the native samples. Ionoptikas J Series III instrument allows for long-term Cryogenic studies with demonstrable improvements in results compared to RT analysis. |
4C-2 Industrial Highlights | High-Speed Raster-scan Atomically Precise Lithography
We continue to develop new capabilities for STM-based Atomically Precise Lithography. Using an adaptive control loop, we are able to operate at much higher tunnel currents, and therefore perform lithography at a much higher rate. Raster scan lithography allows us to create arbitrary patterns with a sub-nm pixel. |
4C-3 Industrial Highlights | Mix & Match Strategies for Optimizing Lithography Throughput and Accuracy
Mix and match lithography can improve the throughput and optimize tool usage. In this work, we describe our advanced tool-to-tool and process-to-process mix-and-match lithography strategies. We demonstrate our first e-beam over laser beam lithography exposure and overlay results where we achieved 5 nm overlay accuracy within an exposure field. |
4C-4 Industrial Highlights | FabuBlox: A Unified Process Design and Collaboration Platform Connecting the Nanofabrication World
The FabuBlox cloud platform created an innovative solution for design, data standard, and knowledge transfer of nanofabrication processes and infrastructure management. With its AI-driven simulation and fab tracking features in development, FabuBlox will accelerate lab-to-fab transitions, automate process-design co-optimization, and revolutionize fab service coordination. |
4C-5 Industrial Highlights | Most Recent Raith Innovations and Solutions for Nano- and Microfabrication, Advanced Process Control and Correlative Analysis
Recent innovations spanning the entire Raith product portfolio are presented. This includes new efficient EBL workflows for nanofabrication of 50mm diameter metalenses, single beam laser based lithography solutions for patterning in 600µm resists with steep sidewalls and an overview about product portfolio extensions with process control and correlative analysis capabilities. |
4C-6 Industrial Highlights | Allresist New product developments from 2025
Allresist develops, produces and distributes resists for optical and electron beam lithography for the manufacture of electronic components. Allresist is constantly working to fulfil the requirements of our customers. For this reason, we would like to introduce our new product developments Medusa 84 SiH and Electra 94. |
4C-7 Industrial Highlights | Strategies to Extend Resolution Limits for Direct Write Lithography
Direct write technologies including optical and thermal lithography techniques enable advanced micro and nanolithography in a wide range of applications, many of which are impractical with conventional methods. Innovations including parallelization, online layout conversion, resolution range expansions keep the lithography solutions by Heidelberg Instruments at the forefront of this technology. |
4C-8 Industrial Highlights | Secondary Particle Detection for Deterministic Single Ion Implantation
For single ion implantation achieved via detection of secondary charged particles (SCPs), detection efficiency is limited by poor SCP yield and/or suboptimal detector arrangement. Decoupling these effects is needed to characterize exclusively the detector performance. Methods to enhance SCP yield and alternative detection methods exist for unavoidably poor yield materials. |
Thursday, May 29, 2025 4:30 pm – 6:00 pm EST |
Panel Discussion – The Future of Nanolithography: Enabling AI and Quantum Computing
Moderator: Shida Tan, Natcast |
Panelists: Stephen Chou (Princeton University), Joris Keizer (UNSW/ Silicon Quantum Computing), Alex Liddle (NIST), Chris Ober (Cornell)
Discussion Topic: We will examine how lithographic innovations are enabling next-generation quantum devices (e.g., quantum dots, topological qubits) and AI accelerators with ultra-high density and bandwidth. The discussion will highlight the challenges of transferring lab-scale breakthroughs into scalable, manufacturable processes, and address the urgent need for integration across materials, patterning, and device architecture. |
|
Friday, May 30, 2025 8:00 am – 9:50 am EST |
Session 5A – Integrated Photonics, Nanophotonics/Plasmonics Session Chairs: Tingyi Gu and Alex Belianinov |
5A-1 (Invited) Integrated Photonics, Nanophotonics/Plasmonics | Precision Nanofabrication for Large-scale Photonic Integrated Circuits
Precision nanofabrication and uniformity of the fabricated array is critical for reaching desired optical properties in nanophotonics, such as structured light, microresonator array and high Q devices. We will compare and review our experience of local high-precision ebeam lithography and foundry-manufactured large-scale photonic integrated circuits. |
5A-2 Integrated Photonics, Nanophotonics/Plasmonics | Plasmonic Waveguiding in Pd Nanowires Enables Unexpected Deep-UV Photoresist Exposure
We report the discovery of deep ultraviolet (DUV) plasmonic waveguiding in Pd nanowires, leading to unexpected photoresist exposure beyond the illuminated regions. This influence strongly depends on the electron beam dosage used in nanowire fabrication, with higher dosages expanding the affected region. |
5A-3 Integrated Photonics, Nanophotonics/Plasmonics | Design and Fabrication of Silicon Nitride Membrane Resonators with Hybrid Photonic/Phononic Crystals
This work reports the design and fabrication of a hybrid structure integrating a two-dimensional photonic crystal into a silicon nitride phononic crystal membrane, achieving high mechanical Q and optical reflectivity. A novel fabrication process was developed to prevent cracking during release and ensure high yield. |
5A-4 Integrated Photonics, Nanophotonics/Plasmonics | High-Throughput Fabrication of Sapphire Nanostructures using Near-Field Focusing of Ultrafast Laser
This work introduces the fabrication of sapphire nanostructures in a high-throughput parallel process via the near-field focusing of ultrafast laser using a monolayer of dielectric microspheres. The resulting photonic nanojets induce morphology change from single crystal to polycrystalline and amorphous, with feature sizes below the diffraction limit of light. |
5A-5 Integrated Photonics, Nanophotonics/Plasmonics | Maskless Lithography Beyond EUV Using an Array of Transmissive Diffractive Microlenses
We describe a maskless lithography scheme, called X-ZPAL, that would employ a free-electron laser at 4.5 nm wavelength, an array of diffractive microlenses and a modulator that adjusts the flux to each microlens. The objective is to outperform current EUV while enabling microchip innovations not feasible in a mask-based scheme. |
Friday, May 30, 2025 8:00 am – 9:50 am EST |
Session 5B – Ion Sources 2 (Invited Session) Session Chairs: Greg Hlawacek and Katja Hoeflich |
5B-1 (Invited) Ion Sources 2 | Ion Beam Mediated Synthesis of Metal Nanostructures Onto Graphene: From Single Atoms to 2D Metallenes
Ions with ultra-low energies offer a versatile method to modify the structure of 2D materials. In this work, we show how they are used to first functionalize the normally inert basal plane of graphene followed by the synthesis of various metal nanostructures from single atoms to nanoclusters and 2D metallenes. |
5B-2 (Invited) Ion Sources 2 | Optimizing FIB-material Interactions for Self-Organization Induced Nanofabrication, Self-Assembly, and Local Defect Engineering
This talk will discuss devising a unique nanofabrication strategy by harnessing ion-induced self-organization toward hierarchical, highly-ordered, and 3D nanostructures on various materials ranging from semiconducting to vdW materials. The latter part of the talk focuses on FIB-induced defect engineering and self-assembly of materials. |
5B-3 (Invited) Ion Sources 2 | New Approaches for Charged Particle Optics for Ionic Liquid Ion Sources
This talk will review the fundamentals of ionic liquid ion sources (ILIS) and recent efforts towards creating charged particle optics for use with ILIS, including filtering experiments and the use of intelligent algorithms with the differential algebra method for lens design. |
5B-4 Ion Sources 2 | Formation of Self-organized Metal-Carbon Multilayers by Ion Beam-Induced Deposition of Organometallic Precursors
Self-organized metal-carbon multilayers have been demonstrated to form by low energy ion beam induced deposition of trimethyl(methylcyclopentadienyl) platinum. Characterization of these films has shown continuous layers of platinum with larger grain size than typical homogeneous ion beam platinum deposits. |
Friday, May 30, 2025 8:00 am – 9:40 am EST |
Session 5C – EUV and Optical Lithography, Resists Session Chairs: Chris Ober and Takeo Watanabe |
5C-1 EUV and Optical Lithography, Resists | Fabricating Fresnel Zone Plate with Extended Depth of Focus Through Ge2Sb2Te5 (GST) Photothermal Lithography
This study investigates a photothermal lithography technique that utilizes Ge₂Sb₂Te₅ (GST), a phase-change material (PCM), to fabricate a Fresnel zone plate with an extended depth of focus (DOF). The resulting DOF is 4 times larger than the conventional FZP with the same parameters. |
5C-2 EUV and Optical Lithography, Resists | Pyrolyzed Carbon As a True Grayscale Lithography Mask
We demonstrate the conversion of 2.5D grayscale patterns written via multi-photon lithography into true grayscale masks for contact lithography via pyrolysis. The carbon masks undergo significant shrinkage in the vertical direction and shows a slight reduction in contrast but otherwise maintain remarkable image fidelity. |
5C-3 EUV and Optical Lithography, Resists | Hexane-based Development of PMMA
We use Hansen solubility parameters to determine a development mixture for PMMA based on hexane, whose low surface tension may allow high aspect ratio structures that are normally prone to collapse on drying after development to remain stable. |
5C-4 EUV and Optical Lithography, Resists | Single-exposure Volumetric Holographic Additive Manufacturing
We demonstrate a novel method for single-exposure volumetric additive manufacturing of arbitrary three-dimensional microstructures. Arbitrary three-dimensional geometries of light can be generated via a holographic mask through inverse design. Such geometries can be simultaneously polymerized within UV-resin. We additionally demonstrate and experimentally validate a convolution-based model for printing condition analysis. |
5C-5 EUV and Optical Lithography, Resists | Resist Characterization at 30 nm Wavelength using a Tabletop EUV Source
This work explores using a tabletop EUV system for photoresist testing, offering an alternative to limited-access synchrotron sources. ZEP520A resist is exposed to 30 nm EUV, and its clearing dose and contrast are determined. Results align with simulations, demonstrating the systems viability for efficient EUV lithography research. |
Friday, May 30, 2025 10:20 am – 12:10 pm EST |
Session 6A – Atomically Precise Fabrication/SPM Session Chairs: James Owen and Xiaogan Liang |
6A-1 (Invited) Atomically Precise Fabrication/SPM | Electronic Characterization of Different Atomically Precise Dangling Bond Wires on H-Si (100)
Using scanning tunneling microscopy and spectroscopy, six different types of dangling bond wires were perfectly fabricated, and electronically characterized with previously unavailable consistency and precision. Density functional theory was employed to complement the experimental data and calculate transmission coefficients for the wires to determine their prospects for future devices. |
6A-2 Atomically Precise Fabrication/SPM | Atomic Scale Fabrication Using the Scanning Transmission Electron Microscope
Present recent advancements in using the scanning transmission electron microscope to fabricate defects, introduce dopants, and manipulate materials with atomic precision for applications in quantum information science. |
6A-3 (Invited) Atomically Precise Fabrication/SPM | Investigating Nitrogen Diffusion in Silicon through Scanning Tunneling Microscopy
We have combined scanning tunneling microscope (STM) observations of the dissociation of ammonia with TOF-SIMS depth profiles to further elucidate the relation between the structure of N at the surface of silicon and its ability to diffuse into the substrate or grown cap. |
6A-4 Atomically Precise Fabrication/SPM | Fabrication of Atomically-precise Nanoimprint Masks by STM Lithography
Nanoimprint Lithography is a possible replacement to EUV, with far lower energy costs, and potentially better resolution. Canon claims 5 nm feature size is possible, but NIL requires a 1x mask, so how would we make a 5 nm mask? STM Lithography. |
6A-5 Atomically Precise Fabrication/SPM | Fabrication of High Frequency Oersted Lines for Electron Spin Manipulation
Presentation will cover the key challenges associated with the transmission of high-frequency signals (10-60 GHz) to the device at milli-Kelvin temperatures design and fabrication of coplanar waveguides on the chip, as well as the development of a PCB adapter to interface with coaxial cables. |
Friday, May 30, 2025 10:20 am – 12:00 pm EST |
Session 6B – Bio/Nano Fluidics/Self Assembly Session Chairs: Leeya Engel and Regina Luttge |
6B-1 Bio/Nano Fluidics/Self Assembly |
Harnessing Charged Particle Beams to Tailor Defects by Design
DNA origami self-assembly is a complex, cooperative process, leading to structures with emergent properties. Quantitative metrics that capture the controlling cooperative effects have been lacking. We have developed a set of predictive metrics by using yield-as-a-function of design to identify the sources of cooperativity, construct metrics, and deconvolve their effects. |
6B-2 Bio/Nano Fluidics/Self Assembly |
Enhanced Unidirectional Cell Migration Persistence Induced by Asymmetrical Micropatterns with Nanostructures
This study demonstrates that asymmetrical micropatterns with nanopillars enhance persistent unidirectional cell migration. Analysis of cell migration dynamics and F-actin imaging revealed improved unidirectional control and cytoskeletal reorganization on arrowheads with nanopillars. This platform demonstrates the potential for applications in accelerating wound healing and mimicking tissue interfaces for biomedical devices. |
6B-3 Bio/Nano Fluidics/Self Assembly | Polymer-grafted Nanocrystal Superlattice with Long-range Order Mediated by Melt Additions
Polymer-grafted nanocrystals (PGNC) are an attractive class of materials for fabricating superlattices. However, large-area deposition of PGNCs remains challenging. Here, we demonstrate that systematically applying chemically identical polymer melt into a PGNC superlattice improves long-range order. We infer that the melts plasticize the superlattice, lowering the barrier toward grain growth. |
6B-4 Bio/Nano Fluidics/Self Assembly | Guiding Neuronal Responses: Impact of Micro- and Nano-Scale Topographies on Neurite Growth and Morphology
This study examines how micro- and nano-patterned surfaces influence neuronal growth, morphology, and connectivity. Nanogratings promote dense dendritic branching and network formation, while nanoholes and nanopillars support isolated neuronal growth. Microgrooves align neurons along ridges, and microchannels guide neurons along sidewalls. These findings advance surface topographies for tissue engineering. |
6B-5 Bio/Nano Fluidics/Self Assembly | Effect of Pillar Geometry on Mechanical Properties and Antireflection Effects of Silicon Nanostructures
This study investigates the effect of pillar geometry on the mechanical behavior and antireflection properties of silicon nanopillar arrays. We aim to understand the trade-off between mechanical robustness and antireflection effects. Preliminary results show low aspect-ratio nanopillars exhibit higher hardness and fracture, while high aspect-ratio nanopillars exhibit buckling before breaking. |
Friday, May 30, 2025 10:20 am – 12:20 pm EST |
Session 6C – Emerging AI (Invited Session) Session Chairs: Daphne Chen and Paul Braun |
6C-1 (Invited) Emerging AI | Harnessing Magnetic Material Nanotextures for AI
Nanoscale magnetic materials are powerful building blocks for AI computing systems, for energy storage and conversion as well as for in-memory computing. But, it is a challenge to create functional devices that leverage tuned magnetic material behavior while being back-end-of-the-line compatible. As high-performance computing demands continue to grow, efficient on-chip power conversion becomes increasingly critical. AI workloads face the memory wall, requiring new in-memory computing architectures that can leverage magnetic memory devices. |
6C-2 (Invited) ApplEmerging AI | Intrinsic Nonlinearity on Emerging Non-volatile Memory for High Density Storage and Computing Applications
In this work, the intrinsic self-rectified switching behaviors were observed in bilayer oxide stacks, helical materials, and two-dimensional (2D) Van der Waals dielectric materials. Intrinsic nonlinearity in 1R-only RRAMs paves the way for advancements in scalable, energy efficient, high performance in-memory computing applications. |
6C-3 (Invited) Emerging AI | Defect-tolerant Tree-based Models in MoS2-based Flash Memory Arrays with Sb Contact
This work fabricated high-performance analog CAMs using 2D MoS₂ flash memories with semimetal antimony contacts. The high-performance device array enables soft tree-based inference with inherent robustness against adversarial attacks and device variations, achieving 97% accuracy on the Iris dataset and only a 0.6% accuracy drop on MNIST under threshold variations. |
6C-4 (Invited) Emerging AI | Ferroelectrics for Future 3D NAND Storage Technology
Band-engineered FEFETs with dielectric inserts have emerged as a potential solution to continued z-scaling in 3D-NAND devices. We present a comprehensive optimization of these ferroelectric gate stacks for in-storage compute applications. The optimized device is then utilized to demonstrate a high-density in-storage compute solution for protein identification using OMS. |
Friday, May 30, 2025 1:20 pm – 3:00 pm EST |
Session 7A – Nanoelectronics, Quantum Electronics 2 Session Chairs: Rick Silver and Mark Gaunin |
7A-1 Nanoelectronics, Quantum Electronics 2 | Scalable, Precise, And Reliable Positioning of Colour Centres for Quantum Computing and Simulation
We will be reporting on the engineering of a novel ion-beam column based on the well-established Ionoptika’s Q-One platform for ion implantation. This single novel column will allow for use of both Liquid Metal Alloy Ion Source and Plasma Source. We will also report on colour centres formation results obtained by other research institutes by using the Q-One ion implanter. |
7A-2 Nanoelectronics, Quantum Electronics 2 | Optimizing Efficiency of Photon Emission from Electrically Driven Silicon Color Centers
This work is driven by the need for efficient light sources within silicon prompting the fabrication of devices that enable electrically driven single photon emission in silicon by W and G type color centers. Thus, the electro-optical efficiency and electroluminescence of color center embedded p-i-n diodes are analyzed. |
7A-3 Nanoelectronics, Quantum Electronics 2 | A New Tool to Perform Controlled Ion Implantation for the Creation of Dense NV Ensembles in Diamond Chad Jeremie Silvent, Joa Morla-Al Yahya, Anatole Bach, Alexey Tiranov, Philippe Goldner, Alexandre Tallaire, Justine Renaud and Morgan Reveillard (ORSAY Physics) Focused Ion Beam (FIB) is a versatile tool in material science, enabling etching, microfabrication, and ion implantation. Using a filtered FIB with Liquid Metal Alloy Ion Sources, Orsay Physics developed the QuiiN tool for precise ion implantation. This technique optimizes nitrogen-vacancy centers in diamonds, crucial for quantum sensor advancements. |
7A-4 Nanoelectronics, Quantum Electronics 2 | Artificial Spin Lattices on Insulating Substrates via Variable-pressure Electron-beam Lithography
Artificial spin lattices were successfully patterned on sapphire substrate using variable-pressure electron-beam lithography under water vapor and the magnetic behavior of the patterns were characterized using longitudinal MOKE. As expected, the artificial spin ices exhibited a much higher coercive field than a uniform Permalloy thin film. |
7A-5 Nanoelectronics, Quantum Electronics 2 | Memristor-Enhanced 1D Logistic Map-Based Random Number Generator for Secure ECG Signal Encryption and Decryption
In this study, we harness the memristors fluctuation characteristics to design and implement a memristor-enhanced analog RNG circuit based on a 1D logistic map. The random number sequence generated by our RNG circuit is utilized to encrypt sensitive biomedical data like ECG for telemedicine applications. |
Friday, May 30, 2025 1:20 pm – 3:30 pm EST |
Session 7B – Bionanotechnology Session Chairs: Stella Pang and Wed-di Li |
7B-1 (Invited) Bionanotechnology |
Rethinking Molecular Sensing: Towards Ultra-miniaturized and Long-lasting Biosensors
We present a new vision for ultra-miniaturized molecular sensors monolithically integrated on CMOS chips for real-time monitoring. These sensors include biomimetic hydrogels designed to extend lifespan, while advanced nanomaterials address nanoscale powering and communication. These strategies set the stage for seamless bio-integration, transforming health monitoring and environmental sensing. |
7B-2 (Invited) Bionanotechnology | Micro- and Nanofabrication Technologies for Cellular Cryo-electron Tomography Studies Cryo-electron tomography (cryo-ET) is a transmission electron microscopy (TEM) technique that provides unparalleled three-dimensional visualization of complex biological architectures within intact, hydrated cells.We present a new class of nanopatterned TEM grids, customized for cryo-ET, that can provide cells with programmed topographical cues that better mimic an in vivo environment. |
7B-3 Bionanotechnology | A 100X100 Active-matrix Microfluidic System Based on High-voltage Thin-film Transistors
We report the design, fabrication and characterization of a-Si thin-film transistors that are capable of withstand 390 volts. The high-voltage TFT is used to drive a 100X100 electrode array for parallel manipulation of nanoliter droplets. We demonstrate autonomous completion of biological and biomedical applications on such microfluidic platform. |
7B-4 Bionanotechnology | Membrane-delimited Multiport High-pressure Fluid Cells
Microfluidic cells were developed to resist medium-high pressure by optimizing SiNx membranes. They can function as multi-port micro-reaction chambers allowing in situ observation of reaction products formation using X-rays, electron, photon or various particle beams. FEA membrane bulge and burst experiments confirmed increased performance by using a doped Si subframe. |
7B-5 Bionanotechnology | Imperceptive and Breathable Microneedle-metal Mesh Electronic Skin for Reliable, Long-term, and High-fidelity Surface Biopotential Monitoring
Electronic skins (e-skins), engineered to be compliant and biocompatible with human skin, have emerged as an ideal platform for integrating the biological world into information networks. Herein, a microneedle-metal mesh e-skin (MMES) is designed to offer reliable, long-term, and high-fidelity surface biopotential monitoring. |
Friday, May 30, 2025 1:20 pm – 3:10 pm EST |
Session 7C – Applications of Nanofabrication Session Chairs: Weilun Chao and Ming Lu |
7C-1 (Invited) Applications of Nanofabrication | Electrodeposition of High Capacity Nanostructured Battery Electrodes for Conventional and Solid-state Batteries
Conventional batteries contain electrodes consisting of a mixture of active material, binder, and conductive additives. Via electrodeposition, we have realized nearly dense crystallographically oriented nanostructured electrodes which provide near-theoretical capacities and attractive rate performances. We will discuss the electrodes and solid-state and conventional batteries built using electrodeposited electrodes. |
7C-2 Applications of Nanofabrication | Fabrication of Memristive Reservoir Computing Devices for Control Applications
Fabrication of memristive reservoir computing devices for control reduces power, complexity, and size in robotic systems. Demonstrated via lever-balancing, the system replicates PID control with minimal power (~10 µW), offering an ultralow-power solution for edge computing in robotics. It leverages nonlinear memristive behavior for efficient real-time control. |
7C-3 Applications of Nanofabrication | Fabrication Challenges and Strategies for the Heterogeneous Integration of Memristors on a Single Small-Scale CMOS Chip
In this study, we report the successful integration of memristors onto a compact CMOS chip measuring just 2.5 mm × 2 mm. Fabricating and processing memristors on such a compact chip present unique challenges. To address these challenges, a detailed fabrication process was developed. |
7C-4 Applications of Nanofabrication | Particle Array Micro-transfer Using Stretchable PVA Template Capable of Varying Periods and over 3D Topography
This study presents a PVA hydrogel-based transfer method for microscale fabrication, offering better control and compatibility with various substrate materials and providing an effective and biocompatible alternative to conventional methods. |
7C-5 Applications of Nanofabrication | Two-Photon Polymerization Direct Printing of Porous Silica Electrospray Emitters
This work investigates nano/micro fabrication of electrospray emitters using two-photon polymerization (TPP) printing. Silica emitters are TPP-printed from a photoresist containing silica nanoparticles and sintered together with thermal post-processing. The emitters are embedded with micron-sized pores formed by triple-periodic-minimal-surface (TPMS) lattices to improve fluid transport and electrospray performance. |
Friday, May 30, 2025 3:40 pm – 5:20 pm EST |
Session 8A – MEMS/NEMS Session Chairs: Jessica Andriolo and Wai Oo |
8A-1 MEMS/NEMS | Integration of 3D Additively Manufactured High Aspect Ratio Polymer & Copper Pillars with 2D Microelectronic Devices
We present MEMS force sensors made by combining manufacturing strengths of additive techniques, to the capabilities of traditional microelectronic devices. We outline how we achieve <+/- 1um alignment between 3D prints and sub-um device widths and are the first to demonstrate successful integration of metal electrodeposited structures on active microelectronics. |
8A-2 MEMS/NEMS | Inductively Coupled Plasma for Silicon Carbide High Aspect Ratio Etching
This study highlights recent advancements in high-aspect-ratio deep reactive ion etching of 4H-Silicon Carbide nanofabrication using inductively coupled plasma. Detailed etching recipe characterization and systematic etching investigations are demonstrated to represent a critical step toward the integration of silicon carbide as a replacement for silicon in the semiconductor industry. |
8A-3 MEMS/NEMS | Fabrication and Characterization of a cm-scale, 400 nm-thick Torsional Pendulum
In the physics community, interest has surged in observing gravity's quantum nature. In support of this, we fabricated multiple macroscopic mechanical oscillators. The devices are 400 nm thick Si₃N₄ bridges from ~1cm to 5cm in length. We used them in a mirrored optical lever and verified their excellent quality factors. |
8A-4 MEMS/NEMS | High-aspect Ratio Structures Enabled by Backside Illumination of SU-8
We present fabrication results using a backside illumination technique to create high aspect ratio SU-8 structures on transparent substrates. |
8A-5 MEMS/NEMS | Advanced Ultrashort Pulsed Laser-Enabled Direct-Write Techniques for Nanofabrication and Microfabrication
Leveraging ultrashort pulsed lasers, our study introduces a transformative direct-write technology for nanofabrication and microfabrication. This approach, integrating CO2 gas injection and physical masking, facilitates mask-less, rapid prototyping, significantly enhancing precision and efficiency in creating complex micro and nanostructures. This method surpasses traditional limitations, accelerating innovation in device fabrication. |
Friday, May 30, 2025 3:40 pm – 5:40 pm EST |
Session 8B – Ion Sources 3 (Invited Session) Session Chairs: Harriet Åhlgren and Carla Martinez |
8B-1 (Invited) Ion Sources 3 |
Chip-scale Photonic Temperature Metrology Platform
We provide details on developing a silicon photonics-based temperature metrology platform. Our ultra-sensitive photonic thermometer (SPoT), an on-chip integrated silicon nanophotonic resonator, has the potential to outperform the best-in-class resistance thermometers and enable precise and accurate temperature metrology in the field. |
8B-2 (Invited) Ion Sources 3 |
Advanced Optimization with SIMION for Charged Particle Optics Design and Development
We will review the two high-dimensional multi-objective optimization platforms for charged particle optics design and simulation with SIMION, based on an upgraded Simplex algorithm and a multi-objective genetic algorithm (MOGA). We will also present benchmarking and showcases in various ion optical simulation designs. |
8B-3 Ion Beam, Electron Beam Lithography | Utilizing Single Species Ionic Liquid Ion Source Beams for Material Irradiation
In this work, we will report on the results of irradiations of substrates using an EMI-FAP source in both the positive and negative polarity at a range of energies up to 20 keV. The effects of irradiating with and without filtration via a Wien filter will be analysed. |
8B-4 (Invited) Ion Sources 3 | FIB-SIMS Applications with a Cs+ Low Temperature Ion Source
The Cs+ Low Temperature Ion Source (LoTIS) has a small focused spot size and high yield of sputtered secondary ions. We will highlight some uses of the source for FIB and secondary-ion mass spectroscopy applications. |
Friday, May 30, 2025 3:40 pm – 5:20 pm EST |
Session 8C – E-beam Lithography/Metrology Session Chairs: Sandra Gutierrez and Raja Muthinti |
8C-1 E-beam Lithography/Metrology | Optical Characterization of EBL Overlay Alignment Using Arrays of Radially Symmetric Moiré Patterns
We present a technique to measure overlay alignment using moiré patterns formed by offsetting of overlaid radially symmetric patterns. The method allows quick measurement down to 5nm accuracy using optical microscopy, offering a faster alternative to traditional electron microscopy methods using Vernier patterns. |
8C-2 E-beam Lithography/Metrology | Advanced Strategies for Sub-Picometer Line Placement Accuracy in Variable Line Spacing Gratings Using Electron Beam Lithography
This work demonstrates sub-picometer groove density placement precision in Variable Line Spacing (VLS) gratings using advanced electron beam lithography techniques. By optimizing dose modulation, multi-pass exposures, and field scaling, it enhances pattern fidelity, enabling high-quality gratings for quantum technologies and synchrotron applications with improved efficiency and environmental stability. |
8C-3 E-beam Lithography/Metrology | Innovative Approach for exposing 50 mm diameter Metasurfaces with Electron Beam Lithography
Electron beam lithography (EBL) enables precise metasurface fabrication. However, scaling is limited by data overhead if EBL is based on GDSII format. We present an alternative workflow which dynamically creates shapes from user-defined data files in a C# code environment during exposure, overcoming this limitation and improving fabrication efficiency. |
8C-4 E-beam Lithography/Metrology | Microfabrication of Gold Electron Microscopy Grids
Electron microscopy (EM) grids are specimen supports that stabilize thin biological samples for high-resolution imaging. Our integrated method for the batch fabrication of gold EM grids enables scalability, cost efficiency, and a process for producing high-quality, state-of-the-art EM grids that can be completed in most clean rooms. |
8C-5 E-beam Lithography/Metrology | Submicron Poly Acrylic Acid Patterning by Electron Beam Lithography
Poly acrylic acid (PAA) is a hydrogel that can be directly patterned by electron beam lithography. PAA is a biodegradable and biocompatible material that can respond to various environmental factors. The carrier solvent, developer, and remover for PAA are all water. New results show minimum dimensions down to 147 nm. |
Poster Sessions | Poster Session: Wednesday, May 28, 2025, 11:05 am - 1:00 pm
Poster Session Reception: Wednesday, May 28, 2025, 5:45 - 7:00 pm Posters Available for Viewing: Thursday, May 29, 2025, 9:50 am - 1:00 pm |
P1 | Impacts of Image Contrast on High-NA EUV Mask Structure Optimization in Low-voltage Electron Beam Lithography
This study examines the effects of image contrast on high-NA EUV mask performance using simulations and slope analysis. It identifies optimal material designs for different mask structures (BIM: Ta₂Co, AttPSM: RuTa, AltPSM: TaBN+Cr), achieving edge slope improvements of 26%, 11%, and 20%, consistently enhancing performance across rectangular and curvilinear patterns. |
P2 | An Image Contrast-based Curvilinear Mask Process Correction Method for Enhancing Computational Efficiency and Manufacturability
This study presents an image contrast-based curvilinear mask process correction method that optimizes dissection point distribution, reducing computation time by 45%, improving accuracy by 33%, and enhancing manufacturability. The proposed method addresses convergence issues in low-curvature and high-proximity regions through simulation for advanced nanofabrication in EUV lithography. |
P3 | A Periodic Pattern-aware and Runtime-optimized Patterning Prediction Method for Mask Process Correction
This study proposes a periodic pattern-aware and runtime-optimized method for mask process correction (MPC). By approximating surrounding energy contributions and addressing inaccuracies during refinement, the method achieves a 20X speed-up while maintaining comparable accuracy, demonstrating its precision, computational efficiency, and suitability for state-of-the-art MPC applications. |
P4 | A Staged Distance-based Segmentation Method to Enhance Computational Efficiency and Patterning Accuracy in Curvilinear MPC
This study proposes a staged distance-based segmentation (SDBS) method for curvilinear MPC. By balancing computational efficiency and accuracy through a two-stage process, SDBS reduces runtime by 27% and 14% compared to conventional DBS and CBS, respectively, while improving EPE in regions of interest by up to 40%. |
P5 | Optimization of Large-area Uniformity for Angled Ion Beam Etch Processes
We present a means for optimizing the etch rate uniformity of a (reactive) ion beam etch process on a static tilted substrate up to 200mm. The specific example of an application to angled diffraction grating etching for a range of grating angles is considered. |
P6 | Wafer-scale manufacturing of centimeter-sized metasurfaces down to the deep-ultraviolet region
This study introduces a scalable manufacturing method for UV metalenses using ZrO2-polymer hybrid material and ArF photolithography. The technique enables mass production of centimeter-scale UV metasurfaces with high efficiency and low cost, overcoming traditional limitations of UV optics fabrication and opening possibilities for practical applications. |
P7 | Neutral Species Generated from Ionic Liquid Ion Sources
Ionic liquid ion sources have attracted significant attention. However, recent studies indicate that even in the purely ionic regime, a larger number of neutral particles than expected are emitted along with ions via fragmentation. This study investigates fragmentation mechanisms using experiments and simulations, revealing fragmentation locations. |
P8 | Enhancing Prediction Accuracy and Mitigating Structural Dependencies in Curvilinear EUV Mask Patterning with Hybrid Parametric PSF Models
The proposed hybrid PPSF models, integrating Gaussian, exponential, and Lorentz distributions, significantly enhance fitting accuracy and prediction precision for curvilinear EUV mask patterning in EBL. These models effectively address structural dependencies, improve electron scattering representation, and achieve better pattern fidelity compared to conventional approaches, meeting advanced lithography requirements. |
P9 | Non-programmers guide to FIB-SEM automation
The main challenge of automation of FIB-SEMs is that most users are not programmers, they can use some scripts, even edit them, but in general they do not have the capability to write them. We will introduce a novel way to automate your process using block of code. |
P10 | Inverse Design of Manufacturable Optical Metasurface for Full-color Reflective Displays
This work introduces a manufacturable metasurface-based reflective display leveraging inverse design and color routing to overcome the theoretical efficiency limit of color filter arrays. The design achieves higher optical efficiency, superior color separation, and improved manufacturability through height optimization, offering a pathway to high-performance, sustainable reflective displays rivaling emissive counterparts. |
P11 | Investigation of Electrostatic Forces and Charging of Anti-Dust Nanostructures
Dust mitigation is a challenge impacting space exploration. In prior work, 500 nm period structures were nano-engineered to reduce adhesion forces by minimizing contact area. These structures proved to have anti-dust properties while in atmospheric conditions. However, questions remain regarding the contribution of capillary and electrostatic forces under non-atmospheric conditions. |
P12 | Aspheric Micro-DOEs Fabricated by Grayscale Direct Laser Writing and Nanoimprint for MicroLED Collimation
This work presents the full design and fabrication flow for the production of free-form microlens devices, which comprehends optical design, master fabrication by 4096-stage direct laser writing lithography patterning on 4-inch wafers, the pattern transfer by UV NIL lithography on glass substrates and the optical characterization of the final product. |
P13 | Investigation of Temperature-Dependent Electrical Properties in Delta-Doped Silicon
Delta-doped silicon exhibits low resistance at cryogenic temperatures, crucial for quantum and cryogenic electronics. Using Pd₂Si contacts, TLM analysis reveals that delta-doped layers maintain lower resistance and transition to metallic conduction below 40 K. Contact resistivity stabilizes at 3000 Ω·µm². Future work includes Hall measurements and substrate studies. |
P14 | UVC Hybrid Lithography on Electron-Beam Resists
Novel UVC sources are emerging due to their virucidal action. Emission at 220nm enables efficient photolithography on PMMA, and offers a powerful and low-cost approach for <500 nm resolution lithography. Results for PMMA-like resists and new UVC sources will be presented. |
P15 | Accelerating Multiple Patterning Decomposition with an Analog 3-SAT Solver
We propose an analog 3-SAT accelerator for multiple patterning decomposition by modeling it as a graph coloring problem and converting it into a 3-SAT formulation. Utilizing circuit feedback mechanisms and continuous-time analog computation, our approach achieves fast, efficient solutions, outperforming traditional digital solvers in handling complex lithographic constraints. |
P16 | Correction Model for Photoresist Shrinkage in CD-SEM Metrology Based on ISWO-SVR
Metrology with critical dimension scanning electron microscopy (CD-SEM) is prone to errors due to photoresist shrinkage induced by electron beam irradiation. To address this issue, a machine learning-based predictive model is proposed to recover the true, pre-shrinkage critical dimensions of the photoresist, thereby enhancing CD-SEM measurement precision. |
P17 | Fabrication of thin-shell periodic nanopillars with near-unity refractive index
This study employed periodic thin-shell nanopillar arrays to explore nanolattice optical properties. Laser interference lithography creates uniform 2D templates, allowing precise control. Spectroscopic ellipsometry characterizes arrays with different geometries, offering insights into the design and optical behavior of thin-shell nanolattice structures. |
P18 | Sustainable Non-ionic Photoacid Generators for Advanced Lithography
The advancement of EUV and DUV lithography is essential for continued progress of semiconductor manufacturing. This study focuses on the design and synthesis of non-ionic PAGs, which offer a key advantage over traditional PAGs by providing more uniform distribution within the resist matrix and potentially reducing defects and improves photosensitivity. |
P19 | Solution of SEM signal enhancement of buried patterns and buried defects
We developed a methodology to image buried structure with band-pass backscattering electrons (BP-BSE) imaging by removing both low-energy (<100eV) secondary elections (SE) and high-energy elastic BSE (EBSE) from a scanning electron microscope (SEM) image. |
P20 | Effect of ion irradiation on the crystallization of Ge via AlILE process
The effect of ion beam irradiation on the crystallization of a-Ge at a very low temperature was achieved via Al induced layer exchange process which is very useful for different application such as microelectronics devices, optoelectronics devices, thermoelectics devices, sensors etc. |
P21 |
A Laser Multicharged Niobium Ion Source
A niobium laser multicharged ion source was developed. Three distinct groups of Nb ions were detected: ultrafast, fast, and thermal, each experiencing different acceleration potentials. TOF signals revealed overlapping Nb¹⁺ and Nb²⁺ for thermal ions, distinct peaks for fast ions up to Nb7+, and higher charge states for ultrafast ions. |
P22 | Characterizing the stability of poly-ethylene glycol coatings as a function of humidity and temperature
Despite the prevalence of PEG in industry and research, its stability as an anti-fouling surface coating remains unclear. This study aims to characterize the rate of degradation of PEG coupled with a succinimidyl valerate ester attached to glass surfaces via poly-l-lysine as a function of humidity and temperature. |
P23 | Structured Organic-Inorganic Hybrids Prepared Through Block Copolymer-Metal Complexation
Organic–inorganic hybrid nanomaterials enhance advanced batteries and drug delivery systems through nanoscale improvements and controlled release. Post-polymerization self-assembly faces limitations, while polymerization-induced self-assembly (PISA) offers higher concentrations and diverse morphologies. By tuning block copolymer concentration, unique structures emerge. Advanced characterization (TEM, AFM) aids in designing materials for targeted applications. |
P24 | High-Resolution FIB-SIMS Platform for Advanced Semiconductor Process Control and Correlative 3D Nano-Analysis
The novel FIB-SIMS platform integrates Liquid Metal Alloy Ion Source (LMAIS), magnetic sector SIMS, and laser interferometer technology to deliver advanced 2D/3D nano-analysis for semiconductor process control. It supports precise delayering, high-resolution imaging, and chemical-spatial correlation, enhancing failure analysis, defect review, and metrology. Seamless tool integration boosts operational efficiency. |
P25 | Optimization of Model-Based Library Algorithm for Metrology with CD-SEM
The model-based library method is an ideal choice for CD SEM metrology at advanced nodes theoretically. However, the involved big simulation data make it difficult for high-accuracy in-line measurement. A model-based library method with Meta-heuristic optimization algorithm has been proposed, which achieves faster and low-bias CD SEM metrology. |
P26 | Development of Bulk Sputtered Metastable Tungsten for Use in Spin-Orbit Torque Random-Access Memory
Spin-orbit torque magnetic random-access memory (SOT-MRAM) is a high-speed, non-volatile technology compatible with CMOS processes. This study explores optimal fabrication process, achieving a 50μm feature size with a 30nm SOT layer (β-W) confirmed via XRD. Fabrication utilizes photolithography, sputtering, and lift-off. Future work focuses on minimization and β-W enhancement. |
P27 | Tuning the properties of Hafnium oxide-based resistive memory devices via aluminum doping
Hafnium oxide (HfOx)-based resistive random-access memory (ReRAM) devices exhibit remarkable scalability and energy efficiency. This work evaluates the influence of aluminum doping on HfOx-based MIM devices by investigating set/reset switching voltages and HRS/LRS resistance ratios, comparing the results to those of undoped HfOx-based MIM devices. |
P28 | Secondary particle detection for deterministic single ion implantation
For single ion implantation achieved via detection of secondary charged particles (SCPs), detection efficiency is limited by poor SCP yield and/or suboptimal detector arrangement. Decoupling these effects is needed to characterise exclusively the detector performance. Methods to enhance SCP yield and alternative detection methods exist for unavoidably poor yield materials. |
P29 | Characterization of a Silica Nanoparticle Ion Source
This work characterizes the operation of a liquid nanoparticle ion source (LNIS) electrospray using a variety of ion beam diagnostics including time of flight mass spectrometry, retarding potential analysis, and a quartz crystal microbalance. |
P30 | Plasmonic elements on curved surfaces
We use interferometric lithography to create arrays of plasmonic scatterers on a curved surface and study the spectral properties of the transmitted light. We identify the spectral features of the individual plasmonic scatterers and the influence of their spatial arrangement on the total response. |
P31 | Sensing by Perovskite-Filled Electrospun Fibers
A variety of metal halide perovskites are restricted to quantum dot scale during synthesis and incorporated into the core of electrospun fibers to provide high surface area sensors for gamma ray detection. |
P32 | Cardiac Flow Cell for Monitoring Damage of 3-Dimensional Tissues Under Stress
This research focuses on the use of electrospun cell scaffolds to generate tissues from seeded cardiac cells. Cell scaffolds are subjected to fluid conditions comparable to hypertension in the heart to provide insight towards the development of techniques that might prevent damage to tissues under hypertensive pressures. |
P33 | Size-tuned Silver Nanocubes as Optimized Two-dimensional SERS effective Superlattices
Zhaoxi Yang, Ning Yu, Boqun Liang, Katie Lao, Kimberly Perez, Jesse Ortega, Charlie Ding* (University of California Riverside, *Stuyvesant High School) |
P34 | Block Copolymer Vertical Orientation using Incongruent Homopolymer Blended Brushes
Distinctive polymer brushes are crucial in providing a neutral surface conducive to orientational control of block copolymers (BCPs). Here, we demonstrate a system of polymer brushes composed of incongruent chain lengths at specified compositions significantly widens the neutrality window of a lamellar-forming BCP. |
P35 | Towards Roll-to-Roll Manufacturing of Holographic Nanopatterning by Leveraging Laser Diode Arrays Contained within an UVT Acrylic Roller
Roll-to-roll nanomanufacturing in 3D has historically been challenging to achieve due to the difficulties in multilayer alignment. We implement nanoscale manufacturing in a single exposure. By novel engineering with continuous, flexible substrates, this paper demonstrates a scalable design for dual wavelength near field deep illumination inside of our pacer roller. |
P36 | How do we Make Scanning Probe Atom-by-atom Phosphorus Doping into a Manufacturing Process?
We discus current limitations of the STM-based hydrogen depassivation lithography process as a robust manufacturing process as well as the approaches we are exploring to transition from operator intensive methods to automated fabrication. |
P37 | Polymer-Carbon Nanotube Composites for Electrospinning and Photovoltaic Applications
Conductivity of a polymer-carbon nanotube composite was optimized for conductivity utilizing single-walled metallic carbon nanotubes and hydroxyl functionalized single-walled carbon nanotubes. The fabricated composite was used to fabricate conductive electrospun fibers, and as an electrode in a photovoltaic. Results will be used in fabrication of a triaxial electrospun photovoltaic cell. |
P38 | Cost-Effective Antimicrobial Surfaces Patterned by Interference and Nanoimprint Lithography
This work provides foundational data correlating surface features and antimicrobial properties for application in utilizing a low-cost interference lithography system and nanoimprint lithography for efficient fabrication of antimicrobial surfaces. We intend to provide an economically feasible method that enables production of safer surfaces with no apparent visible or tactile modifications. |
P39 | Focused ion beam induced electrical conductance in a polymer
An approach to focused ion beam induced lithography is described. |
P40 | LLMs-based Specialist Agent Consultation for Ultrafast Early Warning of Heart Attacks
We present an advanced heart attack detection system using a functionalized nanofinger-enhanced Raman spectroscopy platform combined with machine learning (ML) and large language models (LLMs). By integrating generative models for data augmentation, our approach achieves ~100% accuracy, improving disease diagnosis with enhanced generalization, speed, and accessibility. |
P41 | Navigating with Nanoscale Accuracy: Process Control and Metrology Solutions
Raith's SEM-based metrology enables precise and automated process control in nanofabrication. A customer analyzed thousands of optoelectronic components across a 6" wafer, achieving <1 nm gauge repeatability and <10 nm placement accuracy. With versatile recipe-based workflows, Raith's solutions optimize lithography performance and process window analysis. |
P42 | Neuromorphic Systems and the Return on Analog
IC fabrication and software design tool developers need to address analog devices for low power neuromorphic applications. Biological brains are asynchronous. Tool developers need to consider low power analog, asynchronous, event driven, continuous time and sense-compute-in-memory architectures. |
P43 | Advantage of IBL over EBL: Comparative measurement of sensitivity and contrast of PMMA
PMMA exposure sensitivity to both electrons and gallium ions at identical conditions was measured. It was found a positive sensitivity to gallium ions is 1000 times more sensitive than to electrons, all at the same conditions. Original procedure allowed to measure ion contrast (~3) at highly nonuniform in depth exposure. |
P44 | Rapid Nanofabrication with Maskless Multi-Electron Beam Direct Write System
This abstract introduces a high-throughput, maskless multi-electron beam direct write system for rapid semiconductor prototyping and production. It eliminates traditional masks, reducing costs and lead times, and allows precise multi-layered device fabrication with enhanced security and sustainability in semiconductor manufacturing. |
P45 | Process and material design for advanced VLSI process assisted by deep learning
We introduce our approach using classical deep larning to assist the development of new materials and processes for CMP, nanoimprint and resist synesis by using a small amount of teaching experimental data during the process and material development stage and supplementing it with simulation results or data augmentation. |
P46 | Method for Determination of Proximity Function Parameters via Monte Carlo Calculation and Development Simulation
In spite of M-C simulation shows strong dependence proximity function on depth (3D-PF) it is possible to find effective α, β, η parameters for two-dimensional PF using original algorithm which considers development. Good agreement with experimental data is demonstrated thus proximity parameters now can be calculated for arbitrary layered material. |
P47 | Optimization of lithographic and dry etch conditions for on-chip AlN waveguides for photonic devices
Aluminum nitride (AlN) has excellent thermal conductivity, dielectric, piezoelectric, and ferroelectric properties, for improved electronic, electro-optic, MEMS, and sensor applications. This poster will describe our systematic optimization of reactive ion etch process parameters and chemistries for fabricating AlN- waveguides with improved selectivity and uniformity, while minimizing sidewall effects and roughness. |