EIPBN

EIPBN 2017 Authors Index


A | B | C | D | E | F | G | H | I | J | K | L | M | N | O | P | Q | R | S | T | U | V | W | X | Y | Z
Name Abstract

A

Abdelgawad, AmrFabrication pH micro-biosensor for implantable medical devices
Abe, M.In-liquid alignment detection by fluorescence moiré fringes for print and imprint method
Abedzadeh, N.Diffractive Electron Mirror in SEM
Aberration-Corrected Quantum Electron Microscopy
Adesnik, H.High density, multifunctional neural probes for massively parallel read out and control
Agyeman-Budu, David N.Time Multiplexed Deep Reactive Ion Etching of Germanium and Silicon-A Comparison of Mechanisms and Application to X-ray Optics
Ahmad, AhmadActive scanning probes: versatile toolkit for fast imaging and emerging nanofabrication
Ahmed, S.Smart Nanostructured Films for Sensitive Chemical Detection and Analysis
Biomimetic micromolding: Micro- and Nano-structuring of Polydimethylsiloxane (PDMS) using Bio- and Nature Inspired Templates
Aksyuk, V. A.The Nanolithography Toolbox: Design Solutions for Nanoscale Devices
Aksyuk, VladimirNonlinear Interactions of Coupled MEMS Cantilevers
A Liquid Cell for In Situ TEM: Design and Fabrication Challenges, and Solutions
Al-Hussainawi, N.Low reflection Fresnel lenses via double imprint combined with vacuum-UV surface hardening
Al-mashaal, A.Electrostatically Driven Microbeams for Low Frequency Applications
Alam, HasibulIntriguing Prospects of 2D Atomic Sheets for Innovative Nanoelectronics
Alayo, M. I.ICP-RIE Etching of Sputtered Deposited SiO2 Thin Films for Fabrication of Oxide-Cladding AlN Photonic Crystals
Alexander-Katz, AlfredoDirected Self-Assembly in Lithography: Challenges and Opportunities
Alkemade, PaulHelium-Ion-Beam Etched Encapsulated Graphene Nanoribbons
Allen, Frances IPhotonics On a Fiber For Wavefront Manipulation
Altoe, M.V.P.High contrast scanning electron microscopy for high resolution cross sections using conductive polymer-metal coating
Andriolo, Jessica M.Iron-doped apatite nanoparticle adjuvants for enhanced phage therapy delivered through electrospun fibers
Andriolo', J.Coaxial Hybrid Perovskite Fibers: Synthesis and Encapsulation in Situ via electrospinning
Ang, W.K.Graphene-Based Cathode Cold-Field Electron Emission Sources
Ring cathode electron beam projection lithography
Anz, S. J.Low-thermal-budget surface preparation for STM Lithography
Apigo, D.Microtubules: A Potential Biological Model for Topological Phonon Edge Mode Phenomena
Arat, K.T.Simulation of Asymmetric Energy Deposition Profiles in E-Beam Lithography on Curved Substrates
Arat, K.TMonte Carlo Simulation Models for SEM Imaging: Fast versus Accurate
Araujo, L.EvangelioImproved versatility of DSA topographic patterns through the use of UV-exposed grafted layers.
Arkanimas, K.Investigation of TiO2/graphene Nanocomposite for Cancer Photothermal Therapy
A Novel Fabrication of Isolated Titanium Dioxide Nanotubes
Arrieta, J. L.Structural Breakdown of Suspended Strained Silicon NanoWires by Exposure during Scanning Electron Microscopy Analysis
Aslam, A.Microtubules: A Potential Biological Model for Topological Phonon Edge Mode Phenomena
Aydinoglu, F.Etching very large features by metal-assisted chemical etching
Grafted PMMA mono-layer brush as negative tone e-beam resist
Chromium oxide as a hard mask material better than metallic chromium
Azadi, M.The Impact of Isofocal Dose-Based Proximity Effect Correction on Effective Process Blur Tolerance
Azibi, A.High aspect ratio polystyrene structure fabrication using electron beam lithography
Azibi, AzizahFabrication of nano-gap using high and low energy electron-beam lithography

B

B.HolstAtom sieve for nanometer resolution netrual helium microscopy
Babin, SergeyPhotonics on a Fiber
Bagal, A.Fabrication and Characterization of Transparent, Flexible Metallic Nano-Accordions
Balachandran, BalasubramaniamNanoimprinted Perovskite Metasurface for Enhanced Photoluminescence
Baldo, P.Bridging the Gap for High-Coherence Superconducting Qubits
Ballard, J.Tip based nanometrology and nanolithography using high aspect ratio GaN nanowires
Low-thermal-budget surface preparation for STM Lithography
Ballard, Joshua B.Digital Atomic-Scale Tip Based Nano Fabrication
Balle, F.Reducing curtaining effects in FIB/SEM applications by a goniometer stage and an image processing method
Balram, K. C.The Nanolithography Toolbox: Design Solutions for Nanoscale Devices
Bang, O.Thermal nanoimprinting of mid-IR antireflective moth-eye nanostructures on chalcogenide glass windows
Barnard, E.Exciton diffusion in cesium lead halide perovskite nanocrystals organized in ordered nanoscale assemblies
Barner, L.Direct-write Method for Machining Fluidic Structures with Helium Ions
Barnola, S.Ion Beam Etching : a solution for microsystem device processing
Barth, S.Focused Electron Beam Induced Deposition of Nanostructures: Insights from Surface Science
Bartynski, RobertIncorporation of EELS Data for Monte Carlo Simulation of Secondary Electrons in EUV and Electron-Beam Lithography
Basu, S.A Single Fiber Surface Enhanced Raman Scattering (SERS) Probe
Bauerdick, S.FIB Nanofabrication with Sketch & Peel Method: Employing non-Ga Ion Species for Plasmonic Arrays
Bayat, ParisaNanofluidics and Plasmonics for In-Line DNA Optical Mapping
Beck, T.Reducing curtaining effects in FIB/SEM applications by a goniometer stage and an image processing method
Beggren, K.KAtom sieve for nanometer resolution netrual helium microscopy
Behzadirad, M.Tip based nanometrology and nanolithography using high aspect ratio GaN nanowires
Belianinov, A.Biofilm Structure of Geobacter sulfurreducens by Helium Ion Microscopy
Belic, N.The Impact of Isofocal Dose-Based Proximity Effect Correction on Effective Process Blur Tolerance
Simulation of Asymmetric Energy Deposition Profiles in E-Beam Lithography on Curved Substrates
Belisle, B.S.Microwell Plate Integrated Microfluidics for Cell-Cell Interaction Screening
Belle, Anna M.Nanoscale roughened thin film electrodes for neural probe and bio-sensing applications
Berggren, K. K.Ising model based simulation of block copolymer self-assembly in two-dimensional post lattice
Aberration-Corrected Quantum Electron Microscopy
Berggren, K.K.Diffractive Electron Mirror in SEM
Berggren, K.KLarge area scanning-helium-ion-beam lithography
Berling, DominiqueMicro and nanopatterning of Metal Oxo-Cluster photoresists
Béron, F.Annealing-Based Electrical Tuning of Cobalt−Carbon Deposits Grown by Focused-Electron-Beam-Induced Deposition
Magnetic and electric transport characterization of a single nickel nanowire isolated by dielectrophoresis
Bertagnolli, E.Direct-writing of nanomagnets for logic circuitry
Nanoimprinting of 3-dimensional, undercut structures - an unsolvable challenge or a methofd of industrial relevance?
Direct-write deposition of pure gold nanostructures - new possibilities and new challenges
Neurite growth into artificial microchannels
Bertani, P.AlGaN/GaN BioFET Sensors for Detection of Microcystin-LR and Other Toxins
Bertrand, N. A.The Nanolithography Toolbox: Design Solutions for Nanoscale Devices
Best, J. P.Annealing-Based Electrical Tuning of Cobalt−Carbon Deposits Grown by Focused-Electron-Beam-Induced Deposition
Bhingardive, VirajSoft Thermal Nanoimprint Lithography
Bi, K.FIB Nanofabrication with Sketch & Peel Method: Employing non-Ga Ion Species for Plasmonic Arrays
Bielejec, EdwardFabrication of Single Atom Devices by Direct Write Nanofabrication
Bilenberg, BrianDesign of hierarchical surfaces for tuning wetting characteristics
Bischoff, L.Process Simulation of Si Dot Fabrication for SETs by Ion Beam Mixing and Phase Separation in Nanopillars
Spatially controlled fabrication of individual silicon nano clusters using ion beam mixing and thermal treatment
Bischoff, LotharElectroHydroDynamic emitters developments for improving Focused Ion Beam machines
Bishop, David J.Using MEMS Devices to Build a “Fab on a Chip”
Biswas, D.A Single Fiber Surface Enhanced Raman Scattering (SERS) Probe
Biswas, M.Biomimetic micromolding: Micro- and Nano-structuring of Polydimethylsiloxane (PDMS) using Bio- and Nature Inspired Templates
Black, C. T.Patterning Materials at the One Nanometer Length Scale with an Electron Beam
Blenskens, D.Relevance of stamp material for vertical phase separation of block copolymers in nanoimprint
Blick, Robert H.Nanofluidics and Plasmonics for In-Line DNA Optical Mapping
Boeckx, CarolienProcess Optimization And Improvement Of Contact Hole Cdu And Pattern Placement Using Grapho-Epitaxy Dsa With Euv Patterned Templates
Boerboom, P.The Mass-filtered Ion Gauge (MFIG), a vacuum-contamination sensor for yield enhancement
Bojko, Richard J.Improvement of Silicon Waveguide Transmission by Advanced E-Beam Data Fracturing Strategies
Borys, N.Exciton diffusion in cesium lead halide perovskite nanocrystals organized in ordered nanoscale assemblies
Boutami, S.Novel registration error metrology for multiple electron beam lithography
Bowen, ShaneNanotechnology for a Genomic Revolution
Bozchalooi, Iman S.Active scanning probes: versatile toolkit for fast imaging and emerging nanofabrication
Brainard, Robert L.Incorporation of EELS Data for Monte Carlo Simulation of Secondary Electrons in EUV and Electron-Beam Lithography
Braun, P. V.Stable Field Emitters Using Inverse Opal Structures
Braunschweig, A.B4D Chemical Nanolithography
Breaux, C.L.Synthesis and Characterization of Low  Block Copolymers for Large Periodicity Patterning using Directed Self Assembly and Legacy Exposure Tools
Breslin, C.Fabricating Nanostructures On Bulk Silicon Substrates Using Helium Ion Microscope
Briggs, D.P.Fabrication of Suspended Nano-crystalline Diamond Foils for Stripping Electrons from a High Power Hydride Beam
Brinkmann, K.Thermal nanoimprint to improve the material properties of MAPbI3
Bruchhaus, LarsElectroHydroDynamic emitters developments for improving Focused Ion Beam machines
Brueck, S. R. JCD Limits of Scatterometry
Brueck, S.R.J.Tip based nanometrology and nanolithography using high aspect ratio GaN nanowires
Bryce, Brian A.The Nanolithography Toolbox: Design Solutions for Nanoscale Devices
Bull, Matthew StormA Simple and Inexpensive Permanent Magnet Electron Lens
Buonsanti, R.Exciton diffusion in cesium lead halide perovskite nanocrystals organized in ordered nanoscale assemblies
Burch, M.J.Biofilm Structure of Geobacter sulfurreducens by Helium Ion Microscopy
Burghartz, J.Electron-beam Exposure Dependent and Adjustable Sidewall Slopes of PMMA and ZEP520A in Comparison
Bürkle, F.FEBID Based Direct-Write Nano-Printing of 2D and 3D Plasmonic Gold Structures
Busani, T.Tip based nanometrology and nanolithography using high aspect ratio GaN nanowires
Butschke, J.Electron-beam Exposure Dependent and Adjustable Sidewall Slopes of PMMA and ZEP520A in Comparison

C

Cabrini, S.Exciton diffusion in cesium lead halide perovskite nanocrystals organized in ordered nanoscale assemblies
High density, multifunctional neural probes for massively parallel read out and control
Patterning of electrically tunable, light-emitting photonic structures made of erbium doped zinc oxide
Investigation of Quantum Tunneling Effects in Gap Plasmon using Collapsible Nano-fingers
Cabrini, SSelective Fluorocarbon-based Atomic Layer Etching in a conventional parallel-plate, capacitively coupled plasma
Cabrini, StefanoNanofluidics and Plasmonics for In-Line DNA Optical Mapping
Cabrini2, StefanoPhotonics On a Fiber For Wavefront Manipulation
Cai, J.X.Flexible large-area plasmonic gold nanocheckerboard fabricated by cost-effective solution process for highly sensitive refractive index sensing in visible range
Cai, JingxuanFlexible Transparent Conductive Film with Embedded Nanoscale Metal Mesh Fabricated through Electrospinning and Template-based Electrodeposition
Metallic Nanowire Transparent Conductive Electrode Fabricated by Template-guided Assembly
Caillau, M.50 nm lines patterned into silicon using water developable chitosan bioresist and electron beam lithography
Çakıroğlu, DilekInvestigation of Proximity and Development Process Effects for Large Area Dense Nano-Pattern Applications

C

Calafiore, GiuseppePhotonics On a Fiber For Wavefront Manipulation
Carbonell, C.4D Chemical Nanolithography
Carden, W.Focused Electron Beam Induced Deposition of Nanostructures: Insights from Surface Science
Carreño, M. N. P.ICP-RIE Etching of Sputtered Deposited SiO2 Thin Films for Fabrication of Oxide-Cladding AlN Photonic Crystals
Chan, Boon TeikProcess Optimization And Improvement Of Contact Hole Cdu And Pattern Placement Using Grapho-Epitaxy Dsa With Euv Patterned Templates
Chanda, DebashisStrong Light-Matter Interactions for Skin-like Flexible Full-Color Displays
Skin like Plasmonic Full Color Displays
Chandonait, J.Direct testing of primary photo-electron energy generated by extreme ultraviolet (EUV) at 13.5 nm
Chang, C.-H.Fabrication and Characterization of Transparent, Flexible Metallic Nano-Accordions
Conformal Coating of Gold on Nanostructured Surface using Thermal Evaporation
Chang, Chih-HaoContinuous Patterning of Three-Dimensional Periodic Nanostructures using Roll-to-Roll System
High-aspect-ratio Magnetic Tunable Nanopillar Array
Design of Interfacial Antireflection Nanostructures in Multilayers
Chang, Po-YiMicro and nanopatterning of Metal Oxo-Cluster photoresists
Chang, R.Transition Metal Dichalcogenides as Cell Culture Platforms
Chang, W. T.Xeon Gas Field Ion Source Emitted from a Single-Atom Tip
Chang, W.-T.Low-Voltage Coherent Electron Imaging Based on a Single-Atom Electron Source
Chao, WeilunRuthenium Patterning via Reactive Ion Etching for EUV optics
Chaudhary, N.Datapath Architecture for Aperture Array Based Multibeam Mask Writer Systems
Chen, I-TeContinuous Patterning of Three-Dimensional Periodic Nanostructures using Roll-to-Roll System
Chen, J.Sensors made from infiltrated ZnO nanostructures
Chen, M.Fabrication of Multi-Bit Memory Devices Based on Layered Semiconductors via Interlayer Deformation
Fabrication of Arrays of Electrically-Isolated Nanoscale Organic Thin-Film Transistors
Chen, R.F.Recirculating Microfluidic Device for Efficient Filtration and Enrichment of Circulating Tumor Cells
Chen, RifeiStretchable and conductive substrate with undulating surface by imprint lithography for Flexible Electronics
Chen, W.Y.Recirculating Microfluidic Device for Efficient Filtration and Enrichment of Circulating Tumor Cells
Chen, XiPrinted Flat Optical Component: Metasurface for Cylindrical Vector Beam Generation
Chen, Y.Enhancing the conversion efficiency of spin-to-orbit angular momentum by nanoscale metasurface reconstruction
24-bit/16 million structural true colors through extraordinary optical transmission of subwavelength Ag holes
FIB Nanofabrication with Sketch & Peel Method: Employing non-Ga Ion Species for Plasmonic Arrays
Chen, Yi-AnDesign of Interfacial Antireflection Nanostructures in Multilayers
Chen, YifangNanofabrication of 4 nm Si nanowires by high resolution e-beam lithography for high sensitive gas sensors
A novel approach for the fabrication of Kinoform lens for x-ray focusing by grayscale e-beam lithography
Optimization of Fresnel zone plate layout for high diffraction efficiency by e-beam lithography
Evaluation of RE-800 as a negative tone chemically amplified resist for electron beam lithography
Chen, YongBio-Inspired Multi-Scale Structure for Fluid Drag Reduction Enabled by Variable Voxel Stereolithography
Chen, YuluEUV Tip-to-Tip Variation Mitigation for Beyond 7nm BEOL Layers and Design Rule Analysis
Cheng, SamanthaBio-inspired Nanostructures for Enhanced Light Management
Cheng, X.Recirculating Microfluidic Device for Efficient Filtration and Enrichment of Circulating Tumor Cells
UV-Curable Nanoimprint Resist with Liquid Volume-Expanding Monomers
Cheng, XingFacile Fabrication of Concentric Gradient Nanostructures Using Interference Lithography and UV-cured Stamp Transfer
Stretchable and conductive substrate with undulating surface by imprint lithography for Flexible Electronics
Polycarbonate as a dual-tone resist for electron-beam lithography
Cheung, R.Electrostatically Driven Microbeams for Low Frequency Applications
Thinning and doping of two-dimensional WSe2 by vapour XeF2
Chevalier, C.50 nm lines patterned into silicon using water developable chitosan bioresist and electron beam lithography
Chevolot, Y.50 nm lines patterned into silicon using water developable chitosan bioresist and electron beam lithography
China, P.R.The Nanolithography Toolbox: Design Solutions for Nanoscale Devices
Cho, E.Superconducting Quantum Interference Device Micro Arrays for Biomagnetic Imaging
Cho, Ethan Y.Focused Helium Beam Fabricated Superconducting Devices
High Temperature Superconducting Electronics for Biomedical Imaging and Advanced Communications
Focused Helium Ion Beam Irradiated Josephson Junctions and Arrays
Choi, J.An Approach to 3-D Modeling of Electron-beam Lithographic Process from SEM Images for Minimization of CD Error and LER
Analytic Minimization of Line Edge Roughness for Large-Scale Uniform Patterns in Electron-beam Lithography
Choi, JEffects of Stochastic Exposure on Critical Dimension in Electron-beam Lithography
Chou, Stephen Y.Present and Future of Nanoimprint in Large-area Nanomanufacturing
Chrostowski, LukasImprovement of Silicon Waveguide Transmission by Advanced E-Beam Data Fracturing Strategies
Chu, M. ZH.Three-dimension tracing on the electron beam in micro-focus and nano-focus X-ray sources
Colless, J.Design, Fabrication, and Initial Characterization of a Multi-Qubit Chip for Quantum Simulation
Comparat, D.COLDFIB – The new FIB source from laser cooled atoms
Cooke, M.Selective Fluorocarbon-based Atomic Layer Etching in a conventional parallel-plate, capacitively coupled plasma
Corp., Asahi KaseiIn-liquid alignment detection by fluorescence moiré fringes for print and imprint method
Crémillieu, P.50 nm lines patterned into silicon using water developable chitosan bioresist and electron beam lithography
Cronin, S.Investigation of Quantum Tunneling Effects in Gap Plasmon using Collapsible Nano-fingers
Cross, K.Microwell Plate Integrated Microfluidics for Cell-Cell Interaction Screening
Cui, B.Etching very large features by metal-assisted chemical etching
High aspect ratio polystyrene structure fabrication using electron beam lithography
Chromium oxide as a hard mask material better than metallic chromium
Oxidation sharpening of silicon tips in 'air' environment
Cui, BGrafted PMMA mono-layer brush as negative tone e-beam resist
Cui, BoBatch fabrication of AFM probes with direct positioning capability
Fabrication of nano-gap using high and low energy electron-beam lithography
Fabrication pH micro-biosensor for implantable medical devices
Cui, DehuFabrication of copper nanowire using NIL for electroreduction of carbon dioxide
Fabricating Nano-scale Gratings with Multiple Imprinting
Cui, QingyuHigh-efficiency, Large-area and Color-stable Flexible Organic Light-emitting Diodes using an Ultra-thin Metal Electrode
Cybart, Shan A.Focused Helium Beam Fabricated Superconducting Devices
Cybart, Shane A.Focused Helium Ion Beam Irradiated Josephson Junctions and Arrays
Cybart, ShaneSuperconducting Quantum Interference Device Micro Arrays for Biomagnetic Imaging
Czaplewski, D. A.The Nanolithography Toolbox: Design Solutions for Nanoscale Devices

D

D.HensleyPECVD Synthesis of a Carbon-Based Nanotechnology Catalyst entitled Carbon Nano Spikes (CNS)
D.P.PappasFabrication of Josephson Junction without shadow evaporation
da Silva Junior, S. M.THz sensor in microfluidic devices for on line determination and control of ethanol concentration
Fabrication and Evaluation of an Active Electromagnetic Mixer for Lab-on-a-Chip Applications
Dal Negro, L.Patterning of electrically tunable, light-emitting photonic structures made of erbium doped zinc oxide
Dallorto, S.Selective Fluorocarbon-based Atomic Layer Etching in a conventional parallel-plate, capacitively coupled plasma
Daniels-Race, T.A Single Fiber Surface Enhanced Raman Scattering (SERS) Probe
Das, R.Bridging the Gap for High-Coherence Superconducting Qubits
Das, S.Patterning of Non-Planar Diamond Anvils for High Pressure Materials Characterization via Electron Beam Lithography
Davanco, M.The Nanolithography Toolbox: Design Solutions for Nanoscale Devices
Day, A.Bridging the Gap for High-Coherence Superconducting Qubits
de Barros, A. D.Structural Breakdown of Suspended Strained Silicon NanoWires by Exposure during Scanning Electron Microscopy Analysis
De Gendt, StefanProcess Optimization And Improvement Of Contact Hole Cdu And Pattern Placement Using Grapho-Epitaxy Dsa With Euv Patterned Templates
de Graaf, F.The Mass-filtered Ion Gauge (MFIG), a vacuum-contamination sensor for yield enhancement
de Tandt, C.THz sensor in microfluidic devices for on line determination and control of ethanol concentration
DeAlba, R.The Nanolithography Toolbox: Design Solutions for Nanoscale Devices
DeAlba, RobertoNonlinear Interactions of Coupled MEMS Cantilevers
Dean, C.Fabricating Nanostructures On Bulk Silicon Substrates Using Helium Ion Microscope
Delair, T.50 nm lines patterned into silicon using water developable chitosan bioresist and electron beam lithography
Delft, P. BrandtFrom dose statistics to line edge roughness
Delgadillo, Paulina RinconProcess Optimization And Improvement Of Contact Hole Cdu And Pattern Placement Using Grapho-Epitaxy Dsa With Euv Patterned Templates
Delobbe, A.COLDFIB – The new FIB source from laser cooled atoms
Denbeaux, G.Direct testing of primary photo-electron energy generated by extreme ultraviolet (EUV) at 13.5 nm
Denbeaux, GregIncorporation of EELS Data for Monte Carlo Simulation of Secondary Electrons in EUV and Electron-Beam Lithography
Denes, P.High density, multifunctional neural probes for massively parallel read out and control
Deng, J.Enhancing the conversion efficiency of spin-to-orbit angular momentum by nanoscale metasurface reconstruction
24-bit/16 million structural true colors through extraordinary optical transmission of subwavelength Ag holes
Deng, JiananNanofabrication of 4 nm Si nanowires by high resolution e-beam lithography for high sensitive gas sensors
Evaluation of RE-800 as a negative tone chemically amplified resist for electron beam lithography
Deutschinger, A.Direct-Write Fabrication of Electric and Thermal High-Resolution Nano-Probes on Self-Sensing AFM Cantilever
Dey, R. K.High aspect ratio polystyrene structure fabrication using electron beam lithography
Dey, RKGrafted PMMA mono-layer brush as negative tone e-beam resist
Dhuey, S.Selective Fluorocarbon-based Atomic Layer Etching in a conventional parallel-plate, capacitively coupled plasma
High density, multifunctional neural probes for massively parallel read out and control
Patterning of electrically tunable, light-emitting photonic structures made of erbium doped zinc oxide
Dhuey, S.D.High contrast scanning electron microscopy for high resolution cross sections using conductive polymer-metal coating
Dhuey, ScottPhotonics On a Fiber For Wavefront Manipulation
Dietrich, S.Fabricating Nanostructures On Bulk Silicon Substrates Using Helium Ion Microscope
Dill, K. A.The Nanolithography Toolbox: Design Solutions for Nanoscale Devices
Ding, JunjunFacile and High-Throughput Fabrication of Carbon Nanotube Carpet-PDMS Structures toward Flexible Supercapacitors
Diniz, J. A.Annealing-Based Electrical Tuning of Cobalt−Carbon Deposits Grown by Focused-Electron-Beam-Induced Deposition
Magnetic and electric transport characterization of a single nickel nanowire isolated by dielectrophoresis
Structural Breakdown of Suspended Strained Silicon NanoWires by Exposure during Scanning Electron Microscopy Analysis
Dittrich, LarsLow-cost fabrication of large area periodic nanopatterns with tunable feature sizes using soft UV-Nanoimprint at ambient atmosphere
Divan, RaluFabrication of hard x-ray zone plates with high aspect ratio using metal-assisted chemical etching
Metal-oxide Nanocrystals/Carbon Nanotubes Heterostructure Sensors for Selective Sensing of Hydrocarbons (VOCs + CH4)
Do, H.Ising model based simulation of block copolymer self-assembly in two-dimensional post lattice
Doi, I.Structural Breakdown of Suspended Strained Silicon NanoWires by Exposure during Scanning Electron Microscopy Analysis
Doise, JanProcess Optimization And Improvement Of Contact Hole Cdu And Pattern Placement Using Grapho-Epitaxy Dsa With Euv Patterned Templates
Dolejsi, M.Combining Block Copolymer Lithography with Self-Aligned Double Patterning to Achieve 10 nm Full-Pitch Line/Space Patterns
Domingos, R. D.Annealing-Based Electrical Tuning of Cobalt−Carbon Deposits Grown by Focused-Electron-Beam-Induced Deposition
Magnetic and electric transport characterization of a single nickel nanowire isolated by dielectrophoresis
Dong, ZhaogangMetal Patterning and Grain Boundary Engineering by Template Assisted Dewetting
Drezner, Y.Cesium ion beam interaction with common microelectronic materials and VLSI devices
Drndic, Marija2D Materials Nanosculpting in the Transmission Electron Microscope and Bioelectrons Applications
Du, GeorgeBio-inspired Nanostructures for Enhanced Light Management
Duan, H.FIB Nanofabrication with Sketch & Peel Method: Employing non-Ga Ion Species for Plasmonic Arrays
Duerig, UrsHigh resolution thermal scanning probe lithography for the fabrication of sub-20 nm nanodevices
Durrani, Zahid A. K.High resolution thermal scanning probe lithography for the fabrication of sub-20 nm nanodevices

E

Edwards, G.High sensitive visualization of localized electric field using low energy electron beam deflection
Eibinger, M.Fabrication of Cellulose Nano-Structures via Focused Electron Beam Induced Conversion
Eichfeld, C.M.Patterning of Non-Planar Diamond Anvils for High Pressure Materials Characterization via Electron Beam Lithography
Eidemüller, W.The impact of preparation conditions on the properties of replica stamps
Eifler, D.Reducing curtaining effects in FIB/SEM applications by a goniometer stage and an image processing method
Ekinci, Y.Evaluation and comparison of ZEP520A and mrPosEBR resists by electron beam and extreme ultraviolet lithography
Ekinci, YasinTowards the ultimate resolution in photolithography
Engstrom, James R.Atomic and molecular layer processing: Prospects and strategies for selective area atomic layer deposition
Esmek, FranziskaNanofluidics and Plasmonics for In-Line DNA Optical Mapping

F

Facsko, S.Process Simulation of Si Dot Fabrication for SETs by Ion Beam Mixing and Phase Separation in Nanopillars
Spatially controlled fabrication of individual silicon nano clusters using ion beam mixing and thermal treatment
Fairbrother, H.Focused Electron Beam Induced Deposition of Nanostructures: Insights from Surface Science
Fallica, R.Evaluation and comparison of ZEP520A and mrPosEBR resists by electron beam and extreme ultraviolet lithography
Falthansl, P.FEBID Based Direct-Write Nano-Printing of 2D and 3D Plasmonic Gold Structures
Fan, Z.J.UV-Curable Nanoimprint Resist with Liquid Volume-Expanding Monomers
Fanourakis, S.Graphene Oxide Thickness Effects in Graphene-based Supercapacitors
Fantner, E.G.Direct-Write Fabrication of Electric and Thermal High-Resolution Nano-Probes on Self-Sensing AFM Cantilever
Mechanical Properties of 3D Nanostructures Fabricated via Focused Electron Beam Induced Deposition
Fantner, G.E.Direct-Write Fabrication of Electric and Thermal High-Resolution Nano-Probes on Self-Sensing AFM Cantilever
Faria, JuanCD Limits of Scatterometry
Farrow, R. C.Microtubules: A Potential Biological Model for Topological Phonon Edge Mode Phenomena
Feezell, D.Tip based nanometrology and nanolithography using high aspect ratio GaN nanowires
Feldman, M.A Single Fiber Surface Enhanced Raman Scattering (SERS) Probe
Feng, BoNanofabrication of 4 nm Si nanowires by high resolution e-beam lithography for high sensitive gas sensors
Evaluation of RE-800 as a negative tone chemically amplified resist for electron beam lithography
Feng, LiaoyuanFOLED with enhanced external efficiency using corrugated Ag anode
FOLED with enhanced external efficiency using a corrugated Ag anode
Feng, S.PFlexible Transparent Electrode with Embedded Metal Mesh Fabricated via Template-based Electrodeposition for Full-Plastic Bifacial Dye-sensitized Solar Cells
Fernandez-Cuesta, IreneNanofluidics and Plasmonics for In-Line DNA Optical Mapping
Fernández1, AriadnaDesign of hierarchical surfaces for tuning wetting characteristics
Amphiphobic mushroom-like structures fabricated by direct nanoimprint lithography
Fitch, G.Bridging the Gap for High-Coherence Superconducting Qubits
Fitschen, J. H.Reducing curtaining effects in FIB/SEM applications by a goniometer stage and an image processing method
Flacker, A.Fabrication and Evaluation of an Active Electromagnetic Mixer for Lab-on-a-Chip Applications
Flatabø, R.Large area scanning-helium-ion-beam lithography
Atom sieve for nanometer resolution netrual helium microscopy
An experimental study of light absorbance of gold metal nanoparticles in the size range 45 to 200 nm
Fowlkes, J.D.Three-Dimensional Focused Electron Beam Induced Deposition: Design
FEBID Based Direct-Write Nano-Printing of 2D and 3D Plasmonic Gold Structures
Secondary Electron Emission during 3D Nanoscale Focused Electron Beam Induced Deposition
Fowlkes, Jason D.In Situ Purification and Characterization of Direct-Write Nanostructures Fabricated using Electron Beam Induced Deposition
Francone1, AchilleDesign of hierarchical surfaces for tuning wetting characteristics
Amphiphobic mushroom-like structures fabricated by direct nanoimprint lithography
Frimat, J.-P.Loading single neurons on a microsieve electrode array by passive pumping
Fröch, J.Direct-Write Fabrication of Electric and Thermal High-Resolution Nano-Probes on Self-Sensing AFM Cantilever
Froech, J.E.Mechanical Properties of 3D Nanostructures Fabricated via Focused Electron Beam Induced Deposition
Fruett, F.Fabrication and Evaluation of an Active Electromagnetic Mixer for Lab-on-a-Chip Applications
Fuchs, UdiDigital Atomic-Scale Tip Based Nano Fabrication
Fujita, J.High sensitive visualization of localized electric field using low energy electron beam deflection

G

G.ClaveauImproved versatility of DSA topographic patterns through the use of UV-exposed grafted layers.
Gadelrab, Karim R.Directed Self-Assembly in Lithography: Challenges and Opportunities
Gaillard, S.Biomimetic micromolding: Micro- and Nano-structuring of Polydimethylsiloxane (PDMS) using Bio- and Nature Inspired Templates
Ganner, T.Fabrication of Cellulose Nano-Structures via Focused Electron Beam Induced Conversion
Gao, WeiminImpact of Multilayer Imperfections on EUV OPC and Patterning
Genome, EdicoThe Nanolithography Toolbox: Design Solutions for Nanoscale Devices
Genova, Vincent J.Time Multiplexed Deep Reactive Ion Etching of Germanium and Silicon-A Comparison of Mechanisms and Application to X-ray Optics
Giannelis, E. P.Metal oxide cluster compound photoresists for EUV lithography
Giannuzzi, L.A.Site Specific Manipulation Techniques for FIB
Gierak, JacquesElectroHydroDynamic emitters developments for improving Focused Ion Beam machines
Gilbert, I. J.The Nanolithography Toolbox: Design Solutions for Nanoscale Devices
Göktaş, N. IşıkInvestigation of Proximity and Development Process Effects for Large Area Dense Nano-Pattern Applications
Göktaş, O.Investigation of Proximity and Development Process Effects for Large Area Dense Nano-Pattern Applications
Gölzhäuser, ArminImaging, Modification, and Analysis of Nanostructures with the Helium Ion Microscope
Gong, L.-J.24-bit/16 million structural true colors through extraordinary optical transmission of subwavelength Ag holes
Goodwin, FrancisEUV Tip-to-Tip Variation Mitigation for Beyond 7nm BEOL Layers and Design Rule Analysis
Goodyear, A.Selective Fluorocarbon-based Atomic Layer Etching in a conventional parallel-plate, capacitively coupled plasma
Goswami, SrijitHelium-Ion-Beam Etched Encapsulated Graphene Nanoribbons
Greenzweig, Y.Cesium ion beam interaction with common microelectronic materials and VLSI devices
Greenzweig, YuvalIon Beam Milling and Secondary Electron Emissions: A Monte Carlo Simulation Study
Greve, M.M.An experimental study of light absorbance of gold metal nanoparticles in the size range 45 to 200 nm
Greve, M.MLarge area scanning-helium-ion-beam lithography
Atom sieve for nanometer resolution netrual helium microscopy
Groenewald, R. E.Investigation of Quantum Tunneling Effects in Gap Plasmon using Collapsible Nano-fingers
Groves, Timothy R.Efficient computation of electromagnetic fields for round lenses in charged particle optics
Grundhoff, AdamNanofluidics and Plasmonics for In-Line DNA Optical Mapping
Grutter, K. E.The Nanolithography Toolbox: Design Solutions for Nanoscale Devices
Grutzik, ScottNonlinear Interactions of Coupled MEMS Cantilevers
Grzeskowiak, S.Direct testing of primary photo-electron energy generated by extreme ultraviolet (EUV) at 13.5 nm
Gu, QingNanoimprinted Perovskite Metasurface for Enhanced Photoluminescence
Guenther, ThomasNanofluidics and Plasmonics for In-Line DNA Optical Mapping
Guerra-Nuñez, C.Annealing-Based Electrical Tuning of Cobalt−Carbon Deposits Grown by Focused-Electron-Beam-Induced Deposition
Guerrero, J.Ion Beam Etching : a solution for microsystem device processing
Guo, L. JayPrinted Flat Optical Component: Metasurface for Cylindrical Vector Beam Generation High-efficiency, Large-area and Color-stable Flexible Organic Light-emitting Diodes using an Ultra-thin Metal Electrode
Guo, L.Fabrication of Multi-Bit Memory Devices Based on Layered Semiconductors via Interlayer Deformation
Guo, R.Analytic Minimization of Line Edge Roughness for Large-Scale Uniform Patterns in Electron-beam Lithography
Guttmann, M.Design of hierarchical surfaces for tuning wetting characteristics
Guttmann2, MarkusAmphiphobic mushroom-like structures fabricated by direct nanoimprint lithography
Guzenko, V.Electron-beam Exposure Dependent and Adjustable Sidewall Slopes of PMMA and ZEP520A in Comparison

H

Haas, S.Investigation of Quantum Tunneling Effects in Gap Plasmon using Collapsible Nano-fingers
Hagen, C.W.3D-nanoprinting using Electron Beam Induced Deposition
Monte Carlo Simulation Models for SEM Imaging: Fast versus Accurate
Simple add-on to change a single-beam SEM into a multi-beam SEM
From dose statistics to line edge roughness
Simulation of Asymmetric Energy Deposition Profiles in E-Beam Lithography on Curved Substrates
Hagmann, J.Quantifying Dopant Movement in Si:P Atomic Device Encapsulation
Hailer, M. KatieIron-doped apatite nanoparticle adjuvants for enhanced phage therapy delivered through electrospun fibers
Hallstein, R. M.Cesium ion beam interaction with common microelectronic materials and VLSI devices
Halsted, M.C.Geobacter sulfurreducens Attachment and Biofilm Growth on Electrode Materials
Biofilm Structure of Geobacter sulfurreducens by Helium Ion Microscopy
Han, K.High Q-factor micro-ring resonator fabrication by charge dissipation in electron beam lithography
Han, L.Three-dimension tracing on the electron beam in micro-focus and nano-focus X-ray sources
Hansen, R.R.Chemical Co-Patterning Strategies Using Azlactone-Functionalized Polymers
Haroldson, RossNanoimprinted Perovskite Metasurface for Enhanced Photoluminescence
Haselmann, U.FEBID Based Direct-Write Nano-Printing of 2D and 3D Plasmonic Gold Structures
Hashemi, Azadeh T.Cross-linked, bioimprinted casein microdevices as ‎biodegradable cell-culture substrates
Heerkens, C.T.H.Simulation of Asymmetric Energy Deposition Profiles in E-Beam Lithography on Curved Substrates
Heerkens, C.Th.H.Simple add-on to change a single-beam SEM into a multi-beam SEM
Heinig, K.H.Process Simulation of Si Dot Fabrication for SETs by Ion Beam Mixing and Phase Separation in Nanopillars
Spatially controlled fabrication of individual silicon nano clusters using ion beam mixing and thermal treatment
Helwa, YoussefFabrication pH micro-biosensor for implantable medical devices
Henderson, C.L.Novel Crosslinked Molecular Resists Based on Acid-Catalyzed Depolymerization
Block Copolymer Directed Self-Assembly Using Chemoepitaxial Guiding Underlayers with Topography
Synthesis and Characterization of Low  Block Copolymers for Large Periodicity Patterning using Directed Self Assembly and Legacy Exposure Tools
Henderson, CliffordCrosslinkable Photoacid Generators for Ultrahigh Loading in Epoxide Functionalized Molecular Resists
Hensley, D.K.Fabrication of Suspended Nano-crystalline Diamond Foils for Stripping Electrons from a High Power Hydride Beam
Geobacter sulfurreducens Attachment and Biofilm Growth on Electrode Materials
Heo, J.S.Fast resist-activation dosimetry for extreme ultra-violet lithography
Hermans, M.D.From dose statistics to line edge roughness
Herrmann, ChristophTransmission Helium Ion Microscopy
Hirai, Y.Novel 3-Dimensional Photo Lithography using Built-in Lens Mask
Stochastic simulation of UV-curing process in nanoimprint lithography: Pattern size and shape effects in sub-50 nm
Novel UV-NIL for opaque mold and substrate by use of UV triggered command cure resin
Hlawacek, G.Process Simulation of Si Dot Fabrication for SETs by Ion Beam Mixing and Phase Separation in Nanopillars
Spatially controlled fabrication of individual silicon nano clusters using ion beam mixing and thermal treatment
Hlawacek, GregorHelium-Ion-Beam Etched Encapsulated Graphene Nanoribbons
Ho, Jin FaMetal Patterning and Grain Boundary Engineering by Template Assisted Dewetting
Hobbs, R. G.Aberration-Corrected Quantum Electron Microscopy
Hobbs, R.Diffractive Electron Mirror in SEM
Hoffmann, MartinLow-cost fabrication of large area periodic nanopatterns with tunable feature sizes using soft UV-Nanoimprint at ambient atmosphere
Hofmann, U.The Impact of Isofocal Dose-Based Proximity Effect Correction on Effective Process Blur Tolerance
Simulation of Asymmetric Energy Deposition Profiles in E-Beam Lithography on Curved Substrates
Holihan, E.Bridging the Gap for High-Coherence Superconducting Qubits
Holland, GlennA Liquid Cell for In Situ TEM: Design and Fabrication Challenges, and Solutions
Holst, B.Large area scanning-helium-ion-beam lithography
An optimized, grid-based binary holography mask for high resolution lithography with light or matter waves
An experimental study of light absorbance of gold metal nanoparticles in the size range 45 to 200 nm
Holz, MathiasActive scanning probes: versatile toolkit for fast imaging and emerging nanofabrication
Hong, J. H.Fabrication of glass sealed x-ray tube with high resolution carbon nanotube cold cathode
Hou, H.-C.A Single Fiber Surface Enhanced Raman Scattering (SERS) Probe
Houel, A.COLDFIB – The new FIB source from laser cooled atoms
Hover, D.Bridging the Gap for High-Coherence Superconducting Qubits
Hsu, W.-H.Low-Voltage Coherent Electron Imaging Based on a Single-Atom Electron Source
Hu, H.Fabricating Nanostructures On Bulk Silicon Substrates Using Helium Ion Microscope
Hu, PanBio-Inspired Multi-Scale Structure for Fluid Drag Reduction Enabled by Variable Voxel Stereolithography
Hu, TingThermal nanoimprint to improve the material properties of MAPbI3
Hu, WalterDigital Biosensing of Influenza Virus with Single Serpentine Si Nanowire Field Effect Transistor
Nanoimprinted Perovskite Metasurface for Enhanced Photoluminescence
Bio-inspired Nanostructures for Enhanced Light Management
Huang, QingyuHigh-efficiency, Large-area and Color-stable Flexible Organic Light-emitting Diodes using an Ultra-thin Metal Electrode
Huang, X.L.Recirculating Microfluidic Device for Efficient Filtration and Enrichment of Circulating Tumor Cells
Huang, Y.T.Flexible Transparent Electrode with Embedded Metal Mesh Fabricated via Template-based Electrodeposition for Full-Plastic Bifacial Dye-sensitized Solar Cells
Hübner, R.Process Simulation of Si Dot Fabrication for SETs by Ion Beam Mixing and Phase Separation in Nanopillars
Spatially controlled fabrication of individual silicon nano clusters using ion beam mixing and thermal treatment
Hui, J. N.Real-time Cell Migration Force Monitored by Micropost Sensor Arrays on Top and Bottom Surfaces in Confined Channels
Humayun, Md TanimMetal-oxide Nanocrystals/Carbon Nanotubes Heterostructure Sensors for Selective Sensing of Hydrocarbons (VOCs + CH4)
Huth, M.FEBID Based Direct-Write Nano-Printing of 2D and 3D Plasmonic Gold Structures
Huynh, ChuongRapid nanopore fabrication over wafer size using helium ion beam and automation for biomolecule detection
Hwang, I. S.Xeon Gas Field Ion Source Emitted from a Single-Atom Tip
Hwang, I.-S.Low-Voltage Coherent Electron Imaging Based on a Single-Atom Electron Source

I

Iijima, T.Nanoscale pattering and blistering phenomenon of gold films on silicon dioxide layer using focused helium ion beam
Ilic, B. R.The Nanolithography Toolbox: Design Solutions for Nanoscale Devices
Ilic, B. RobertChromia – A Novel and Versatile Material for Nanofabrication
Nonlinear Interactions of Coupled MEMS Cantilevers
A Liquid Cell for In Situ TEM: Design and Fabrication Challenges, and Solutions
Ingolfsson, O.Focused Electron Beam Induced Deposition of Nanostructures: Insights from Surface Science
International, ZeissTransmission Helium Ion Microscopy
Irmscher, M.Electron-beam Exposure Dependent and Adjustable Sidewall Slopes of PMMA and ZEP520A in Comparison
Ishito, Y.In-liquid alignment detection by fluorescence moiré fringes for print and imprint method
Isoyan, ArtakImpact of Multilayer Imperfections on EUV OPC and Patterning
Ito, S.Reproducible surface forces between VUV-exposed silica surfaces in a moisture-sensitive oleophilic diacrylate monomer liquid
Ivanov, TzvetanActive scanning probes: versatile toolkit for fast imaging and emerging nanofabrication
Ivanovskaya, Anna N.Nanoscale roughened thin film electrodes for neural probe and bio-sensing applications

J

Jacobsen, ChrisFabrication of hard x-ray zone plates with high aspect ratio using metal-assisted chemical etching
Jakobsen, M. H.Thermal nanoimprinting of mid-IR antireflective moth-eye nanostructures on chalcogenide glass windows
Jefimovs, K.Fabrication of high aspect ratio metal gratings for X-ray phase contrast interferometry
Jeon, C.-U.An Approach to 3-D Modeling of Electron-beam Lithographic Process from SEM Images for Minimization of CD Error and LER
Effects of Stochastic Exposure on Critical Dimension in Electron-beam Lithography
Analytic Minimization of Line Edge Roughness for Large-Scale Uniform Patterns in Electron-beam Lithography
Jeong, S.High sensitive visualization of localized electric field using low energy electron beam deflection
Jesse, StephenAtom by Atom Sculpting of Materials using Scanning Transmission Electron Microscopy
Ji, ChengangHigh-efficiency, Large-area and Color-stable Flexible Organic Light-emitting Diodes using an Ultra-thin Metal Electrode
Ji, H.Effects of Stochastic Exposure on Critical Dimension in Electron-beam Lithography
Jiang, H.3D CMOL Memristor Circuit for Analog/Neuromorphic Computing
Physically Unclonable Anti-Counterfeit Labels Using Nanoscale Diffusive Memristor Crossbar Arrays
Jiang, L.Smart Nanostructured Films for Sensitive Chemical Detection and Analysis
Biomimetic micromolding: Micro- and Nano-structuring of Polydimethylsiloxane (PDMS) using Bio- and Nature Inspired Templates
Jiang, N.Geobacter sulfurreducens Attachment and Biofilm Growth on Electrode Materials
Jiang, Y.W.Recirculating Microfluidic Device for Efficient Filtration and Enrichment of Circulating Tumor Cells
Jiang, YangFabrication of copper nanowire using NIL for electroreduction of carbon dioxide
Jiang, YouweiStretchable and conductive substrate with undulating surface by imprint lithography for Flexible Electronics
Jiao, L.Graphene Oxide Thickness Effects in Graphene-based Supercapacitors
Jin, LeiPrinted Flat Optical Component: Metasurface for Cylindrical Vector Beam Generation
Johansson3, AliciaDesign of hierarchical surfaces for tuning wetting characteristics
Johnson, K.Focused Electron Beam Induced Deposition of Nanostructures: Insights from Surface Science
Jones, MervynHigh resolution thermal scanning probe lithography for the fabrication of sub-20 nm nanodevices
Jones, W. MaxLateral Field Emission Transistors For Extreme Temperature Operation
Joshi, S.S.Microwell Plate Integrated Microfluidics for Cell-Cell Interaction Screening
Juffmann, T.Multi-pass transmission electron microscopy
Jugessur, A. S.Large area nanofabrication of dense sub-50 nm structures using ALD-enabled nanoimprint lithography

K

Kaestner, MarcusActive scanning probes: versatile toolkit for fast imaging and emerging nanofabrication
Kahl, M.FIB Nanofabrication with Sketch & Peel Method: Employing non-Ga Ion Species for Plasmonic Arrays
Kamerbeek, M.J.Simple add-on to change a single-beam SEM into a multi-beam SEM
Kandel, Y.Direct testing of primary photo-electron energy generated by extreme ultraviolet (EUV) at 13.5 nm
Kandel, YudhishthirImpact of Multilayer Imperfections on EUV OPC and Patterning
Kang J. S.Fabrication of glass sealed x-ray tube with high resolution carbon nanotube cold cathode
Kang, J. S.Fabrication of high resolution electron beam with one carbon nanotube cold cathode
Kanwal, A.Microtubules: A Potential Biological Model for Topological Phonon Edge Mode Phenomena
Karg, SiegfriedHigh resolution thermal scanning probe lithography for the fabrication of sub-20 nm nanodevices
Kasahara, K.Metal oxide cluster compound photoresists for EUV lithography
Kasica, R. J.The Nanolithography Toolbox: Design Solutions for Nanoscale Devices
Kaspar, C.Electron-beam Exposure Dependent and Adjustable Sidewall Slopes of PMMA and ZEP520A in Comparison
Kasuya, M.Reproducible surface forces between VUV-exposed silica surfaces in a moisture-sensitive oleophilic diacrylate monomer liquid
Kavanagh, Karen L.Transmission Helium Ion Microscopy
Kawata, H.Novel 3-Dimensional Photo Lithography using Built-in Lens Mask
Stochastic simulation of UV-curing process in nanoimprint lithography: Pattern size and shape effects in sub-50 nm
Novel UV-NIL for opaque mold and substrate by use of UV triggered command cure resin
Kazazis, D.Evaluation and comparison of ZEP520A and mrPosEBR resists by electron beam and extreme ultraviolet lithography
Kehagias, NikolaosDesign of hierarchical surfaces for tuning wetting characteristics
Amphiphobic mushroom-like structures fabricated by direct nanoimprint lithography
Ketelaars, W.S.M.M.Patterning of Non-Planar Diamond Anvils for High Pressure Materials Characterization via Electron Beam Lithography
Simulation of Asymmetric Energy Deposition Profiles in E-Beam Lithography on Curved Substrates
Khan, A.Flexible Transparent Electrode with Embedded Metal Mesh Fabricated via Template-based Electrodeposition for Full-Plastic Bifacial Dye-sensitized Solar Cells
Khan, ArshadFlexible Transparent Conductive Film with Embedded Nanoscale Metal Mesh Fabricated through Electrospinning and Template-based Electrodeposition
Khursheed, A.Graphene-Based Cathode Cold-Field Electron Emission Sources
A modularized miniature electron beam column array for parallel lithography
Ring cathode electron beam projection lithography
Kievit, O.The Mass-filtered Ion Gauge (MFIG), a vacuum-contamination sensor for yield enhancement
Kihara, N.Sub 100 nm Pattern Transfer from Self-Assembled Silica Nanoparticles Grafted with Polymer Brush on Patterned Substrate
Kikuchi, E.In-liquid alignment detection by fluorescence moiré fringes for print and imprint method
Kikuta, H.Novel 3-Dimensional Photo Lithography using Built-in Lens Mask
Kim, C-S.Diffractive Electron Mirror in SEM
Aberration-Corrected Quantum Electron Microscopy
Kim, D. K.Bridging the Gap for High-Coherence Superconducting Qubits
Kim, S.-B.Effects of Stochastic Exposure on Critical Dimension in Electron-beam Lithography
Analytic Minimization of Line Edge Roughness for Large-Scale Uniform Patterns in Electron-beam Lithography
Kim, S.-H.An Approach to 3-D Modeling of Electron-beam Lithographic Process from SEM Images for Minimization of CD Error and LER
Kim, S.Biofilm Structure of Geobacter sulfurreducens by Helium Ion Microscopy
Kim, T. G.Fabrication of glass sealed x-ray tube with high resolution carbon nanotube cold cathode
Fabrication of high resolution electron beam with one carbon nanotube cold cathode
Kirchner, R.Electron-beam Exposure Dependent and Adjustable Sidewall Slopes of PMMA and ZEP520A in Comparison
Evaluation and comparison of ZEP520A and mrPosEBR resists by electron beam and extreme ultraviolet lithography
Kisc, AndrasHigh resolution thermal scanning probe lithography for the fabrication of sub-20 nm nanodevices
Klein, K.L.Direct-write Method for Machining Fluidic Structures with Helium Ions
Klings, ThomasNanofluidics and Plasmonics for In-Line DNA Optical Mapping
Knoll, Armin W.High resolution thermal scanning probe lithography for the fabrication of sub-20 nm nanodevices
Knuffman, B.Focused Ion Beam System Employing a Low Temperature Ion Source
Kobler, AaronRapid nanopore fabrication over wafer size using helium ion beam and automation for biomolecule detection
Kocis, A.Neurite growth into artificial microchannels
Kometani, R.Local nanopatterning using PS-b-PMMA block copolymer self-assembly/electron beam combined lithography
Nanoscale pattering and blistering phenomenon of gold films on silicon dioxide layer using focused helium ion beam
Korivi, N.S.Smart Nanostructured Films for Sensitive Chemical Detection and Analysis
Biomimetic micromolding: Micro- and Nano-structuring of Polydimethylsiloxane (PDMS) using Bio- and Nature Inspired Templates
Koshelev, A.High density, multifunctional neural probes for massively parallel read out and control
Koshelev, AlexanderPhotonics on a Fiber
Koshelev1, AlexanderPhotonics On a Fiber For Wavefront Manipulation
Kosma, V.Metal oxide cluster compound photoresists for EUV lithography
Koster, N.The Mass-filtered Ion Gauge (MFIG), a vacuum-contamination sensor for yield enhancement
Koutsos, V.Thinning and doping of two-dimensional WSe2 by vapour XeF2
Zinc oxide nanowires for drug delivery systems
Koyama, M.Stochastic simulation of UV-curing process in nanoimprint lithography: Pattern size and shape effects in sub-50 nm
Kreikebaum, J. M.Design, Fabrication, and Initial Characterization of a Multi-Qubit Chip for Quantum Simulation
Kreindl, GeraldNanotechnology for a Genomic Revolution
Krielaart, M.A.R.Diffractive Electron Mirror in SEM
Kroo, Laurel AnnA Simple and Inexpensive Permanent Magnet Electron Lens
Kruit, P.Diffractive Electron Mirror in SEM
Simple add-on to change a single-beam SEM into a multi-beam SEM
From dose statistics to line edge roughness
Aberration-Corrected Quantum Electron Microscopy
Krylov, S.The Nanolithography Toolbox: Design Solutions for Nanoscale Devices
Krylov, SlavaNonlinear Interactions of Coupled MEMS Cantilevers
Kumagai, M.Fabrication of Polyimide Screen Masks with Through Holes by Laser Drilling for Print and Imprint Method
Kumar, R.Focused Electron Beam Induced Deposition of Nanostructures: Insights from Surface Science
Surface Modification of Graphene-Metal Oxides by Microwave Irradiation for Applications in Supercapacitors
Kurabayashi, K.Fabrication of Pre-Bended Layered Semiconductor Biosensors on Flexible Substrates
Kurihara, K.Reproducible surface forces between VUV-exposed silica surfaces in a moisture-sensitive oleophilic diacrylate monomer liquid

L

L.ZhangPatterning of Non-Planar Diamond Anvils for High Pressure Materials Characterization via Electron Beam Lithography
Lab, AdesnikHigh density, multifunctional neural probes for massively parallel read out and control
Labella, M., IIIPatterning of Non-Planar Diamond Anvils for High Pressure Materials Characterization via Electron Beam Lithography
Laegel, B.Reducing curtaining effects in FIB/SEM applications by a goniometer stage and an image processing method
Lai, W. C.Xeon Gas Field Ion Source Emitted from a Single-Atom Tip
Lake, JosephDigital Atomic-Scale Tip Based Nano Fabrication
Lamprou, D.A.Zinc oxide nanowires for drug delivery systems
Lan, YangchunFabrication of copper nanowire using NIL for electroreduction of carbon dioxide
Lanzio, V.High density, multifunctional neural probes for massively parallel read out and control
Laurenceau, E.50 nm lines patterned into silicon using water developable chitosan bioresist and electron beam lithography
Le Saux, G.Directed Assembly of Nanodumbbells via Nano-Lithographic Docking
Leclercq, J.-L.50 nm lines patterned into silicon using water developable chitosan bioresist and electron beam lithography
Lee, D. T.Conformal Coating of Gold on Nanostructured Surface using Thermal Evaporation
Lee, H. R.Fabrication of high resolution electron beam with one carbon nanotube cold cathode
Lee, J.AlGaN/GaN BioFET Sensors for Detection of Microcystin-LR and Other Toxins
Lee, S.-Y.An Approach to 3-D Modeling of Electron-beam Lithographic Process from SEM Images for Minimization of CD Error and LER
Effects of Stochastic Exposure on Critical Dimension in Electron-beam Lithography
Analytic Minimization of Line Edge Roughness for Large-Scale Uniform Patterns in Electron-beam Lithography
Lee, S.AlGaN/GaN BioFET Sensors for Detection of Microcystin-LR and Other Toxins
Lee, Y.High Q-factor micro-ring resonator fabrication by charge dissipation in electron beam lithography
Lemaire, P.Fabrication and Characterization of Transparent, Flexible Metallic Nano-Accordions
Lester, K.Fabrication of Suspended Nano-crystalline Diamond Foils for Stripping Electrons from a High Power Hydride Beam
Leung, Yuen-ShanBio-Inspired Multi-Scale Structure for Fluid Drag Reduction Enabled by Variable Voxel Stereolithography
Lewis, B.B.Three-Dimensional Focused Electron Beam Induced Deposition: Design
FEBID Based Direct-Write Nano-Printing of 2D and 3D Plasmonic Gold Structures
Secondary Electron Emission during 3D Nanoscale Focused Electron Beam Induced Deposition
Lewis, Brett B.In Situ Purification and Characterization of Direct-Write Nanostructures Fabricated using Electron Beam Induced Deposition
Li, AndrewBio-inspired Nanostructures for Enhanced Light Management
Li, BenjaminBio-inspired Nanostructures for Enhanced Light Management
Li, C.Fabrication of self-rectifying 3D all-silicon memristor crossbar arrays by stacking fluid supported single-crystalline membranes
3D CMOL Memristor Circuit for Analog/Neuromorphic Computing
Li, CanFabrication of 2 x 2 nm2 Cross-Point Memristor Array of 3.82 Tbit/inch2 Packing Density
Li, D.An Approach to 3-D Modeling of Electron-beam Lithographic Process from SEM Images for Minimization of CD Error and LER
Fabrication of Arrays of Electrically-Isolated Nanoscale Organic Thin-Film Transistors
Li, DongyuanMetallic Nanowire Transparent Conductive Electrode Fabricated by Template-guided Assembly
Li, H.Three Layer Plasmonic Biosensor with High Sensitivity
Li, HaiboSynthesis and Characterization of Low  Block Copolymers for Large Periodicity Patterning using Directed Self Assembly and Legacy Exposure Tools
Li, J.Effects of Stochastic Exposure on Critical Dimension in Electron-beam Lithography
Li, K.Atomically Precise Devices: Enabling Fundamentally New Devices at the Ultimate Atomic Limit
Quantifying Dopant Movement in Si:P Atomic Device Encapsulation
Li, KenanFabrication of hard x-ray zone plates with high aspect ratio using metal-assisted chemical etching
Li, P. C.Xeon Gas Field Ion Source Emitted from a Single-Atom Tip
Li, Q.The Nanolithography Toolbox: Design Solutions for Nanoscale Devices
Li, QiaochuPrinted Flat Optical Component: Metasurface for Cylindrical Vector Beam Generation
Li, ShijieFacile Fabrication of Concentric Gradient Nanostructures Using Interference Lithography and UV-cured Stamp Transfer
Li, W. P.Three-dimension tracing on the electron beam in micro-focus and nano-focus X-ray sources
Li, W.D.Flexible large-area plasmonic gold nanocheckerboard fabricated by cost-effective solution process for highly sensitive refractive index sensing in visible range
Flexible Transparent Electrode with Embedded Metal Mesh Fabricated via Template-based Electrodeposition for Full-Plastic Bifacial Dye-sensitized Solar Cells
Li, Wen-DiFlexible Transparent Conductive Film with Embedded Nanoscale Metal Mesh Fabricated through Electrospinning and Template-based Electrodeposition
Facile Fabrication of Concentric Gradient Nanostructures Using Interference Lithography and UV-cured Stamp Transfer
Metallic Nanowire Transparent Conductive Electrode Fabricated by Template-guided Assembly
Li, Y.Investigation of Quantum Tunneling Effects in Gap Plasmon using Collapsible Nano-fingers
Li, YSwitchable and Stackable Color Filters for a Full-color Reflective Display
Li, YuanruiBio-Inspired Multi-Scale Structure for Fluid Drag Reduction Enabled by Variable Voxel Stereolithography
Electrically switchable structural color using electrowetting on superhydrophobic surface
Liang, C.W.Flexible large-area plasmonic gold nanocheckerboard fabricated by cost-effective solution process for highly sensitive refractive index sensing in visible range
Liang, ChuweiFacile Fabrication of Concentric Gradient Nanostructures Using Interference Lithography and UV-cured Stamp Transfer
Metallic Nanowire Transparent Conductive Electrode Fabricated by Template-guided Assembly
Liang, X.Fabrication of Multi-Bit Memory Devices Based on Layered Semiconductors via Interlayer Deformation
Fabrication of Pre-Bended Layered Semiconductor Biosensors on Flexible Substrates
Fabrication of Arrays of Electrically-Isolated Nanoscale Organic Thin-Film Transistors
Liang, YuchenDigital Biosensing of Influenza Virus with Single Serpentine Si Nanowire Field Effect Transistor
Bio-inspired Nanostructures for Enhanced Light Management
Liao, K.-T.Fabrication and Replication of Nanofluidic Devices for the Analytical Separation of Biological Nanoparticles
Liddle, J. A.The Nanolithography Toolbox: Design Solutions for Nanoscale Devices
Liddle, J. AlexanderChromia – A Novel and Versatile Material for Nanofabrication
Self-Assembled DNA-Protein Nanostructures with Molecular Precision
A Liquid Cell for In Situ TEM: Design and Fabrication Challenges, and Solutions
Lim, HSwitchable and Stackable Color Filters for a Full-color Reflective Display
Lim, HaneolThe Design and Analysis of Switchable and Stackable Reflective Color Filters
Bio-Inspired Multi-Scale Structure for Fluid Drag Reduction Enabled by Variable Voxel Stereolithography
Lim, Kevin T. P.Direct laser writing of color transmission holograms
Lin, C. Y.Xeon Gas Field Ion Source Emitted from a Single-Atom Tip
Lin, C.-Y.Low-Voltage Coherent Electron Imaging Based on a Single-Atom Electron Source
Lin, P.3D CMOL Memristor Circuit for Analog/Neuromorphic Computing
Physically Unclonable Anti-Counterfeit Labels Using Nanoscale Diffusive Memristor Crossbar Arrays
Ling, Xinsheng SeanRapid nanopore fabrication over wafer size using helium ion beam and automation for biomolecule detection
Lithography, MAPPERFrom dose statistics to line edge roughness
Liu, H.Investigation of Quantum Tunneling Effects in Gap Plasmon using Collapsible Nano-fingers
Liu, HSwitchable and Stackable Color Filters for a Full-color Reflective Display
Liu, HeThe Design and Analysis of Switchable and Stackable Reflective Color Filters
Electrically switchable structural color using electrowetting on superhydrophobic surface
Liu, J. B.Three-dimension tracing on the electron beam in micro-focus and nano-focus X-ray sources
Liu, JianpengOptimization of Fresnel zone plate layout for high diffraction efficiency by e-beam lithography
Liu, ShihchiaNanoimprinted Perovskite Metasurface for Enhanced Photoluminescence
Liu, WeiFOLED with enhanced external efficiency using corrugated Ag anode
FOLED with enhanced external efficiency using a corrugated Ag anode
Liu, Y.The Nanolithography Toolbox: Design Solutions for Nanoscale Devices
Liu, Z. Y.Nasopharyngeal Carcinoma Cell Migration in Three-Dimensional Platform
Livengood, R. H.Cesium ion beam interaction with common microelectronic materials and VLSI devices
Livengood, RichardIon Beam Milling and Secondary Electron Emissions: A Monte Carlo Simulation Study
Lobontiu, N.The Nanolithography Toolbox: Design Solutions for Nanoscale Devices
Loeber, T. H.Reducing curtaining effects in FIB/SEM applications by a goniometer stage and an image processing method
Loeffler, F.Geobacter sulfurreducens Attachment and Biofilm Growth on Electrode Materials
Loiudice, A.Exciton diffusion in cesium lead halide perovskite nanocrystals organized in ordered nanoscale assemblies
Lokhorst, S.R.From dose statistics to line edge roughness
Lokitz, B.S.Chemical Co-Patterning Strategies Using Azlactone-Functionalized Polymers
Lopez, G.The Nanolithography Toolbox: Design Solutions for Nanoscale Devices
The Impact of Isofocal Dose-Based Proximity Effect Correction on Effective Process Blur Tolerance
Lopez, M.A Single Fiber Surface Enhanced Raman Scattering (SERS) Probe
Lotz, M. R.Thermal nanoimprinting of mid-IR antireflective moth-eye nanostructures on chalcogenide glass windows
Lovell, J.Emission stability and end-form changes in high brightness HfC electron sources
Lozano, PauloElectroHydroDynamic emitters developments for improving Focused Ion Beam machines
Lu, B.-R.Enhancing the conversion efficiency of spin-to-orbit angular momentum by nanoscale metasurface reconstruction
24-bit/16 million structural true colors through extraordinary optical transmission of subwavelength Ag holes
Lu, WuAlGaN/GaN BioFET Sensors for Detection of Microcystin-LR and Other Toxins
Luan, EnxiaoImprovement of Silicon Waveguide Transmission by Advanced E-Beam Data Fracturing Strategies
Luca, A. DeIon Beam Etching : a solution for microsystem device processing
Luck, C.Fabrication of Suspended Nano-crystalline Diamond Foils for Stripping Electrons from a High Power Hydride Beam
Ludovice, P.J.Block Copolymer Directed Self-Assembly Using Chemoepitaxial Guiding Underlayers with Topography
Luhar, MitualBio-Inspired Multi-Scale Structure for Fluid Drag Reduction Enabled by Variable Voxel Stereolithography
Lum, PaulPhotonics On a Fiber For Wavefront Manipulation
Luo, T.A modularized miniature electron beam column array for parallel lithography
Luo, Y.Reduction of Metrology Error for Line-Edge Roughness Measurement from Low-Dose SEM Images
Luo, YuFOLED with enhanced external efficiency using corrugated Ag anode
FOLED with enhanced external efficiency using a corrugated Ag anode
Luo, ZhirenHigh-aspect-ratio Magnetic Tunable Nanopillar Array
Design of Interfacial Antireflection Nanostructures in Multilayers
Luttge, R.Loading single neurons on a microsieve electrode array by passive pumping

M

M.ArgoudImproved versatility of DSA topographic patterns through the use of UV-exposed grafted layers.
M.ReveillardCOLDFIB – The new FIB source from laser cooled atoms
Ma, B.Fabrication of Arrays of Electrically-Isolated Nanoscale Organic Thin-Film Transistors
Ma, Xiaohao.Fabricating Nano-scale Gratings with Multiple Imprinting
Ma, Y. T.Three-dimension tracing on the electron beam in micro-focus and nano-focus X-ray sources
Maas, D.J.Fast resist-activation dosimetry for extreme ultra-violet lithography
The Mass-filtered Ion Gauge (MFIG), a vacuum-contamination sensor for yield enhancement
Mack, Chris A.Subtracting SEM errors during the measurement of stochastic-induced feature roughness
Mackie, W.Emission stability and end-form changes in high brightness HfC electron sources
Maeda, E.Local nanopatterning using PS-b-PMMA block copolymer self-assembly/electron beam combined lithography
Nanoscale pattering and blistering phenomenon of gold films on silicon dioxide layer using focused helium ion beam
Maeder, X.Annealing-Based Electrical Tuning of Cobalt−Carbon Deposits Grown by Focused-Electron-Beam-Induced Deposition
Magera, G.Emission stability and end-form changes in high brightness HfC electron sources
Mahady, KyleIon Beam Milling and Secondary Electron Emissions: A Monte Carlo Simulation Study
Makarov, SergeiNanoimprinted Perovskite Metasurface for Enhanced Photoluminescence
Mallek, J.Bridging the Gap for High-Coherence Superconducting Qubits
Manera, L. T.Structural Breakdown of Suspended Strained Silicon NanoWires by Exposure during Scanning Electron Microscopy Analysis
Manfrinato, V. R.Patterning Materials at the One Nanometer Length Scale with an Electron Beam
Manohara, H.Stable Field Emitters Using Inverse Opal Structures
Manzeli, SajedehHigh resolution thermal scanning probe lithography for the fabrication of sub-20 nm nanodevices
Mao, HuachaoBio-Inspired Multi-Scale Structure for Fluid Drag Reduction Enabled by Variable Voxel Stereolithography
Marcovici, A.Directed Assembly of Nanodumbbells via Nano-Lithographic Docking
Marokkey, SajanImpact of Multilayer Imperfections on EUV OPC and Patterning
Martens, S.Electron-beam Exposure Dependent and Adjustable Sidewall Slopes of PMMA and ZEP520A in Comparison
Masigol, MohammadaliChemical Co-Patterning Strategies Using Azlactone-Functionalized Polymers
Mastropaolo, E.Zinc oxide nanowires for drug delivery systems
Mathis, M.A Single Fiber Surface Enhanced Raman Scattering (SERS) Probe
Matschuk, M.Low reflection Fresnel lenses via double imprint combined with vacuum-UV surface hardening
Matsubara, S.In-liquid alignment detection by fluorescence moiré fringes for print and imprint method
Matthew, IttyEUV Tip-to-Tip Variation Mitigation for Beyond 7nm BEOL Layers and Design Rule Analysis
Matvejev, V.THz sensor in microfluidic devices for on line determination and control of ethanol concentration
Mayer, A.The impact of preparation conditions on the properties of replica stamps
Low reflection Fresnel lenses via double imprint combined with vacuum-UV surface hardening
Thermal nanoimprint to improve the material properties of MAPbI3
Relevance of stamp material for vertical phase separation of block copolymers in nanoimprint
Mazarov, PaulElectroHydroDynamic emitters developments for improving Focused Ion Beam machines
McClelland, J.J.Focused Ion Beam System Employing a Low Temperature Ion Source
McVey, ShawnRapid nanopore fabrication over wafer size using helium ion beam and automation for biomolecule detection
Melo, E. G.ICP-RIE Etching of Sputtered Deposited SiO2 Thin Films for Fabrication of Oxide-Cladding AlN Photonic Crystals
Melville, A.Bridging the Gap for High-Coherence Superconducting Qubits
Melvin, L.Direct testing of primary photo-electron energy generated by extreme ultraviolet (EUV) at 13.5 nm
Melvin, Lawrence S., IIIImpact of Multilayer Imperfections on EUV OPC and Patterning
Meng, DemingElectrically switchable structural color using electrowetting on superhydrophobic surface
Metzler, M.The Nanolithography Toolbox: Design Solutions for Nanoscale Devices
The Impact of Isofocal Dose-Based Proximity Effect Correction on Effective Process Blur Tolerance
Michels, T.The Nanolithography Toolbox: Design Solutions for Nanoscale Devices
Midoh, Y.Repair of discontinuous interference fringes in electron hologram by using the relaxation method
Migita, S.Nanoscale pattering and blistering phenomenon of gold films on silicon dioxide layer using focused helium ion beam
Min, H.D.UV-Curable Nanoimprint Resist with Liquid Volume-Expanding Monomers
Min, J.-H.Fabrication and Characterization of Transparent, Flexible Metallic Nano-Accordions
Conformal Coating of Gold on Nanostructured Surface using Thermal Evaporation
Min, Joong-HeeContinuous Patterning of Three-Dimensional Periodic Nanostructures using Roll-to-Roll System
Min, SiyiFacile Fabrication of Concentric Gradient Nanostructures Using Interference Lithography and UV-cured Stamp Transfer
Minamisawa, R.Structural Breakdown of Suspended Strained Silicon NanoWires by Exposure during Scanning Electron Microscopy Analysis
Miura, K.Repair of discontinuous interference fringes in electron hologram by using the relaxation method
Mocella, V.Patterning of electrically tunable, light-emitting photonic structures made of erbium doped zinc oxide
Mochi, I.Evaluation and comparison of ZEP520A and mrPosEBR resists by electron beam and extreme ultraviolet lithography
Mokari, T.Directed Assembly of Nanodumbbells via Nano-Lithographic Docking
Möller, W.Process Simulation of Si Dot Fabrication for SETs by Ion Beam Mixing and Phase Separation in Nanopillars
Spatially controlled fabrication of individual silicon nano clusters using ion beam mixing and thermal treatment
Montemayor, L.Stable Field Emitters Using Inverse Opal Structures
Moon, JiyoungNanoimprinted Perovskite Metasurface for Enhanced Photoluminescence
Morrell-Falvey, J.L.Microwell Plate Integrated Microfluidics for Cell-Cell Interaction Screening
Moshkalev , S. A.Surface Modification of Graphene-Metal Oxides by Microwave Irradiation for Applications in Supercapacitors
Moshkalev, S.Annealing-Based Electrical Tuning of Cobalt−Carbon Deposits Grown by Focused-Electron-Beam-Induced Deposition
THz sensor in microfluidic devices for on line determination and control of ethanol concentration
Magnetic and electric transport characterization of a single nickel nanowire isolated by dielectrophoresis
Fabrication and Evaluation of an Active Electromagnetic Mixer for Lab-on-a-Chip Applications
Mound, Brittnee A.In Situ Purification and Characterization of Direct-Write Nanostructures Fabricated using Electron Beam Induced Deposition
Muehlberger, M.Nanoimprinting of 3-dimensional, undercut structures - an unsolvable challenge or a methofd of industrial relevance?
Muilwijk, P.The Mass-filtered Ion Gauge (MFIG), a vacuum-contamination sensor for yield enhancement
Mundy, J. Z.Fabrication and Characterization of Transparent, Flexible Metallic Nano-Accordions
Munechika, KeikoPhotonics On a Fiber For Wavefront Manipulation
Photonics on a Fiber
Murakami, Y.Repair of discontinuous interference fringes in electron hologram by using the relaxation method
Murphy, John P.Iron-doped apatite nanoparticle adjuvants for enhanced phage therapy delivered through electrospun fibers
Murphy~, J.Coaxial Hybrid Perovskite Fibers: Synthesis and Encapsulation in Situ via electrospinning
Murray, R.Atomically Precise Devices: Enabling Fundamentally New Devices at the Ultimate Atomic Limit
Quantifying Dopant Movement in Si:P Atomic Device Encapsulation
Murty, E.Stable Field Emitters Using Inverse Opal Structures
Mutunga, E.Three-Dimensional Focused Electron Beam Induced Deposition: Design
Secondary Electron Emission during 3D Nanoscale Focused Electron Beam Induced Deposition

N

Nadzeyka, A.FIB Nanofabrication with Sketch & Peel Method: Employing non-Ga Ion Species for Plasmonic Arrays
Nagarajayya, NagendraSkin like Plasmonic Full Color Displays
Nagase, K.Fabrication of Polyimide Screen Masks with Through Holes by Laser Drilling for Print and Imprint Method
Nagato, K.Heat Conduction and Polymer Flow in Microstructured Mold for Laser-Assisted Imprinting
Naidu, Sharan V.Design of Interfacial Antireflection Nanostructures in Multilayers
Nakagawa, M.In-liquid alignment detection by fluorescence moiré fringes for print and imprint method
Fabrication of Polyimide Screen Masks with Through Holes by Laser Drilling for Print and Imprint Method
Reproducible surface forces between VUV-exposed silica surfaces in a moisture-sensitive oleophilic diacrylate monomer liquid
Nakamae, K.Repair of discontinuous interference fringes in electron hologram by using the relaxation method
Nakamura, T.Fabrication of Polyimide Screen Masks with Through Holes by Laser Drilling for Print and Imprint Method
Nakano, T.Analytical and Numerical Calculation of Multipole Fields of a Wire Lens for an Aberration Corrector
Nakao, M.Heat Conduction and Polymer Flow in Microstructured Mold for Laser-Assisted Imprinting
Nam, C-Y.Patterning Materials at the One Nanometer Length Scale with an Electron Beam
Namboodiri, P.Atomically Precise Devices: Enabling Fundamentally New Devices at the Ultimate Atomic Limit
Quantifying Dopant Movement in Si:P Atomic Device Encapsulation
Nanda, GauravHelium-Ion-Beam Etched Encapsulated Graphene Nanoribbons
NanoTech, zeroKFocused Ion Beam System Employing a Low Temperature Ion Source
Narasimhan, AmritIncorporation of EELS Data for Monte Carlo Simulation of Secondary Electrons in EUV and Electron-Beam Lithography
Narayanan, S.R.Field-Driven Splitting of Pure Water based on Deep-sub-Debye-length Nanogap Cells
Narcross, H.L.Novel Crosslinked Molecular Resists Based on Acid-Catalyzed Depolymerization
Narcross, HannahCrosslinkable Photoacid Generators for Ultrahigh Loading in Epoxide Functionalized Molecular Resists
Nation, B.D.Block Copolymer Directed Self-Assembly Using Chemoepitaxial Guiding Underlayers with Topography
Naulleau, PatrickRuthenium Patterning via Reactive Ion Etching for EUV optics
Nealey, P.Combining Block Copolymer Lithography with Self-Aligned Double Patterning to Achieve 10 nm Full-Pitch Line/Space Patterns
Neisser, M.Synthesis and Characterization of Low  Block Copolymers for Large Periodicity Patterning using Directed Self Assembly and Legacy Exposure Tools
Nesse, T.An optimized, grid-based binary holography mask for high resolution lithography with light or matter waves
Neuzil, P.The Nanolithography Toolbox: Design Solutions for Nanoscale Devices
Ng, V.A Study of Pattern Density on Magnetic Coupling for Bit Patterned Media
Ring cathode electron beam projection lithography
Nidetzky, B.Fabrication of Cellulose Nano-Structures via Focused Electron Beam Induced Conversion
Nielsen3, TheodorDesign of hierarchical surfaces for tuning wetting characteristics
Nishikawa, K.Local nanopatterning using PS-b-PMMA block copolymer self-assembly/electron beam combined lithography
Niu, G.Three-dimension tracing on the electron beam in micro-focus and nano-focus X-ray sources
Noordzij, N.3D-nanoprinting using Electron Beam Induced Deposition
Simple add-on to change a single-beam SEM into a multi-beam SEM
Nordwestschweiz, FachhochschuleStructural Breakdown of Suspended Strained Silicon NanoWires by Exposure during Scanning Electron Microscopy Analysis
Notte, JohnTransmission Helium Ion Microscopy
Nujhat, N.Smart Nanostructured Films for Sensitive Chemical Detection and Analysis

O

O'Brien, K. P.Design, Fabrication, and Initial Characterization of a Multi-Qubit Chip for Quantum Simulation
O'Connor, B.Conformal Coating of Gold on Nanostructured Surface using Thermal Evaporation
Ober, C. K.Metal oxide cluster compound photoresists for EUV lithography
Ocola, L. E.Sensors made from infiltrated ZnO nanostructures
Ocola, L.The Nanolithography Toolbox: Design Solutions for Nanoscale Devices
Ocola, Leonidas E.Incorporation of EELS Data for Monte Carlo Simulation of Secondary Electrons in EUV and Electron-Beam Lithography
Ocola, LeonidasFabrication of hard x-ray zone plates with high aspect ratio using metal-assisted chemical etching
Odent, J.Metal oxide cluster compound photoresists for EUV lithography
Ogawa, S.Nanoscale pattering and blistering phenomenon of gold films on silicon dioxide layer using focused helium ion beam
Ogletree, D. FrankXrays, Electrons and Lithography: Fundamental Processes in Molecular Radiation Chemistry
Oh, SharonRuthenium Patterning via Reactive Ion Etching for EUV optics
Ohno, K.Sub 100 nm Pattern Transfer from Self-Assembled Silica Nanoparticles Grafted with Polymer Brush on Patterned Substrate
Okada, Y.Superconducting Quantum Interference Device Micro Arrays for Biomagnetic Imaging
Okasha, MohammadFabrication pH micro-biosensor for implantable medical devices
Oldham, C.Fabrication and Characterization of Transparent, Flexible Metallic Nano-Accordions
Oliver, W. D.Bridging the Gap for High-Coherence Superconducting Qubits
Olynick, D. L.Selective Fluorocarbon-based Atomic Layer Etching in a conventional parallel-plate, capacitively coupled plasma
Olynick, D.L.High contrast scanning electron microscopy for high resolution cross sections using conductive polymer-metal coating
Ovchinnikova, OlgaBuilding with Ions in Liquid and Gas on the Helium Ion Microscope
Owen, J. H. G.Tip based nanometrology and nanolithography using high aspect ratio GaN nanowires
Low-thermal-budget surface preparation for STM Lithography
Owen, James H.G.Digital Atomic-Scale Tip Based Nano Fabrication

P

P.Pimenta-BarrosImproved versatility of DSA topographic patterns through the use of UV-exposed grafted layers.
P.QuéméréImproved versatility of DSA topographic patterns through the use of UV-exposed grafted layers.
Palaga, T.Investigation of TiO2/graphene Nanocomposite for Cancer Photothermal Therapy
A Novel Fabrication of Isolated Titanium Dioxide Nanotubes
Palmer, R. E.Performance of a high-resolution negative tone resist
Performance of a high-resolution negative tone resist
Palmieri, J.Microtubules: A Potential Biological Model for Topological Phonon Edge Mode Phenomena
Palumbo, A.Transition Metal Dichalcogenides as Cell Culture Platforms
Pang, S. W.Three Layer Plasmonic Biosensor with High Sensitivity
Nasopharyngeal Carcinoma Cell Migration in Three-Dimensional Platform
Topographical Effect on Natural Killer Cell Locomotion in Confined Microenvironment
Real-time Cell Migration Force Monitored by Micropost Sensor Arrays on Top and Bottom Surfaces in Confined Channels
Papenheim, M.The impact of preparation conditions on the properties of replica stamps
Low reflection Fresnel lenses via double imprint combined with vacuum-UV surface hardening
Thermal nanoimprint to improve the material properties of MAPbI3
Relevance of stamp material for vertical phase separation of block copolymers in nanoimprint
Paprotny1, IgorMetal-oxide Nanocrystals/Carbon Nanotubes Heterostructure Sensors for Selective Sensing of Hydrocarbons (VOCs + CH4)
Park, J. Y.Fabrication of glass sealed x-ray tube with high resolution carbon nanotube cold cathode
Park, K. C.Fabrication of glass sealed x-ray tube with high resolution carbon nanotube cold cathode
Fabrication of high resolution electron beam with one carbon nanotube cold cathode
Park, SaungeunIntriguing Prospects of 2D Atomic Sheets for Innovative Nanoelectronics
Parsons, G.Fabrication and Characterization of Transparent, Flexible Metallic Nano-Accordions
Conformal Coating of Gold on Nanostructured Surface using Thermal Evaporation
Patrick, N. ShaneImprovement of Silicon Waveguide Transmission by Advanced E-Beam Data Fracturing Strategies
Paulson, D.Superconducting Quantum Interference Device Micro Arrays for Biomagnetic Imaging
Pease, R. FabianA Simple and Inexpensive Permanent Magnet Electron Lens
Pedulla, Marisa L.Iron-doped apatite nanoparticle adjuvants for enhanced phage therapy delivered through electrospun fibers
Peeris, C.Ring cathode electron beam projection lithography
Penzo, E.Exciton diffusion in cesium lead halide perovskite nanocrystals organized in ordered nanoscale assemblies
Patterning of electrically tunable, light-emitting photonic structures made of erbium doped zinc oxide
Petersen, C.R.Thermal nanoimprinting of mid-IR antireflective moth-eye nanostructures on chalcogenide glass windows
Pharr, George M.In Situ Purification and Characterization of Direct-Write Nanostructures Fabricated using Electron Beam Induced Deposition
Pi, S.3D CMOL Memristor Circuit for Analog/Neuromorphic Computing
Pi, ShuangFabrication of 2 x 2 nm2 Cross-Point Memristor Array of 3.82 Tbit/inch2 Packing Density
Pimpin, A.Investigation of TiO2/graphene Nanocomposite for Cancer Photothermal Therapy
A Novel Fabrication of Isolated Titanium Dioxide Nanotubes
Pina-Hernandez, CarlosPhotonics On a Fiber For Wavefront Manipulation
Photonics on a Fiber
Pirota, K. R.Annealing-Based Electrical Tuning of Cobalt−Carbon Deposits Grown by Focused-Electron-Beam-Induced Deposition
Magnetic and electric transport characterization of a single nickel nanowire isolated by dielectrophoresis
Plachecki, VinceEUV Tip-to-Tip Variation Mitigation for Beyond 7nm BEOL Layers and Design Rule Analysis
Plank, H.Three-Dimensional Focused Electron Beam Induced Deposition: Design
FEBID Based Direct-Write Nano-Printing of 2D and 3D Plasmonic Gold Structures
Fabrication of Cellulose Nano-Structures via Focused Electron Beam Induced Conversion
Direct-Write Fabrication of Electric and Thermal High-Resolution Nano-Probes on Self-Sensing AFM Cantilever
Mechanical Properties of 3D Nanostructures Fabricated via Focused Electron Beam Induced Deposition
Secondary Electron Emission during 3D Nanoscale Focused Electron Beam Induced Deposition
Plank, HaraldIn Situ Purification and Characterization of Direct-Write Nanostructures Fabricated using Electron Beam Induced Deposition
Platzgummer, ElmarAdvances in Multi-Beam Mask Writing
Plum, M.A.Fabrication of Suspended Nano-crystalline Diamond Foils for Stripping Electrons from a High Power Hydride Beam
Popescu, C.Performance of a high-resolution negative tone resist
Poteet, AustenHigh-aspect-ratio Magnetic Tunable Nanopillar Array
Pourdavoud, N.Thermal nanoimprint to improve the material properties of MAPbI3
Pradelles, J.Novel registration error metrology for multiple electron beam lithography
Prakash, ManuA Simple and Inexpensive Permanent Magnet Electron Lens
Pranov, H.Low reflection Fresnel lenses via double imprint combined with vacuum-UV surface hardening
Pratt, K.Superconducting Quantum Interference Device Micro Arrays for Biomagnetic Imaging
Prinz, A.Nanoimprinting of 3-dimensional, undercut structures - an unsolvable challenge or a methofd of industrial relevance?
Prodan, C.Microtubules: A Potential Biological Model for Topological Phonon Edge Mode Phenomena
Prodan, E.Microtubules: A Potential Biological Model for Topological Phonon Edge Mode Phenomena
Prüfer, T.Process Simulation of Si Dot Fabrication for SETs by Ion Beam Mixing and Phase Separation in Nanopillars
Spatially controlled fabrication of individual silicon nano clusters using ion beam mixing and thermal treatment
Pudasaini, Pushpa R.In Situ Purification and Characterization of Direct-Write Nanostructures Fabricated using Electron Beam Induced Deposition
Puydinger dos Santos, M. V.Annealing-Based Electrical Tuning of Cobalt−Carbon Deposits Grown by Focused-Electron-Beam-Induced Deposition
Magnetic and electric transport characterization of a single nickel nanowire isolated by dielectrophoresis

Q

Qi, M.High Q-factor micro-ring resonator fabrication by charge dissipation in electron beam lithography
Qi, Zhengqing JohnEUV Tip-to-Tip Variation Mitigation for Beyond 7nm BEOL Layers and Design Rule Analysis

R

Rack, P.D.Three-Dimensional Focused Electron Beam Induced Deposition: Design
FEBID Based Direct-Write Nano-Printing of 2D and 3D Plasmonic Gold Structures
Secondary Electron Emission during 3D Nanoscale Focused Electron Beam Induced Deposition
Rack, Philip D.In Situ Purification and Characterization of Direct-Write Nanostructures Fabricated using Electron Beam Induced Deposition
Rack, PhilipIon Beam Milling and Secondary Electron Emissions: A Monte Carlo Simulation Study
Rademaker, G.Novel registration error metrology for multiple electron beam lithography
Radeschnig, U.FEBID Based Direct-Write Nano-Printing of 2D and 3D Plasmonic Gold Structures
Direct-Write Fabrication of Electric and Thermal High-Resolution Nano-Probes on Self-Sensing AFM Cantilever
Rahman, SM SaydurFabrication of nano-gap using high and low energy electron-beam lithography
Ramasesh, V.Design, Fabrication, and Initial Characterization of a Multi-Qubit Chip for Quantum Simulation
Rand, Richard H.Nonlinear Interactions of Coupled MEMS Cantilevers
Randall, J. N.Low-thermal-budget surface preparation for STM Lithography
Randall, John N.Digital Atomic-Scale Tip Based Nano Fabrication
Rangan, SylvieIncorporation of EELS Data for Monte Carlo Simulation of Secondary Electrons in EUV and Electron-Beam Lithography
Rangari, V.Smart Nanostructured Films for Sensitive Chemical Detection and Analysis
Biomimetic micromolding: Micro- and Nano-structuring of Polydimethylsiloxane (PDMS) using Bio- and Nature Inspired Templates
Rangelow, I. W.Selective Fluorocarbon-based Atomic Layer Etching in a conventional parallel-plate, capacitively coupled plasma
Rangelow, I.W.High contrast scanning electron microscopy for high resolution cross sections using conductive polymer-metal coating
Rangelow, Ivo W.Active scanning probes: versatile toolkit for fast imaging and emerging nanofabrication
Raveh, A.Cesium ion beam interaction with common microelectronic materials and VLSI devices
Raveh, AmirIon Beam Milling and Secondary Electron Emissions: A Monte Carlo Simulation Study
Rawlings, ColinHigh resolution thermal scanning probe lithography for the fabrication of sub-20 nm nanodevices
Ray, C. H.The Nanolithography Toolbox: Design Solutions for Nanoscale Devices
Ray, Christopher H.Chromia – A Novel and Versatile Material for Nanofabrication
A Liquid Cell for In Situ TEM: Design and Fabrication Challenges, and Solutions
Regulez-Fernandez, M.Improved versatility of DSA topographic patterns through the use of UV-exposed grafted layers.
Reichenpfader, M.Direct-writing of nanomagnets for logic circuitry
Reishofer, D.Fabrication of Cellulose Nano-Structures via Focused Electron Beam Induced Conversion
Ren, J.Combining Block Copolymer Lithography with Self-Aligned Double Patterning to Achieve 10 nm Full-Pitch Line/Space Patterns
Research, JoanneumNanoimprinting of 3-dimensional, undercut structures - an unsolvable challenge or a methofd of industrial relevance?
Retterer, S.T.Microwell Plate Integrated Microfluidics for Cell-Cell Interaction Screening
Chemical Co-Patterning Strategies Using Azlactone-Functionalized Polymers
Fabrication of Suspended Nano-crystalline Diamond Foils for Stripping Electrons from a High Power Hydride Beam
Geobacter sulfurreducens Attachment and Biofilm Growth on Electrode Materials
Biofilm Structure of Geobacter sulfurreducens by Helium Ion Microscopy
Ribeiro, L. E. BentoFabrication and Evaluation of an Active Electromagnetic Mixer for Lab-on-a-Chip Applications
Richter, C.Quantifying Dopant Movement in Si:P Atomic Device Encapsulation
Riedl, T.Thermal nanoimprint to improve the material properties of MAPbI3
Rishinaramangalam, A.Tip based nanometrology and nanolithography using high aspect ratio GaN nanowires
Riverside, UCSuperconducting Quantum Interference Device Micro Arrays for Biomagnetic Imaging
Robinson, A. P. G.Performance of a high-resolution negative tone resist
Romano, L.Fabrication of high aspect ratio metal gratings for X-ray phase contrast interferometry
Romano, S.Patterning of electrically tunable, light-emitting photonic structures made of erbium doped zinc oxide
Rond, J.Relevance of stamp material for vertical phase separation of block copolymers in nanoimprint
Rondinone, A.PECVD Synthesis of a Carbon-Based Nanotechnology Catalyst entitled Carbon Nano Spikes (CNS)
Rosenberg, D.Bridging the Gap for High-Coherence Superconducting Qubits
Rosenmann, DanielFabrication of hard x-ray zone plates with high aspect ratio using metal-assisted chemical etching
Roth, J.Performance of a high-resolution negative tone resist
Performance of a high-resolution negative tone resist
Rukenstein, P.Directed Assembly of Nanodumbbells via Nano-Lithographic Docking
Rumpf, B.Fabrication of Cellulose Nano-Structures via Focused Electron Beam Induced Conversion
Ruttloff, S.Nanoimprinting of 3-dimensional, undercut structures - an unsolvable challenge or a methofd of industrial relevance?
Ryu, B.Fabrication of Pre-Bended Layered Semiconductor Biosensors on Flexible Substrates
Fabrication of Arrays of Electrically-Isolated Nanoscale Organic Thin-Film Transistors
Ryu, Yu KyoungHigh resolution thermal scanning probe lithography for the fabrication of sub-20 nm nanodevices

S

Sachser, R.FEBID Based Direct-Write Nano-Printing of 2D and 3D Plasmonic Gold Structures
Saffih, F.Chromium oxide as a hard mask material better than metallic chromium
Sahagun1, AlvaroMetal-oxide Nanocrystals/Carbon Nanotubes Heterostructure Sensors for Selective Sensing of Hydrocarbons (VOCs + CH4)
Sainato, MichelaMetal-oxide Nanocrystals/Carbon Nanotubes Heterostructure Sensors for Selective Sensing of Hydrocarbons (VOCs + CH4)
Salmassi, FarhadRuthenium Patterning via Reactive Ion Etching for EUV optics
Samach, G.Bridging the Gap for High-Coherence Superconducting Qubits
Sando, S.Low-thermal-budget surface preparation for STM Lithography
Sang, XiahanIn Situ Purification and Characterization of Direct-Write Nanostructures Fabricated using Electron Beam Induced Deposition
Santini, R.Low-thermal-budget surface preparation for STM Lithography
Sasago, M.Novel 3-Dimensional Photo Lithography using Built-in Lens Mask
Sassolini, S.High contrast scanning electron microscopy for high resolution cross sections using conductive polymer-metal coating
Selective Fluorocarbon-based Atomic Layer Etching in a conventional parallel-plate, capacitively coupled plasma
High density, multifunctional neural probes for massively parallel read out and control
Patterning of electrically tunable, light-emitting photonic structures made of erbium doped zinc oxide
Sassolini, SimonePhotonics On a Fiber For Wavefront Manipulation
Sato, S.Fabrication of Polyimide Screen Masks with Through Holes by Laser Drilling for Print and Imprint Method
Sattelkow, J.Fabrication of Cellulose Nano-Structures via Focused Electron Beam Induced Conversion
Direct-Write Fabrication of Electric and Thermal High-Resolution Nano-Probes on Self-Sensing AFM Cantilever
Mechanical Properties of 3D Nanostructures Fabricated via Focused Electron Beam Induced Deposition
Savari, S. A.Reduction of Metrology Error for Line-Edge Roughness Measurement from Low-Dose SEM Images
Savari, S.A.Datapath Architecture for Aperture Array Based Multibeam Mask Writer Systems
Sawabe, T.Sub 100 nm Pattern Transfer from Self-Assembled Silica Nanoparticles Grafted with Polymer Brush on Patterned Substrate
Schaller, VanessaHigh resolution thermal scanning probe lithography for the fabrication of sub-20 nm nanodevices
Scheer, H.-C.The impact of preparation conditions on the properties of replica stamps
Low reflection Fresnel lenses via double imprint combined with vacuum-UV surface hardening
Thermal nanoimprint to improve the material properties of MAPbI3
Relevance of stamp material for vertical phase separation of block copolymers in nanoimprint
Scherer, AxelLateral Field Emission Transistors For Extreme Temperature Operation
Schiffels, DanielSelf-Assembled DNA-Protein Nanostructures with Molecular Precision
Schift, H.Fabrication of high aspect ratio metal gratings for X-ray phase contrast interferometry
Electron-beam Exposure Dependent and Adjustable Sidewall Slopes of PMMA and ZEP520A in Comparison
Evaluation and comparison of ZEP520A and mrPosEBR resists by electron beam and extreme ultraviolet lithography
Schinnerl, M.Nanoimprinting of 3-dimensional, undercut structures - an unsolvable challenge or a methofd of industrial relevance?
Schmid, R.M.Patterning of Non-Planar Diamond Anvils for High Pressure Materials Characterization via Electron Beam Lithography
Schmid, S.Direct-write deposition of pure gold nanostructures - new possibilities and new challenges
Schmidt, F. P.FEBID Based Direct-Write Nano-Printing of 2D and 3D Plasmonic Gold Structures
Schmied, I.Neurite growth into artificial microchannels
Scholze, P.Neurite growth into artificial microchannels
Schuff, S.Reducing curtaining effects in FIB/SEM applications by a goniometer stage and an image processing method
Schuller, P.Nanoimprinting of 3-dimensional, undercut structures - an unsolvable challenge or a methofd of industrial relevance?
Neurite growth into artificial microchannels
Schulman, D.S.Patterning of Non-Planar Diamond Anvils for High Pressure Materials Characterization via Electron Beam Lithography
Schurink, B.Loading single neurons on a microsieve electrode array by passive pumping
Schvartzman, M.Directed Assembly of Nanodumbbells via Nano-Lithographic Docking
Schvartzman, MarkSoft Thermal Nanoimprint Lithography
Schwalb, C.Direct-Write Fabrication of Electric and Thermal High-Resolution Nano-Probes on Self-Sensing AFM Cantilever
Mechanical Properties of 3D Nanostructures Fabricated via Focused Electron Beam Induced Deposition
Schwartzberg, A.Selective Fluorocarbon-based Atomic Layer Etching in a conventional parallel-plate, capacitively coupled plasma
Exciton diffusion in cesium lead halide perovskite nanocrystals organized in ordered nanoscale assemblies
Investigation of Quantum Tunneling Effects in Gap Plasmon using Collapsible Nano-fingers
Schwarzkopf, A.Focused Ion Beam System Employing a Low Temperature Ion Source
Scotuzzi, M.Simple add-on to change a single-beam SEM into a multi-beam SEM
Seib, P.F.Zinc oxide nanowires for drug delivery systems
Seibein, KerryChromia – A Novel and Versatile Material for Nanofabrication
Seki, K.Fabrication of Polyimide Screen Masks with Through Holes by Laser Drilling for Print and Imprint Method
Shankles, P.G.Microwell Plate Integrated Microfluidics for Cell-Cell Interaction Screening
Shao, JinhaiA novel approach for the fabrication of Kinoform lens for x-ray focusing by grayscale e-beam lithography
Evaluation of RE-800 as a negative tone chemically amplified resist for electron beam lithography
Shao, X.Graphene-Based Cathode Cold-Field Electron Emission Sources
Sharma, RenuA Liquid Cell for In Situ TEM: Design and Fabrication Challenges, and Solutions
Sharp, B.L.Novel Crosslinked Molecular Resists Based on Acid-Catalyzed Depolymerization
Sharp, BrandonCrosslinkable Photoacid Generators for Ultrahigh Loading in Epoxide Functionalized Molecular Resists
Shaw, R.W.Fabrication of Suspended Nano-crystalline Diamond Foils for Stripping Electrons from a High Power Hydride Beam
Shawrav, M.M.Direct-write deposition of pure gold nanostructures - new possibilities and new challenges
Shen, J.High aspect ratio polystyrene structure fabrication using electron beam lithography
Oxidation sharpening of silicon tips in 'air' environment
Shen, JiashiFabrication of nano-gap using high and low energy electron-beam lithography
Sheva, Be’erDirected Assembly of Nanodumbbells via Nano-Lithographic Docking
Shi, BingFabrication of hard x-ray zone plates with high aspect ratio using metal-assisted chemical etching
Shin, I.-K.An Approach to 3-D Modeling of Electron-beam Lithographic Process from SEM Images for Minimization of CD Error and LER
Effects of Stochastic Exposure on Critical Dimension in Electron-beam Lithography
Analytic Minimization of Line Edge Roughness for Large-Scale Uniform Patterns in Electron-beam Lithography
Shirai, M.Stochastic simulation of UV-curing process in nanoimprint lithography: Pattern size and shape effects in sub-50 nm
Si, ShuhaoLow-cost fabrication of large area periodic nanopatterns with tunable feature sizes using soft UV-Nanoimprint at ambient atmosphere
Siddiqi, I.Design, Fabrication, and Initial Characterization of a Multi-Qubit Chip for Quantum Simulation
Silver, R.Atomically Precise Devices: Enabling Fundamentally New Devices at the Ultimate Atomic Limit
Quantifying Dopant Movement in Si:P Atomic Device Encapsulation
Simelgor, G.The Nanolithography Toolbox: Design Solutions for Nanoscale Devices
Simonsen, I.An optimized, grid-based binary holography mask for high resolution lithography with light or matter waves
Singh, R. K.Surface Modification of Graphene-Metal Oxides by Microwave Irradiation for Applications in Supercapacitors
Sinica, AcademiaLow-Voltage Coherent Electron Imaging Based on a Single-Atom Electron Source
Xeon Gas Field Ion Source Emitted from a Single-Atom Tip
SKinner, J.Coaxial Hybrid Perovskite Fibers: Synthesis and Encapsulation in Situ via electrospinning
Skinner, Jack L.Iron-doped apatite nanoparticle adjuvants for enhanced phage therapy delivered through electrospun fibers
Smith, J.Fabricating Nanostructures On Bulk Silicon Substrates Using Helium Ion Microscope
Song, B.Investigation of Quantum Tunneling Effects in Gap Plasmon using Collapsible Nano-fingers
Song, Y.PECVD Synthesis of a Carbon-Based Nanotechnology Catalyst entitled Carbon Nano Spikes (CNS)
Soppera, OlivierMicro and nanopatterning of Metal Oxo-Cluster photoresists
Spejo, L. B.Structural Breakdown of Suspended Strained Silicon NanoWires by Exposure during Scanning Electron Microscopy Analysis
Spencer, J.Focused Electron Beam Induced Deposition of Nanostructures: Insights from Surface Science
Spieser, MartinHigh resolution thermal scanning probe lithography for the fabrication of sub-20 nm nanodevices
Spirk, S.Fabrication of Cellulose Nano-Structures via Focused Electron Beam Induced Conversion
Srajer, JohannesAdvances in Multi-Beam Mask Writing
Sreenivasan, S.V.Roll-to-Roll Nanofabrication Processes for Flexible Electronics and Biomedical Applications
Srinivasan, A.Graphene-Based Cathode Cold-Field Electron Emission Sources
Srinivasan1, K. A.The Nanolithography Toolbox: Design Solutions for Nanoscale Devices
Srituravanich, W.Investigation of TiO2/graphene Nanocomposite for Cancer Photothermal Therapy
A Novel Fabrication of Isolated Titanium Dioxide Nanotubes
Staabs, J.Relevance of stamp material for vertical phase separation of block copolymers in nanoimprint
Stach, E. A.Patterning Materials at the One Nanometer Length Scale with an Electron Beam
Stammberger, Stefan J.H.Improvement of Silicon Waveguide Transmission by Advanced E-Beam Data Fracturing Strategies
Stampanoni, M.Fabrication of high aspect ratio metal gratings for X-ray phase contrast interferometry
Stan, LilianaMetal-oxide Nanocrystals/Carbon Nanotubes Heterostructure Sensors for Selective Sensing of Hydrocarbons (VOCs + CH4)
Stanford, M.G.Three-Dimensional Focused Electron Beam Induced Deposition: Design
Stanford, Michael G.In Situ Purification and Characterization of Direct-Write Nanostructures Fabricated using Electron Beam Induced Deposition
Stavis, S. M.The Nanolithography Toolbox: Design Solutions for Nanoscale Devices
Fabrication and Replication of Nanofluidic Devices for the Analytical Separation of Biological Nanoparticles
Stavis, Samuel M.A Liquid Cell for In Situ TEM: Design and Fabrication Challenges, and Solutions
Stavrov, V.Direct-Write Fabrication of Electric and Thermal High-Resolution Nano-Probes on Self-Sensing AFM Cantilever
Steele, A.V.Focused Ion Beam System Employing a Low Temperature Ion Source
Steidl, G.Reducing curtaining effects in FIB/SEM applications by a goniometer stage and an image processing method
Stein, A.Patterning Materials at the One Nanometer Length Scale with an Electron Beam
Steinberg, C.The impact of preparation conditions on the properties of replica stamps
Low reflection Fresnel lenses via double imprint combined with vacuum-UV surface hardening
Thermal nanoimprint to improve the material properties of MAPbI3
Relevance of stamp material for vertical phase separation of block copolymers in nanoimprint
Stern, Lewis A.Rapid nanopore fabrication over wafer size using helium ion beam and automation for biomolecule detection
Stewart, M. D., Jr.Atomically Precise Devices: Enabling Fundamentally New Devices at the Ultimate Atomic Limit
Stewart, M., Jr.Quantifying Dopant Movement in Si:P Atomic Device Encapsulation
Stiens, J.THz sensor in microfluidic devices for on line determination and control of ethanol concentration
Fabrication and Evaluation of an Active Electromagnetic Mixer for Lab-on-a-Chip Applications
Strunz, T.Direct-Write Fabrication of Electric and Thermal High-Resolution Nano-Probes on Self-Sensing AFM Cantilever
Sugihara, D.Novel 3-Dimensional Photo Lithography using Built-in Lens Mask
Sugimura, S.Sub 100 nm Pattern Transfer from Self-Assembled Silica Nanoparticles Grafted with Polymer Brush on Patterned Substrate
Sun, LeiEUV Tip-to-Tip Variation Mitigation for Beyond 7nm BEOL Layers and Design Rule Analysis
Sun, T.Conformal Coating of Gold on Nanostructured Surface using Thermal Evaporation
Sun, YousongEvaluation of RE-800 as a negative tone chemically amplified resist for electron beam lithography
Sunayama, R.Point Diffraction Interferometer for Inspection of High-Magnification Objective for Extreme Ultraviolet Microscopy
Svatos, V.The Nanolithography Toolbox: Design Solutions for Nanoscale Devices
Swart, J. W.THz sensor in microfluidic devices for on line determination and control of ethanol concentration
Fabrication and Evaluation of an Active Electromagnetic Mixer for Lab-on-a-Chip Applications
Syed, A.Zinc oxide nanowires for drug delivery systems
Szalai, VeronikaSelf-Assembled DNA-Protein Nanostructures with Molecular Precision

T

T.NakamuraIn-liquid alignment detection by fluorescence moiré fringes for print and imprint method
Taboryski, R. J.Thermal nanoimprinting of mid-IR antireflective moth-eye nanostructures on chalcogenide glass windows
Tan, S.Cesium ion beam interaction with common microelectronic materials and VLSI devices
Tan, ShidaIon Beam Milling and Secondary Electron Emissions: A Monte Carlo Simulation Study
Tanaka, T.Novel 3-Dimensional Photo Lithography using Built-in Lens Mask
Tao, JunDigital Biosensing of Influenza Virus with Single Serpentine Si Nanowire Field Effect Transistor
Tao, LiIntriguing Prospects of 2D Atomic Sheets for Innovative Nanoelectronics
Electrochemically Exfoliated Large-area Phosphorene Enables Promising Flexible Nanoelectronics
Taus, P.Nanoimprinting of 3-dimensional, undercut structures - an unsolvable challenge or a methofd of industrial relevance?
technologies, AbeamHigh density, multifunctional neural probes for massively parallel read out and control
Photonics on a Fiber
Telian, G.High density, multifunctional neural probes for massively parallel read out and control
Texas, RichardsonDigital Atomic-Scale Tip Based Nano Fabrication
Textor, AndrewLarge area nanofabrication of dense sub-50 nm structures using ALD-enabled nanoimprint lithography
Thamdrup, Lasse H.Design of hierarchical surfaces for tuning wetting characteristics
Thomson, M.D.FEBID Based Direct-Write Nano-Printing of 2D and 3D Plasmonic Gold Structures
Thumrongthanyaluk, B.A Novel Fabrication of Isolated Titanium Dioxide Nanotubes
Tiimob, B.Smart Nanostructured Films for Sensitive Chemical Detection and Analysis
Tiron, R.Improved versatility of DSA topographic patterns through the use of UV-exposed grafted layers.
Tolbert, L.M.Novel Crosslinked Molecular Resists Based on Acid-Catalyzed Depolymerization
Topolancik, J.The Nanolithography Toolbox: Design Solutions for Nanoscale Devices
Torres, Clivia M. SotomayorDesign of hierarchical surfaces for tuning wetting characteristics
Amphiphobic mushroom-like structures fabricated by direct nanoimprint lithography
Tourlomousis, F.Transition Metal Dichalcogenides as Cell Culture Platforms
Toyoda, M.Point Diffraction Interferometer for Inspection of High-Magnification Objective for Extreme Ultraviolet Microscopy
Trisno, JonathanMetal Patterning and Grain Boundary Engineering by Template Assisted Dewetting
Tsai, Jaw-ShenCoherent quantum phase slip in superconducting nanowire
Turchetti, M.Diffractive Electron Mirror in SEM
Aberration-Corrected Quantum Electron Microscopy
Tutui, J.Novel UV-NIL for opaque mold and substrate by use of UV triggered command cure resin

U

Uchida, K.Point Diffraction Interferometer for Inspection of High-Magnification Objective for Extreme Ultraviolet Microscopy
Ünal, NezihInvestigation of Proximity and Development Process Effects for Large Area Dense Nano-Pattern Applications

U

Unlu, I.Focused Electron Beam Induced Deposition of Nanostructures: Insights from Surface Science
Unoci, Raymond R.In Situ Purification and Characterization of Direct-Write Nanostructures Fabricated using Electron Beam Induced Deposition
Utke, I.Annealing-Based Electrical Tuning of Cobalt−Carbon Deposits Grown by Focused-Electron-Beam-Induced Deposition

v

van Putten, M.The Mass-filtered Ion Gauge (MFIG), a vacuum-contamination sensor for yield enhancement
van Tol, R.F.C.Simple add-on to change a single-beam SEM into a multi-beam SEM
VancouverImprovement of Silicon Waveguide Transmission by Advanced E-Beam Data Fracturing Strategies
Vaultier, MichelElectroHydroDynamic emitters developments for improving Focused Ion Beam machines
Vaz, A. R.Surface Modification of Graphene-Metal Oxides by Microwave Irradiation for Applications in Supercapacitors
ICP-RIE Etching of Sputtered Deposited SiO2 Thin Films for Fabrication of Oxide-Cladding AlN Photonic Crystals
Vaz, A.R.Structural Breakdown of Suspended Strained Silicon NanoWires by Exposure during Scanning Electron Microscopy Analysis
Velo, M.Annealing-Based Electrical Tuning of Cobalt−Carbon Deposits Grown by Focused-Electron-Beam-Induced Deposition
Magnetic and electric transport characterization of a single nickel nanowire isolated by dielectrophoresis
Verduin, T.From dose statistics to line edge roughness
Vijayamohanan, H.A Spirothiopyran based photoresist for large area sub-diffraction nanopatterning
Vila-Comamala, J.Fabrication of high aspect ratio metal gratings for X-ray phase contrast interferometry
Viteau, M.COLDFIB – The new FIB source from laser cooled atoms
Vladár, A.E.Direct-write Method for Machining Fluidic Structures with Helium Ions
Voigt, A.Evaluation and comparison of ZEP520A and mrPosEBR resists by electron beam and extreme ultraviolet lithography
von Borany, J.Process Simulation of Si Dot Fabrication for SETs by Ion Beam Mixing and Phase Separation in Nanopillars
Spatially controlled fabrication of individual silicon nano clusters using ion beam mixing and thermal treatment
Von Ehr, J. R.Low-thermal-budget surface preparation for STM Lithography

W

Walla, F.FEBID Based Direct-Write Nano-Printing of 2D and 3D Plasmonic Gold Structures
Wallin, C. B.The Nanolithography Toolbox: Design Solutions for Nanoscale Devices
Wallin, Christopher B.Nonlinear Interactions of Coupled MEMS Cantilevers
Wang, HongleiDigital Biosensing of Influenza Virus with Single Serpentine Si Nanowire Field Effect Transistor
Nanoimprinted Perovskite Metasurface for Enhanced Photoluminescence
Bio-inspired Nanostructures for Enhanced Light Management
Wang, LiFOLED with enhanced external efficiency using corrugated Ag anode
FOLED with enhanced external efficiency using a corrugated Ag anode
Wang, SiThermal nanoimprint to improve the material properties of MAPbI3
Wang, X.Atomically Precise Devices: Enabling Fundamentally New Devices at the Ultimate Atomic Limit
Quantifying Dopant Movement in Si:P Atomic Device Encapsulation
Wang, Y.Fabrication of Multi-Bit Memory Devices Based on Layered Semiconductors via Interlayer Deformation
Sensors made from infiltrated ZnO nanostructures
Patterning of electrically tunable, light-emitting photonic structures made of erbium doped zinc oxide
Investigation of Quantum Tunneling Effects in Gap Plasmon using Collapsible Nano-fingers
Wang, Y.F.Field-Driven Splitting of Pure Water based on Deep-sub-Debye-length Nanogap Cells
Wang, YifeiElectrically switchable structural color using electrowetting on superhydrophobic surface
Wang, Z.R.Physically Unclonable Anti-Counterfeit Labels Using Nanoscale Diffusive Memristor Crossbar Arrays
Wanzenboeck, H.D.Direct-writing of nanomagnets for logic circuitry
Nanoimprinting of 3-dimensional, undercut structures - an unsolvable challenge or a methofd of industrial relevance?
Direct-write deposition of pure gold nanostructures - new possibilities and new challenges
Neurite growth into artificial microchannels
Ward, C.Selective Fluorocarbon-based Atomic Layer Etching in a conventional parallel-plate, capacitively coupled plasma
Warzecha, M.Zinc oxide nanowires for drug delivery systems
Weber-Bargioni, A.Exciton diffusion in cesium lead halide perovskite nanocrystals organized in ordered nanoscale assemblies
Weber, S.Bridging the Gap for High-Coherence Superconducting Qubits
Wei, D.Direct testing of primary photo-electron energy generated by extreme ultraviolet (EUV) at 13.5 nm
West, M.High density, multifunctional neural probes for massively parallel read out and control
Westly, D. A.The Nanolithography Toolbox: Design Solutions for Nanoscale Devices
Westly, Daron A.Nonlinear Interactions of Coupled MEMS Cantilevers
White, L. McElweeFocused Electron Beam Induced Deposition of Nanostructures: Insights from Surface Science
Wiecha, M.FEBID Based Direct-Write Nano-Printing of 2D and 3D Plasmonic Gold Structures
Wilmoth, J.L.Geobacter sulfurreducens Attachment and Biofilm Growth on Electrode Materials
Wilson, L.L.Fabrication of Suspended Nano-crystalline Diamond Foils for Stripping Electrons from a High Power Hydride Beam
Winhold, M.Direct-Write Fabrication of Electric and Thermal High-Resolution Nano-Probes on Self-Sensing AFM Cantilever
Mechanical Properties of 3D Nanostructures Fabricated via Focused Electron Beam Induced Deposition
Winkler, R.Three-Dimensional Focused Electron Beam Induced Deposition: Design
FEBID Based Direct-Write Nano-Printing of 2D and 3D Plasmonic Gold Structures
Fabrication of Cellulose Nano-Structures via Focused Electron Beam Induced Conversion
Direct-Write Fabrication of Electric and Thermal High-Resolution Nano-Probes on Self-Sensing AFM Cantilever
Mechanical Properties of 3D Nanostructures Fabricated via Focused Electron Beam Induced Deposition
Secondary Electron Emission during 3D Nanoscale Focused Electron Beam Induced Deposition
Winkler, RobertIn Situ Purification and Characterization of Direct-Write Nanostructures Fabricated using Electron Beam Induced Deposition
Wisehart, LiamIncorporation of EELS Data for Monte Carlo Simulation of Secondary Electrons in EUV and Electron-Beam Lithography
Wojcik, MichaelFabrication of hard x-ray zone plates with high aspect ratio using metal-assisted chemical etching
Wolf, D.Process Simulation of Si Dot Fabrication for SETs by Ion Beam Mixing and Phase Separation in Nanopillars
Spatially controlled fabrication of individual silicon nano clusters using ion beam mixing and thermal treatment
Wolf, HeikoHigh resolution thermal scanning probe lithography for the fabrication of sub-20 nm nanodevices
Wolff, S.Reducing curtaining effects in FIB/SEM applications by a goniometer stage and an image processing method
Woll, Arthur R.Time Multiplexed Deep Reactive Ion Etching of Germanium and Silicon-A Comparison of Mechanisms and Application to X-ray Optics
Wong, EdwardPhotonics On a Fiber For Wavefront Manipulation
Wood, G. S.Electrostatically Driven Microbeams for Low Frequency Applications
Wu, ChunhuiStretchable and conductive substrate with undulating surface by imprint lithography for Flexible Electronics
Wu, W.Field-Driven Splitting of Pure Water based on Deep-sub-Debye-length Nanogap Cells
Investigation of Quantum Tunneling Effects in Gap Plasmon using Collapsible Nano-fingers
Wu, WSwitchable and Stackable Color Filters for a Full-color Reflective Display
Wu, WeiThe Design and Analysis of Switchable and Stackable Reflective Color Filters
Bio-Inspired Multi-Scale Structure for Fluid Drag Reduction Enabled by Variable Voxel Stereolithography
Electrically switchable structural color using electrowetting on superhydrophobic surface
Wu, XianFabrication of Josephson Junction without shadow evaporation
Wu, Y-C.Focused Electron Beam Induced Deposition of Nanostructures: Insights from Surface Science
Wyrick, J.Atomically Precise Devices: Enabling Fundamentally New Devices at the Ultimate Atomic Limit
Quantifying Dopant Movement in Si:P Atomic Device Encapsulation

X

Xia, DeyingRapid nanopore fabrication over wafer size using helium ion beam and automation for biomolecule detection
Xia, Q. F.Physically Unclonable Anti-Counterfeit Labels Using Nanoscale Diffusive Memristor Crossbar Arrays
Xia, Q.Fabrication of self-rectifying 3D all-silicon memristor crossbar arrays by stacking fluid supported single-crystalline membranes
3D CMOL Memristor Circuit for Analog/Neuromorphic Computing
Xia, QiangfeiFabrication of 2 x 2 nm2 Cross-Point Memristor Array of 3.82 Tbit/inch2 Packing Density
Xiao, TiqiaoA novel approach for the fabrication of Kinoform lens for x-ray focusing by grayscale e-beam lithography
Xie, ShanshanOptimization of Fresnel zone plate layout for high diffraction efficiency by e-beam lithography
Xiong, S.Combining Block Copolymer Lithography with Self-Aligned Double Patterning to Achieve 10 nm Full-Pitch Line/Space Patterns
Xu, C.Q.Recirculating Microfluidic Device for Efficient Filtration and Enrichment of Circulating Tumor Cells
Xu, ChenA novel approach for the fabrication of Kinoform lens for x-ray focusing by grayscale e-beam lithography
Xu, H.Metal oxide cluster compound photoresists for EUV lithography
Xu, M.Fast resist-activation dosimetry for extreme ultra-violet lithography
Xu, X.Process Simulation of Si Dot Fabrication for SETs by Ion Beam Mixing and Phase Separation in Nanopillars
Spatially controlled fabrication of individual silicon nano clusters using ion beam mixing and thermal treatment
Xu, Y. H.Topographical Effect on Natural Killer Cell Locomotion in Confined Microenvironment

Y

Yager, K. G.Patterning Materials at the One Nanometer Length Scale with an Electron Beam
Yajima, Y.Heat Conduction and Polymer Flow in Microstructured Mold for Laser-Assisted Imprinting
Yamada, H.Grafted PMMA mono-layer brush as negative tone e-beam resist
Yamazawa, Y.Analytical and Numerical Calculation of Multipole Fields of a Wire Lens for an Aberration Corrector
Yan, Q.Direct testing of primary photo-electron energy generated by extreme ultraviolet (EUV) at 13.5 nm
Yan, QiliangImpact of Multilayer Imperfections on EUV OPC and Patterning
Yanagihara, M.Point Diffraction Interferometer for Inspection of High-Magnification Objective for Extreme Ultraviolet Microscopy
Yang, E. H.Transition Metal Dichalcogenides as Cell Culture Platforms
Facile and High-Throughput Fabrication of Carbon Nanotube Carpet-PDMS Structures toward Flexible Supercapacitors
Yang, E.Fabrication of Pre-Bended Layered Semiconductor Biosensors on Flexible Substrates
Yang, H.AlGaN/GaN BioFET Sensors for Detection of Microcystin-LR and Other Toxins
Yang, HSwitchable and Stackable Color Filters for a Full-color Reflective Display
Yang, HaoThe Design and Analysis of Switchable and Stackable Reflective Color Filters
Electrically switchable structural color using electrowetting on superhydrophobic surface
Yang, J. J.Physically Unclonable Anti-Counterfeit Labels Using Nanoscale Diffusive Memristor Crossbar Arrays
Yang, Joel K. W.Direct laser writing of color transmission holograms
Yang, Joel K.W.Metal Patterning and Grain Boundary Engineering by Template Assisted Dewetting
Yang, M.Three Layer Plasmonic Biosensor with High Sensitivity
Yanık, CenkInvestigation of Proximity and Development Process Effects for Large Area Dense Nano-Pattern Applications
Yao, Y.Investigation of Quantum Tunneling Effects in Gap Plasmon using Collapsible Nano-fingers
Yasuda, M.Stochastic simulation of UV-curing process in nanoimprint lithography: Pattern size and shape effects in sub-50 nm
Novel UV-NIL for opaque mold and substrate by use of UV triggered command cure resin
Yeh, Chun-ChengMicro and nanopatterning of Metal Oxo-Cluster photoresists
Yin, B. H.Three-dimension tracing on the electron beam in micro-focus and nano-focus X-ray sources
Yoder, Jonilyn L.Bridging the Gap for High-Coherence Superconducting Qubits
Yoon, JSwitchable and Stackable Color Filters for a Full-color Reflective Display
Yoon, JongseungThe Design and Analysis of Switchable and Stackable Reflective Color Filters
Bio-Inspired Multi-Scale Structure for Fluid Drag Reduction Enabled by Variable Voxel Stereolithography
Yost, D. R. W.Bridging the Gap for High-Coherence Superconducting Qubits
Youcef-Toumi, KamalActive scanning probes: versatile toolkit for fast imaging and emerging nanofabrication
Yu, BoStretchable and conductive substrate with undulating surface by imprint lithography for Flexible Electronics
Yu, L.The Nanolithography Toolbox: Design Solutions for Nanoscale Devices
Yu, Shang-YuMicro and nanopatterning of Metal Oxo-Cluster photoresists
Yu, Z.High contrast scanning electron microscopy for high resolution cross sections using conductive polymer-metal coating
Yu, Z.M.Recirculating Microfluidic Device for Efficient Filtration and Enrichment of Circulating Tumor Cells
Yuan, ZhishanRapid nanopore fabrication over wafer size using helium ion beam and automation for biomolecule detection

Z

Zajadacz, J.Relevance of stamp material for vertical phase separation of block copolymers in nanoimprint
Zakhidov, AnvarNanoimprinted Perovskite Metasurface for Enhanced Photoluminescence
Zan, Hsiao-WenMicro and nanopatterning of Metal Oxo-Cluster photoresists
Zang, PengyuanDigital Biosensing of Influenza Virus with Single Serpentine Si Nanowire Field Effect Transistor
Zeng, XuanDigital Biosensing of Influenza Virus with Single Serpentine Si Nanowire Field Effect Transistor
Zeng, Y.F.Recirculating Microfluidic Device for Efficient Filtration and Enrichment of Circulating Tumor Cells
Zenhnder, Alan T.Nonlinear Interactions of Coupled MEMS Cantilevers
Zhang, ChengPrinted Flat Optical Component: Metasurface for Cylindrical Vector Beam Generation
High-efficiency, Large-area and Color-stable Flexible Organic Light-emitting Diodes using an Ultra-thin Metal Electrode
Zhang, CuipingFlexible Transparent Conductive Film with Embedded Nanoscale Metal Mesh Fabricated through Electrospinning and Template-based Electrodeposition
Metallic Nanowire Transparent Conductive Electrode Fabricated by Template-guided Assembly
Zhang, D. H.Physically Unclonable Anti-Counterfeit Labels Using Nanoscale Diffusive Memristor Crossbar Arrays
Zhang, L.Patterning Materials at the One Nanometer Length Scale with an Electron Beam
Zhang, R.Thinning and doping of two-dimensional WSe2 by vapour XeF2
Stable Field Emitters Using Inverse Opal Structures
Physically Unclonable Anti-Counterfeit Labels Using Nanoscale Diffusive Memristor Crossbar Arrays
Zhang, RunzhiFacile and High-Throughput Fabrication of Carbon Nanotube Carpet-PDMS Structures toward Flexible Supercapacitors
Zhang, S.Enhancing the conversion efficiency of spin-to-orbit angular momentum by nanoscale metasurface reconstruction
Zhang, SichaoA novel approach for the fabrication of Kinoform lens for x-ray focusing by grayscale e-beam lithography
Optimization of Fresnel zone plate layout for high diffraction efficiency by e-beam lithography
Zhang, W. G.Nasopharyngeal Carcinoma Cell Migration in Three-Dimensional Platform
Zhang, Xu A.Continuous Patterning of Three-Dimensional Periodic Nanostructures using Roll-to-Roll System
High-aspect-ratio Magnetic Tunable Nanopillar Array
Zhang, Y.Annealing-Based Electrical Tuning of Cobalt−Carbon Deposits Grown by Focused-Electron-Beam-Induced Deposition
THz sensor in microfluidic devices for on line determination and control of ethanol concentration
Zhang, ZhongHigh-efficiency, Large-area and Color-stable Flexible Organic Light-emitting Diodes using an Ultra-thin Metal Electrode
Zhao, DeyinNanoimprinted Perovskite Metasurface for Enhanced Photoluminescence
Zhao, ShuoEUV Tip-to-Tip Variation Mitigation for Beyond 7nm BEOL Layers and Design Rule Analysis
Zhao, SulingHigh-efficiency, Large-area and Color-stable Flexible Organic Light-emitting Diodes using an Ultra-thin Metal Electrode
Zhao, W. X.Three-dimension tracing on the electron beam in micro-focus and nano-focus X-ray sources
Zheng, N.UV-Curable Nanoimprint Resist with Liquid Volume-Expanding Monomers
Zheng, NanPolycarbonate as a dual-tone resist for electron-beam lithography
Zheng, ShuoBatch fabrication of AFM probes with direct positioning capability
Zhong, K.L.A Study of Pattern Density on Magnetic Coupling for Bit Patterned Media
Ring cathode electron beam projection lithography
Zhou, C.Combining Block Copolymer Lithography with Self-Aligned Double Patterning to Achieve 10 nm Full-Pitch Line/Space Patterns
Zhou, DianDigital Biosensing of Influenza Virus with Single Serpentine Si Nanowire Field Effect Transistor
Zhou, WeidongNanoimprinted Perovskite Metasurface for Enhanced Photoluminescence
Zhou, Yuchao W.Focused Helium Ion Beam Irradiated Josephson Junctions and Arrays
Zhu, RuichaoCD Limits of Scatterometry
Zhu, S.Three Layer Plasmonic Biosensor with High Sensitivity
Zhu, WeinanIntriguing Prospects of 2D Atomic Sheets for Innovative Nanoelectronics
Electrochemically Exfoliated Large-area Phosphorene Enables Promising Flexible Nanoelectronics
Zhu, X.Stable Field Emitters Using Inverse Opal Structures
Zhu, ZhouyangFacile Fabrication of Concentric Gradient Nanostructures Using Interference Lithography and UV-cured Stamp Transfer
Ziegler, DominikInnovative Probes for Reliable Underwater Nanoscience
Zimmer, K.Relevance of stamp material for vertical phase separation of block copolymers in nanoimprint
Zonnevylle, A.C.Patterning of Non-Planar Diamond Anvils for High Pressure Materials Characterization via Electron Beam Lithography
Simulation of Asymmetric Energy Deposition Profiles in E-Beam Lithography on Curved Substrates

EIPBN Abstracts