EIPBN

EIPBN 2017 Table of Contents


Section Abstract and Authors
Plenary 1

2D Materials Nanosculpting in the Transmission Electron Microscope and Bioelectrons Applications, Marija Drndic, University of Pennsylvania

Electron beams constitute powerful tools to shape and modify materials. I will describe experiments pushing device size to atomic scale, while expanding their function and precision and addressing questions about defects and properties. Experiments include fabrication and operation of nanoribbons and field-effect-transistorsfrom two-dimensional materials and nanopores for biomolecule analysis.

Plenary 2

Nanotechnology for a Genomic Revolution, Shane Bowen, Gerald Kreindl, Illumina Inc.

Since the completion of the HGP, numerous efforts have been put forth to increase the accuracy, simplify the process reduce the amount of time and cost associated with measuring the sequence of any organism. This is now the foundation of modern medicine and is on the verge of a changing the way not only healthcare is performed but also revolutionizing agriculture, forensics and pharmaceutical development around the world. Over the years of 2009 through 2014, myself and a team of research scientists at illumina developed a method to sequence a human genome in less than 48 hours at a coverage of 30X and at the cost of $1000. During this talk, I will give an overview of the technologies developed to make this happen as well as set the stage for the next iteration of innovations paving the path to the $100 genome, being enabled by the NovaSeq platform commercialized by illumina this year.

Plenary 3

Xrays, Electrons and Lithography: Fundamental Processes in Molecular Radiation Chemistry, D. Frank Ogletree, Molecular Foundry, Lawrence Berkeley National Laboratory

X-ray radiation chemistry in EUV lithography is fundamentally different from both electron-beam and standard lithography. It is difficult to unravel the detailed EUV reaction mechanisms in condensed resist films. We have performed gas-phase synchrotron experiments that directly measured x-ray molecular absorption cross-sections, secondary electron yields and molecular fragmentation products.

1A-1 (Invited)
Electron Beam Lithography I

Patterning Materials at the One Nanometer Length Scale with an Electron Beam, V. R. Manfrinato, A. Stein, L. Zhang, C-Y. Nam, K. G. Yager, E. A. Stach, C. T. Black, Brookhaven National Laboratory

Here we show aberration-corrected electron-beam lithography at the one nanometer length scale using widely available resist poly(methyl methacrylate), and we show successful pattern transfer to semiconductor and metallic materials at the sub-5 nanometer scale.

1A-2
Electron Beam Lithography I

The Nanolithography Toolbox: Design Solutions for Nanoscale Devices, K. C. Balram*, D. A. Westly, M. Davanco, K. E. Grutter*, Q. Li*, T. Michels, C. H. Ray, L. Yu, R. J. Kasica, C. B. Wallin*, R. DeAlba*, I. J. Gilbert*, K. A. Dill, N. A. Bertrand, K. A. Srinivasan1, S. M. Stavis, V. A. Aksyuk, J. A. Liddle, and B. R. Ilic, Center for Nanoscale Science and Technology, National Institute of Standards and Technology, * Maryland NanoCenter, University of Maryland, Brian A. Bryce, Harvey Mudd College, G. Simelgor, Edico Genome, J. Topolancik, Roche Sequencing Solutions, N. Lobontiu, University Of Alaska, Y. Liu, Worcester Polytechnic Institute, P. Neuzil*, V. Svatos, Brno University of Technology, Czech Republic *Northwestern Polytechnical University, P.R. China, M. Metzler, G. Lopez, University of Pennsylvania, D. A. Czaplewski, L. Ocola, Argonne National Laboratory, S. Krylov, Tel Aviv University

We have developed a platform-independent software package for designing nanometer scaled device architectures. The Nanolithography Toolbox is applicable to a broad range of design tasks in the fabrication of microscale and nanoscale devices.

1A-3
Electron Beam Lithography I

Patterning of Non-Planar Diamond Anvils for High Pressure Materials Characterization via Electron Beam Lithography, D.S. Schulman, C.M. Eichfeld, M. Labella III, S. Das, L.Zhang*, R.M. Schmid*, W.S.M.M. Ketelaars**, A.C. Zonnevylle**, The Pennsylvania State University, , *Raith America, **Raith

There are increasing numbers of applications which require high resolution patterning of irregularly shaped non-planar substrates. In-situ laser height readings are inadequate and instead require accurate height maps. We show how these maps, created using an external height mapping system, are used to pattern diamond anvils for high pressure experiments.

1A-4
Electron Beam Lithography I

Local nanopatterning using PS-b-PMMA block copolymer self-assembly/electron beam combined lithography, R. Kometani, K. Nishikawa, E. Maeda, The University of Tokyo

A lithography process which combined a block copolymer self-assembly and electron beam lithography was researched in order to achieve the positional selective fabrication of nanostructures. Local formations of the both of positive- and negative-tone nanopattern by a very simple process were demonstrated.

1A-5
Electron Beam Lithography I

Metal Patterning and Grain Boundary Engineering by Template Assisted Dewetting, Jonathan Trisno*, Zhaogang Dong**, Jin Fa Ho**, and Joel K.W. Yang*,**, *Singapore University of Technology and Design, **Institute of Materials Research and Engineering

We introduce strategies to pattern structures with dimensions ranging from 20 nm to 200 nm by template assisted metal dewetting

Initial results show that the grain boundary positions can also be controlled by HSQ structures. Engineering grain boundary position could minimize grain-boundary scattering, thus reducing damping of surface plasmon resonances.

1B-1 (Invited)
Beam Induced Process I

Three-Dimensional Focused Electron Beam Induced Deposition: Design, Simulation and Experiments, J.D. Fowlkes(1,2), B.B. Lewis(2), E. Mutunga(2), P.D. Rack(1,2), M.G. Stanford(2), H. Plank(3,4), R. Winkler(3,4), (1) Oak Ridge National Laboratory, (2) The University of Tennessee, (3) Graz University of Technology, (4) Graz Centre for Electron Microscopy

A design environment specific to focused electron beam induced deposition (FEBID) will be described. The capability is used to deposit three–dimensional FEBID mesh objects. This process will be demonstrated for the deposition of 3D objects as complex as the truncated icosahedron and triangular bipyramid geometries.

1B-2
Beam Induced Process I

Annealing-Based Electrical Tuning of Cobalt−Carbon Deposits Grown by Focused-Electron-Beam-Induced Deposition, M. V. Puydinger dos Santos, M. Velo, R. D. Domingos, F. Béron, K. R. Pirota, S. Moshkalev, J. A. Diniz, Y. Zhang*, X. Maeder*, C. Guerra-Nuñez*, J. P. Best*, I. Utke*, University of Campinas, *Swiss Federal Laboratories for Material Science and Technology

In this work we present an effective postgrowth electrical tuning, via oxygen releasing method, to enhance the content of non-noble metals in deposits directly written with gas-assisted focused-electron-beam-induced deposition (FEBID). It represents a novel and reproducible method for improving the electrical transport properties of Co−C deposits.

1B-3
Beam Induced Process I

FEBID Based Direct-Write Nano-Printing of 2D and 3D Plasmonic Gold Structures, R. Winkler*, P. Falthansl*, F. P. Schmidt*, U. Haselmann*, U. Radeschnig*, J.D. Fowlkes**, B.B. Lewis**, P.D. Rack**, M.D. Thomson***, F. Bürkle***, M. Wiecha***, F. Walla***, R. Sachser***, G. RoH***, M. Huth***, H. Plank****, * Graz Centre for Electron Microscopy, Austria, ** Oak Ridge National Laboratory & The University of Tennessee, US, *** Goethe-Universität Frankfurt, Germany, **** Graz University of Technology, Austria

In this contribution, we demonstrate a direct-write fabrication approach based on focused electron beam induced deposition (FEBID) which allows for the on-demand fabrication of quasi-planar 2D and freestanding 3D nano-architectures composed of pure gold for plasmonic applications.

1B-4
Beam Induced Process I

Direct-writing of nanomagnets for logic circuitry, H.D. Wanzenboeck, M. Reichenpfader, E. Bertagnolli, Vienna University of Technology

Nanomagnet logic uses the stray field of single-domain nanomagnets for information processing. Magnetic in-plane nanowires and out-of-plane nanopillars were directly written by focused electron beam induced deposition. We report on (i)custom-designed 3-dimensional nanomagnet arrays, (ii) coercitivity design of each nanomagnet and (iii) successful operation of magnetologic "AND" and "OR" gates.

1B-5
Beam Induced Process I

3D-nanoprinting using Electron Beam Induced Deposition, N. Noordzij, C.W. Hagen, Delft University of Technology

3D structures were grown using Electron Beam Induced Deposition. We studied single open ended structures, semi-open ended structures and closed mesh-like structures. The structural integrity increases from the first to the latter type of structures. We will present an overview of undesired effects encountered in 3D-EBID and discuss them.

1C-1 (Invited)
Nanofabrication for Biology

Nanoscale roughened thin film electrodes for neural probe and bio-sensing applications, Anna N. Ivanovskaya, Anna M. Belle, Lawrence Livermore National Laboratory

Electrochemical roughening method was developed for roughening of sputtered thin-film metal electrodes for neural probe devices. Thin-film electrode surface modification 1) enables reductions to interfacial impedance; 2) improves adhesion with subsequent depositions. Compared to common application in roughening of thick metal foils in sulfuric acid media, an optimal pulse frequency for roughening of thin films was found to be about four times lower than that of thick foils. This method allowed an increase in the effective surface area of macro-electrodes by a factor of 21 due to grain boundary dissolution. Even greater increases in surface area

without grain boundary dissolution was achieved by roughening in non-adsorbing electrolyte: aqueous solution of perchloric acid. Use of this method increased the effective surface area by a factor of 44 due to re-deposition of Pt crystals (20-30nm in size) on the macro-electrodes. Non-destructive nano-scale roughening of micro-electrodes (up to 20µm in diameter) for biosensors was enabled through surface templating by electrochemical etching after self-assembly of a nano-sized liquid crystal polymer surface template. We demonstrated that the performance of roughened electrodes is enhanced compared to smooth sputtered thin-film metal electrodes for a range of applications, including stimulation, recording and biosensing. Roughened substrates were also found to improve adhesion of Platinum-iridium alloy and PEDOT films electrochemically deposited over micro-electrode array.

1C-2
Nanofabrication for Biology

Fabrication of Cellulose Nano-Structures via Focused Electron Beam Induced Conversion, T. Ganner*, J. Sattelkow*, H. Plank*, B. Rumpf**, R. Winkler**, M. Eibinger*, B. Nidetzky*, D. Reishofer*, S. Spirk*, * Graz University of Technology, Graz, Austria, ** Graz Centre for Electron MicroscopyGraz, Austria

In this contribution, we introduce Focused Electron Beam Induced Conversion (FEBIC), which relies on the highly localized chemical transfer of a thin cellulose precursor film into pure cellulose. By that, FEBIC enables the fabrication of highly complex cellulose features down to the sub-100 nm regime.

1C-3
Nanofabrication for Biology

Transition Metal Dichalcogenides as Cell Culture Platforms, A. Palumbo, F. Tourlomousis, R. Chang, E. H. Yang, Stevens Institute of Technology

Two-dimensional transition metal dichalcogenides (TMDs) are grown via chemical vapor deposition on SiO2 substrates and seeded with human fibroblast cells; morphometric features were computed to illuminate the influence of TMDs on the adhesive interactions of cells, and TMD presence improved the cellular adhesion and viability on the cytotoxic SiO2 substrate.

1C-4
Nanofabrication for Biology

Recirculating Microfluidic Device for Efficient Filtration and Enrichment of Circulating Tumor Cells, Z.M. Yu, Y.W. Jiang, R.F. Chen, X.L. Huang, W.Y. Chen, Y.F. Zeng, C.Q. Xu and X. Cheng, Southern University of Science and Technology

We present the fabrication and characterization of a highly efficient CTC filtration and enrichment device based on multilayer lateral-flow particle filtration microfluidic device with recirculating setup.

1C-5
Nanofabrication for Biology

Iron-doped apatite nanoparticle adjuvants for enhanced phage therapy delivered through electrospun fibers, Jessica M. Andriolo, John P. Murphy,* M. Katie Hailer,* Marisa L. Pedulla,* Jack L. Skinner,* University of Montana, *Montana Tech

Iron-doped apatite nanoparticles (IDANPs) enhance phage killing of bacteria up to 2 times that of controls.Because phage therapy provides an alternative to traditional antibiotics to which bacteria are becoming increasingly resistant, this finding is of medical relevance.Using electrospinning, we aim to create and evaluate a controlled-release IDANP/phage treatment delivery system.

2A-1 (Invited)
3D processes

Atom by Atom Sculpting of Materials using Scanning Transmission Electron Microscopy, Stephen Jesse, Oak Ridge National Laboratory

 

2A-2
3D processes

Novel 3-Dimensional Photo Lithography using Built-in Lens Mask, T. Tanaka, D. Sugihara, M. Sasago, H. Kikuta, H. Kawata, Y. Hirai, Osaka Pref. Univ.

We firstly demonstrate 3-dimensional photo lithography by built-in lens mask with single shot exposure using proximity exposure system having coherent illumination optics. 3-dimensional pyramidal flame is successfully obtained by single shot exposure without moving substrate or mask.

2A-3
3D processes

Photonics On a Fiber For Wavefront Manipulation, Alexander Koshelev1, Giuseppe Calafiore1, Carlos Pina-Hernandez2, Frances I Allen3, Scott Dhuey2, Simone Sassolini2, Edward Wong2, Paul Lum3, Stefano Cabrini2, Keiko Munechika1, 1 aBeam Technologies, Inc., 2 Molecular Foundry, Lawrence Berkeley National Laboratory, 3Biomolecular Nanotechnology Center/QB3, University of California, Berkeley

Integration of complex 3D photonic structures onto optical fiber enables to manipulate wavefront of the light without complex optical alignment processes. Conventional nanofabrication technologies are not suitable due to their cost and low throughput. We report novel fiber imprint technology to imprint 3D photonic structures directly onto an optical fiber.

2A-4
3D processes

Continuous Patterning of Three-Dimensional Periodic Nanostructures using Roll-to-Roll System, I-Te Chen, Xu A. Zhang, Joong-Hee Min, and Chih-Hao Chang, North Carolina State University

A novel assembly and lithography system by employing continuous automated Langmuir-Blodgett assembly for colloidal particles coating and near -field lithography for 3D patterning.

2A-5
3D processes

Direct-Write Fabrication of Electric and Thermal High-Resolution Nano-Probes on Self-Sensing AFM Cantilever, J. Sattelkow*, J. Fröch*, H. Plank*, R. Winkler**, U. Radeschnig**, C. Schwalb***, M. Winhold***, A. Deutschinger***, T. Strunz***, E.G. Fantner***, V. Stavrov****, G.E. Fantner*****, * Graz University of Technology, Graz, Austria, ** Graz Centre for Electron Microscopy, Graz, Austria, *** GETec Microscopy Inc. & SCL Sensor.Tech. Fabrication Inc., Austria, **** AMGT, Botevgrad, Bulgaria, ***** EPFL, Lausanne, Switzerland

In this contribution, we apply the 3D nano-printing technology Focused Electron Beam Induced Deposition (FEBID) for the on-demand fabrication of functional nano-probes on pre-structured self-sensing cantilever for atomic force microscopy based electric and thermal nano-probing.

2B-1 (Invited)
Imaging and Characterization I

Diffractive Electron Mirror in SEM, N. Abedzadeh, C-S. Kim, M. Turchetti, R. Hobbs, K.K. Berggren, M.A.R. Krielaart*, P. Kruit*, MIT, *Delft University of Technology

In this work, we designed and conducted proof-of-principle experiments to characterize a diffractive electron mirror integrated with a tetrode immersion lens. In addition to experimental results (electron reflection imaging), we have presented a series of optimization analysis conducted using ray-tracing software.

2B-2
Imaging and Characterization I

High sensitive visualization of localized electric field using low energy electron beam deflection, S. Jeong, J. Fujita, G. Edwards*, University of Tsukuba, *Deben UK Ltd.

We demonstrated the visualization of a weakly localized electric field having about 4 V/um generated by 1.7x10^4 of the localized electrons at the tip of CNT forest, using new electron optics combining the low-acceleration electron beam with high sensitive semiconductor STEM Detector.

2B-3
Imaging and Characterization I

Low-Voltage Coherent Electron Imaging Based on a Single-Atom Electron Source, C.-Y. Lin, W.-T. Chang, W.-H. Hsu, I.-S. Hwang, Academia Sinica

Due to high imaging contrast and low radiation damage, it’s a general trend to develop low-voltage electron microscopes. We have been developing low-voltage coherent electron imaging microscopy based on highly coherent single-atom electron sources. Preliminary results showed its potential to achieve atomic resolution of thin two-dimensional materials and biological molecules.

2B-4
Imaging and Characterization I

High contrast scanning electron microscopy for high resolution cross sections using conductive polymer-metal coating, D. Staaks*&**, S.D. Dhuey*, Z. Yu***, S. Sassolini*, M.V.P. Altoe*, I.W. Rangelow**, D.L. Olynick*, *Lawrence Berkeley National Laboratory, ** Ilmenau University of Technology, ***Seagate Technology LLC

In this work we present a new sample preparation method for high performance cross-sectional imaging in SEM. Unlike conventional carbon or metal deposition, it was found that a stacked coating of conductive polymer and metal (CPM) greatly enhance contrast between the features and background.

2B-5
Imaging and Characterization I

Fast resist-activation dosimetry for extreme ultra-violet lithography, J.S. Heo, M. Xu* and D.J. Maas*, Samsung Electronics, *TNO

We outline an experimentally verified method that enables a sufficiently accurate and fast assessment of the effect of in-band EUV and OoB V/DUV on a photoresist. Hence, we expect that our method can accelerate the introduction of EUV in IC production by providing fast and accurate full-field resist activation dosimetry.

2C-1 (Invited)
Nanophotonics I

Switchable and Stackable Color Filters for a Full-color Reflective Display, H Liu, H Yang, Y Li, H Lim, J Yoon, W Wu*, University of Southern California

Blue, green and red reflective color filters are designed and fabricated for a tri-layer display. The filters deliver high brightness and high color saturation, and can be switched via high-index liquid. The filters can also be stacked to construct different colors.

2C-2
Nanophotonics I

Direct laser writing of color transmission holograms, Kevin T. P. Lim, Joel K. W. Yang*, Singapore University of Technology and Design, *Institute of Materials Research and Engineering

We propose and demonstrate a method for wavelength multiplexing of grayscale holograms into color holograms. Direct laser writing is used to fabricate multiplexed transmission phase holograms in a one-step process. Our holograms are conveniently illuminated with a laser pointer and the projections can be viewed by eye without further magnification.

2C-3
Nanophotonics I

Flexible large-area plasmonic gold nanocheckerboard fabricated by cost-effective solution process for highly sensitive refractive index sensing in visible range, J.X. Cai, C.W. Liang, W.D. Li, The University of Hong Kong

A facile and cost-effective fabrication process of flexible SPR sensors on plastic substrates was demonstrated. The fabrication method is a vacuum-free, solution-processed strategy which combines lithography, electrodeposition, and imprint transfer, termed LEIT strategy. Moreover, the sensitivity of our fabricated LSPR sensors was determined to be 435.1 nm RIU-1.

2C-4
Nanophotonics I

Three Layer Plasmonic Biosensor with High Sensitivity, S. Zhu, H. Li, M. Yang, S. W. Pang, City University of Hong Kong

We propose a novel three layer plasmonic nanostructure composed of Au nanosquares as top layer, Au asymmetrical nanostructure as middle layer, and Au asymmetric nanoholes as bottom layer. This three layer plasmonic nanostructure show high sensitivity of 346 and 397 nm/RIU for resonant peaks at 584 and 818 nm.

2C-5
Nanophotonics I

Enhancing the conversion efficiency of spin-to-orbit angular momentum by nanoscale metasurface reconstruction, B.-R. Lu, J. Deng, S. Zhang, Y. Chen

Plasmonic metasurfaces are able to transform a plane wave into a spiral wavefront of the vortex beam. But the conversion efficiency is unpractically low. We calculated and designed an optical metasurface to achieve a high conversion efficiency of over 20% within a broad waveband by EBL lithography and metal deposition.

3A-1 (Invited)
Imaging and Characterization II

Multi-pass transmission electron microscopy, T. Juffmann, Stanford University

In multi-pass microscopy each probe particle (photon or electron) passes through a sample multiple times. This enhances contrast and allows for low damage imaging. Here we show simulations of multi-pass transmission electron microscopy images of thin proteins. We show that multi-passing allows for an improved dose limited spatial resolution.

3A-2
Imaging and Characterization II

Transmission Helium Ion Microscopy, Karen L. Kavanagh, Christoph Herrmann, and John Notte*, Simon Fraser University, and *Zeiss International

We present the first Transmission He Ion Microscopy (THIM) images obtained using a Si p-i-n diode array camera (Modupix) in a commercially-available He+ ion microscope (Zeiss Nanofab).

3A-3
Imaging and Characterization II

Reduction of Metrology Error for Line-Edge Roughness Measurement from Low-Dose SEM Images, Y. Luo, S. A. Savari, Texas A&M University, College Station, TX

Line-edge roughness (LER) greatly influences the performance of semiconductor devices. Low-dose SEM images are attractive for LER measurements but produce high noise-induced biases. We investigate some sources of metrology error through simulated SEM images of noisy flat lines and use this to improve LER measurement estimates.

3A-4
Imaging and Characterization II

Monte Carlo Simulation Models for SEM Imaging: Fast versus Accurate, K.T Arat, C.W. Hagen, Delft University of Technology

To identify the most important scattering processes to include in a reliable and fast SEM image simulator, we studied the sensitivity of the images to the various model ingredients using an accurate, but slower, simulator based on state-of-the-art electron-scattering models.

3A-5
Imaging and Characterization II

Three-dimension tracing on the electron beam in micro-focus and nano-focus X-ray sources, J. B. Liu, W. P. Li*, W. X. Zhao**, G. Niu, Y. T. Ma**, M. ZH. Chu**,B. H. Yin, L. Han,University of Chinese Academy of Sciences,*Beihang University, **Institute of Electrical Engineering, Chinese Academy of Sciences

To obtain expected X-ray focal spot size, X-ray intensity and X-ray stability, electrons in micro-focus and nano-foucs sources are traced in three dimensions. 3D electron beam current density distribution is obtained through N-body Monte Carlo method and practical incident electron parameters are achieved.

3B-1 (Invited)
Resists and Materials

Metal oxide cluster compound photoresists for EUV lithography, C. K. Ober, H. Xu, V. Kosma, J. Odent, K. Kasahara*, E. P. Giannelis, Cornell University, *JSR

EUV lithography is a major focus of next generation patterning. EUV performance targets require the development of entirely new resist platforms and Cornell University has studied metal oxide MOF-like photoresists. In this paper, recent progress in such photoresists will be described. New metal core and mechanistic investigations will be reported.

3B-2
Resists and Materials

Incorporation of EELS Data for Monte Carlo Simulation of Secondary Electrons in EUV and Electron-Beam Lithography, Amrit Narasimhan, Liam Wisehart, Greg Denbeaux, Robert L. Brainard, Sylvie Rangan*, Robert Bartynski*, Leonidas E. Ocola**, SUNY Polytechnic Institute, *Rutgers University, **Argonne National Laboratory

We describe a method for the incorporation of low energy electron-resist interactions into Monte Carlo simulations using calculations from experimental electron energy loss spectroscopy (EELS) data. We will demonstrate the improvement in modeling capability and discuss the advancement in simulating EUV and electron beam lithography.

3B-3
Resists and Materials

50 nm lines patterned into silicon using water developable chitosan bioresist and electron beam lithography, M. Caillau*, P. Crémillieu*, C. Chevalier*, E. Laurenceau*, T. Delair**,J.-L. Leclercq* ,Y. Chevolot* * Institut des Nanotechnologies de Lyon **Ingénierie des Matériaux Polymères

Chitosan, a natural and abundant biopolymer, is assessed as a high resolution positive tone and water developable resist in order to replace current chemicals used in electron beam lithography (resists, solvents and developers) which are oil-based and harmful.

3B-4
Resists and Materials

Micro and nanopatterning of Metal Oxo-Cluster photoresists, Chun-Cheng Yeh, Shang-Yu Yu, Po-Yi Chang, Dominique Berling, Olivier Soppera, CNRS IS2M, France, Hsiao-Wen Zan, National Chiao Tung University, Taiwan

Metal Oxo-Cluster (MOC) based photoresists have emerging as a very interesting alternative material platform for the next generations of photolithography. We describe in this study the synthesis, characterization and DUV photolithography of MOC photoresists, based on Zr, Ti, Hf and Zn.

3B-5
Resists and Materials

Novel Crosslinked Molecular Resists Based on Acid-Catalyzed Depolymerization, B.L. Sharp, H.L. Narcross, L.M. Tolbert, C.L. Henderson*, Georgia Institute of Technology, *University of South Florida,

The 100keV e-beam patterning of a series of crosslinked molecular resists will be presented as well as the variables that affect their performance. These materials have shown sub-40nm resolution and are developable in both organic solvent and aqueous base and demonstrate excellent sensitivity and contrast in both e-beam and DUV lithography.

3C-1 (Invited)
Nanoelectronics I

Intriguing Prospects of 2D Atomic Sheets for Innovative Nanoelectronics, Li Tao, Saungeun Park, Weinan Zhu, Hasibul Alam, and Deji Akinwande

This talk summarizes our recent research progresses in 2D atomic sheets based nanoelectronics. With holistic view of materials, nanofabrication and device integration, we achieved 1) ~100 GHz graphene devices on flexible glass; 2)first experimental silicene transistors proving Dirac band structure and 3)high-mobility phosphorene flexible nanoelectronics with high ON/OFF ratio.

3C-2
Nanoelectronics I

Atomically Precise Devices: Enabling Fundamentally New Devices at the Ultimate Atomic Limit, R. Silver, X. Wang, P. Namboodiri, M. D. Stewart, Jr., R. Murray, K. Li, J. Wyrick, National Institute of Standards and Technology

As an initial step toward our goal of fabricating atomically precise devices, we present measurements and characterization of ultra-thin, atomically abrupt, highly doped low-dimensional devices in Si and our flexible strategy for contacting these buried devices.

3C-3
Nanoelectronics I

Facile and High-Throughput Fabrication of Carbon Nanotube Carpet-PDMS Structures toward Flexible Supercapacitors, Runzhi Zhang, Junjun Ding, E. H. Yang, Stevens Institute of Technology

We develop a facile fabrication technique utilizing vertically aligned carbon nanotube (VACNT) carpets, which enable high-throughput fabrication of flexible supercapacitors. Our unique technique ensures a strong adhesion between VACNT carpets and Polydimethylsiloxane (PDMS), which facilitates a stable charge-discharge under varied strain conditions.

3C-4
Nanoelectronics I

Fabrication of self-rectifying 3D all-silicon memristor crossbar arrays by stacking fluid supported single-crystalline membranes, C. Li, Q. Xia, University of Massachusetts

Here, we report the fabrication and electrical measurements of 3D p-Si/SiOx/n-Si memristive crossbar arrays that address both issues. The all silicon based materials offers the best CMOS compatibility. The unique device stack enables built-in diodes at each cell which alleviates the sneak path problem.

3C-5
Nanoelectronics I

Fabrication of Multi-Bit Memory Devices Based on Layered Semiconductors via Interlayer Deformation, M. Chen, Y. Wang, L. Guo, and X. Liang, University of Michigan

We report that the nanoimprint-assisted shear exfoliation process can generate interlayer deformation features in exfoliated layered semiconductor (e.g., transition metal dichalcogenides (TMDCs)) structures. Such deformation features can result in charge memory states with long retention times, relatively large extrema spacings, and analog tunability.

4A-1 (Invited)
Tip-based and Scanning Probe Lithography

Active scanning probes: versatile toolkit for fast imaging and emerging nanofabrication, Ivo W. Rangelow, Tzvetan Ivanov, Ahmad Ahmad, Marcus Kaestner, Ilmenau University of Technology, Germany, Iman S. Bozchalooi, Kamal Youcef-Toumi, Massachusetts Institute of Technology, Mathias Holz, Nano Analytik GmbH, Germany

Our research focuses to further extend the capabilities of active AFM probes. To improve force sensitivity and measurement throughput we are working to increase the ratio of the probe resonance frequency to spring constant for a wide range of novel applications in fast imaging or reproducible nanofabrication

4A-2
Tip-based and Scanning Probe Lithography

Batch fabrication of AFM probes with direct positioning capability, Shuo Zheng, Bo Cui, University of Waterloo

We report a straightforward and low-cost method able to batch fabricate AFM probes, with tips located either at the very end of the cantilever or in front of a hole etched through the cantilever, thus the tip location can be precisely determined by the optical microscope in an AFM system.

4A-3
Tip-based and Scanning Probe Lithography

High resolution thermal scanning probe lithography for the fabrication of sub-20 nm nanodevices, Yu Kyoung Ryu, IBM Research – Zurich, Colin Rawlings, SwissLitho AG, Martin Spieser, SwissLitho AG, Heiko Wolf, IBM Research – Zurich, Urs Duerig, IBM Research – Zurich, Sajedeh Manzeli, EPF, Andras Kisc, EPFL, Zahid A. K. Durrani, Imperial College London, Mervyn Jones, Imperial College London, Siegfried Karg, IBM Research – Zurich, Vanessa Schaller, IBM Research – Zuricha, Armin W. Knoll, IBM Research − Zurich

Thermal scanning probe lithography is a technique enabled to pattern with sub-10 nm resolution, high linear patterning speeds of >10 mm/s and less than 5 nm error for overlay accuracy on buried nanoscale structures. A dedicated resist stack + the corresponding transfer process together with the implementation of mix and match processing with laser writing allow to finish a wide range of nano-devices of different materials such as MoS2 monolayer, InAs NWs-based FETs and SOI quantum devices.

4A-4
Tip-based and Scanning Probe Lithography

Tip based nanometrology and nanolithography using high aspect ratio GaN nanowires, M. Behzadirad*, A. Rishinaramangalam*, J. Ballard**, J. H. G. Owen**, D. Feezell*, S.R.J. Brueck*, T. Busani*, *Center for High Technology Materials (CHTM), University of New Mexico (UNM), **Zyvex Labs,

In this work we introduce high aspect ratio GaN nanowires as new AFM/STM tip-material for nanometrology and nanolithography. The results confirm that GaN tips can be competitive, if not superior, in nanometrology and nanolithography and give superior image resolution in AFM compared to the commercial tips.

4A-5 (Invited)
Tip-based and Scanning Probe Lithography

Digital Atomic-Scale Tip Based Nano Fabrication, John N. Randall, Joshua B. Ballard, Udi Fuchs, James H.G. Owen, and Joseph Lake, Zyvex Labs, Richardson Texas

The World changed dramatically when our computing, communication, and information storage went from analog to digital. Another digital revolution is near. This will happen when our fabrication technology converts from analog (treats matter as if it is infinitely divisible) to a digital approach which employs the quantized nature of matter. Hydrogen Depassivation Lithography is carried out with a Scanning Tunneling Microscope and is being developed by Zyvex Labs as a digital process. This process achieves atomic precision patterning with a sub-nm resolution. Digital nanofabrication processes have significant advantages borrowed from digital IT. Digital Nanofabrication will realize Atomically Precise Manufacturing.

4B-1 (Invited)
Nanoimprint Lithography I

Roll-to-Roll Nanofabrication Processes for Flexible Electronics and Biomedical Applications, S.V. Sreenivasan,The University of Texas at Austin

If a reliable and cost-effective R2R nanofabrication capability can be established, there are major potential applications in areas such as photonic devices for displays, and size and shape controlled nanocarriers for targeted drug delivery. This presentation will discuss the performance and cost metrics associated with display photonics and nanocarrier drug delivery applications, our current state-of-the-art in R2R nanofabrication, and technology gap analysis relative to these applications.

4B-2
Nanoimprint Lithography I

UV-Curable Nanoimprint Resist with Liquid Volume-Expanding Monomers, H.D. Min, N. Zheng, Z.J. Fan and X. Cheng, Southern University of Science and Technology

Liquid diethyl spirocarbonate monomer which undergoes volume expansion polymerization is used in formulating a new nanoimprint resist with minimal volume change before and after UV curing. We evaluate the mechanical properties of this new UV-curable nanoimprint resist and report its performance in UV nanoimprint.

4B-3
Nanoimprint Lithography I

Flexible Transparent Conductive Film with Embedded Nanoscale Metal Mesh Fabricated through Electrospinning and Template-based Electrodeposition, Cuiping Zhang, Arshad Khan, Jingxuan Cai, and Wen-Di Li

In this study, we develop a solution-processed fabrication method for nanoscale metallic network that can be electrodeposited using a nanofiber template. The metallic nanofiber networks fabricated in different cycles show promising consistency to each other, proving the feasibility of template-based fabrication by this method.

4B-4
Nanoimprint Lithography I

The impact of preparation conditions on the properties of replica stamps, M. Papenheim, W. Eidemüller, C. Steinberg, A. Mayer, H.-C. Scheer, University of Wuppertal

The mechanical characteristics of replica stamps depend on the modulus and the layer thickness, which is often set by the distance between the template and a counter plate. This limits the degree of freedom during crosslinking resulting in a lower modulus differing from literature values.

4B-5 (Invited)
Nanoimprint Lithography I

Present and Future of Nanoimprint in Large-area Nanomanufacturing, Stephen Y. Chou, Princeton University

The talk will present examples of nanoimprint in wide ranges of industrial applications (current status and future trend), will address a number of critical technology issues in large area nanoimprint, in particular, the large mold fabrication, and will present several innovative large area nanoimprint mold fabrication technologies developed by author’s group.

4C-1 (Invited)
Bio-Medical Applications

Nasopharyngeal Carcinoma Cell Migration in Three-Dimensional Platform, Z. Y. Liu, W. G. Zhang, S. W. Pang, City University of Hong Kong

Nanoimprint was used to fabricate biomimetic multiple layer platforms for studying nasopharyngeal epithelial (NP460) cell migration. On two-layer platforms, most of the cells were found to migrate at the interface between the two layers and were confined within the unit area formed by the top and bottom layers.

4C-2
Bio-Medical Applications

Loading single neurons on a microsieve electrode array by passive pumping, J.-P. Frimat, B. Schurink*, R. Luttge, Eindhoven University of Technology and ICMS, *MESA+ Institute for Nanotechnology, University of Twente

Recent advances in brain on a chip technology has led to the development of modified microelectrode arrays. Here, we study a passive pumping mechanisms for the loading of cells into a microsieve technology platform containing 3D-pores by means of optical particle tracking.

4C-3
Bio-Medical Applications

Digital Biosensing of Influenza Virus with Single Serpentine Si Nanowire Field Effect Transistor, Pengyuan Zang, Yuchen Liang, Honglei Wang, Jun Tao*, Xuan Zeng*, Dian Zhou**, Walter Hu**, University of Texas at Dallas, *Fudan University, **Both

We show a digital biosensing methodology based on single virus counting, using Si nanowire field effect transistors with novel serpentine shape defined by e-beam lithography, which leads to better binding dynamics, lower noise, and addresses the problem of signal baseline shifts due to non-specific binding.

4C-4
Bio-Medical Applications

AlGaN/GaN BioFET Sensors for Detection of Microcystin-LR and Other Toxins, P. Bertani, S. Lee, H. Yang, J. Lee and Wu Lu, The Ohio State University

We present the use of a bio-FET based in an AlGaN/GaN heterojunction semiconductor platform for detection of toxins in water. A sensitivity of pico molar has been demonstrated for detection of microcystin-LR which is a few orders higher than the EPA advisory standard for this most concerning toxin.

4C-5 (Invited)
Bio-Medical Applications

Cross-linked, bioimprinted casein microdevices as ‎biodegradable cell-culture substrates, Azadeh T. Hashemi, University of Canterbury

Capturing a 3D imprint of cellular morphology into a rigid biodegradable material can ‎improve the application of such materials as cell culture substrates. This work presents a complete ‎replication process of high-resolution bioimprints onto films made of casein and demonstrates the successful culture of C2C12 mouse myoblast cells on these films.

5A-1 (Invited)
Focused Ion beam Lithography II

Imaging, Modification, and Analysis of Nanostructures with the Helium Ion Microscope, Armin Gölzhäuser, Bielefeld University

The presentation will contain various examples of HIM imaging and lithography. A particular focus is laid on 2D materials. 1 nm thick carbon nanomembranes (CNMs) are engineered with a controlled thickness, elasticity, conductivity and porosity. HIM images provide valuable information to understand the structure of CNMs and their formation process. The capability of the HIM for nanolithography of 2D materials will be shown by examples of milling CNMs and graphene, where nanopores with diameters down to 1.3 nm were fabricated. HIM imaging of different carbon materials as well as of biological cells will also be discussed.

5A-2
Focused Ion beam Lithography II

Large area scanning-helium-ion-beam lithography, R.Flatabø, M.M Greve, B. Holst, and K.K Berggren*, Univeristy of Bergen, *Massachusetts Institute of Technolog

Here we study the reduced proximity effect in helium ion beam lithography. We use helium ion beam lithography to pattern large area gratings of high density wihtout proximity corrections. Additionally, we exploit the large depth of field and pattern on tilted surfaces .

5A-3
Focused Ion beam Lithography II

Building with Ions in Liquid and Gas on the Helium Ion Microscope, Olga Ovchinnikova, Oak Ridge National Laboratory

 

5A-4
Focused Ion beam Lithography II

Helium-Ion-Beam Etched Encapsulated Graphene Nanoribbons, Gaurav Nanda, Gregor Hlawacek*, Srijit Goswami, Paul Alkemade, Delft University of Technology, *Helmholtz-Zentrum Dresden Rossendorf

We used a focused helium ion beam to etch graphene encapsulated in boron nitride into nanoribbons. Conductance measurements showed that the electrical transport in the nanoribbons is governed by charge hopping. This work is the first demonstration of ion-beam structuring and electrical characterization of encapsulated graphene devices.

5A-5
Focused Ion beam Lithography II

Fabricating Nanostructures On Bulk Silicon Substrates Using Helium Ion Microscope, H. Hu, C. Breslin, J. Smith###IBM T. J. Watson Research center, S. Dietrich, C. Dean, Columbia University

Applying helium ion milling to bulk substrates is difficult due to substrate swelling from ion implantation. We characterized the swelling and identified the threshold dose for silicon swelling. We successfully applied helium ion lithography to fabricate nanofluidic channels on silicon substrates and 14nm nanolines using does below threshold of swelling.

5A-6
Focused Ion beam Lithography II

Superconducting Quantum Interference Device Micro Arrays for Biomagnetic Imaging, Shane Cybart, E. Cho, UC Riverside, K. Pratt, D. Paulson, Tristan Technologies INC., Y. Okada, Harvard University

Very small nanometer-scale Josephson junctions are directly written in a YBa2Cu3O7 high-TC superconductor with a focused helium ion beam to fabricate superconducting quantum interference device arrays.

5B-1 (Invited)
Nanoimprint Lithography II

Fabrication of high aspect ratio metal gratings for X-ray phase contrast interferometry, L. Romano, J. Vila-Comamala, H. Schift, M. Stampanoni, K. Jefimovs, Paul Scherrer Institut

The main challenge in grating-based X-ray interferometry is the fabrication of high aspect ratio absorbing gratings (periodic metal microstructures), whose quality strongly affects the X-ray imaging sensitivity. Here, we review the microfabrication strategy with special focus on a novel approach using hot embossing for metal casting into silicon etched trenches.

5B-2
Nanoimprint Lithography II

Flexible Transparent Electrode with Embedded Metal Mesh Fabricated via Template-based Electrodeposition for Full-Plastic Bifacial Dye-sensitized Solar Cells, A. Khan, Y.T. Huang, S.P Feng, W.D. Li, The University of Hong Kong

In this study, we demonstrate an improved technique for fabrication of embedded metal-mesh flexible transparent electrodes (EMTEs) involving reusable template based electrodeposition and thermal imprint transfer. Electrodeposition template shows no noticeable degradation after repetitive use and therefore is a promising candidate for reusable template for large-scale manufacturing of EMTEs.

5B-3
Nanoimprint Lithography II

Low reflection Fresnel lenses via double imprint combined with vacuum-UV surface hardening, C. Steinberg, N. Al-Hussainawi, M. Papenheim, A. Mayer, H.-C. Scheer, M. Matschuk, H. Pranov, University of Wuppertal, Heliac ApS

The microstructures of Fresnel lenses were covered with nanostructures to reduce the overall reflection. Successful preparation of the combined structures requires a tight adjustment of the VUV treatment time between two imprint steps. The potential of this technique is investigated.

5B-4
Nanoimprint Lithography II

Nanoimprinted Perovskite Metasurface for Enhanced Photoluminescence, Honglei Wang, Deyin Zhao*, Jiyoung Moon, Ross Haroldson, Balasubramaniam Balachandran, Shihchia Liu*, Qing Gu, Weidong Zhou*, Sergei Makarov**, Anvar Zakhidov, Walter Hu, The University of Texas at Dallas, *The University of Texas at Arlington, **ITMO University

All-dielectric metasurface and semiconductor nanostructures with high-refractive-index has attracted attention due to low-loss and highly-efficient resonance. We report novel organolead halide perovskite metasurfaces, created by cost-effective nanoimprint technology, which demonstrated enhanced emission properties. Such metasurfaces could be used to create distributed feedback lasers and integrate with LEDs for higher efficiency.

5B-5
Nanoimprint Lithography II

Nanoimprinting of 3-dimensional, undercut structures - an unsolvable challenge or a methofd of industrial relevance?, H.D. Wanzenboeck, M. Muehlberger*, S. Ruttloff**, A. Prinz***, P. Taus, P. Schuller, M. Schinnerl, E. Bertagnolli, Vienna University of Technology, *Profactor GmbH, **Joanneum Research, ***Stratec

Nanoimprint Lithography has the capability to directly replicate 3-dimensional nanostructures. T-shaped nanostructures were fabricated using a laser-patterned phase transition material and a sequence of anisotropic and isotropic dry etching. This 3-dimensional master with undercut features was replicated by nanoimprinting. The industrial relevance and application for structure colours is discussed.

5B-6 (Invited)
Nanoimprint Lithography II

Low-cost fabrication of large area periodic nanopatterns with tunable feature sizes using soft UV-Nanoimprint at ambient atmosphere, Shuhao Si, Lars Dittrich, Martin Hoffmann, Technische Universität Ilmenau

It presents a fabrication flow to generate large periodic nanopatterns, such as nanopillars, nanocavities, and high aspect ratio nanowires, with shrunk and widely tunable feature sizes. The process covers a series of well-known technologies and is accomplished in a short cycle and at low cost.

5C-1 (Invited)
Nanoelectronics II

3D CMOL Memristor Circuit for Analog/Neuromorphic Computing, P. Lin, C. Li, H. Jiang, S. Pi, Q. Xia, UMass Amherst

Novel 3D memristor circuit implementing CMOL like architecture is designed and experimentally demonstrated with 8 layers of crossbars. The new architecture enable operation of multiple sub-arrays in the 3D circuits simultaneously, opening avenue for 3D convolutional neural network with flexible design.

5C-2
Nanoelectronics II

Lateral Field Emission Transistors For Extreme Temperature Operation, W. Max Jones, Axel Scherer, California Institute Of Technology

We are submitting novel work on in-plane, CMOS compatible field emission transistors that can operate in atmosphere and with turn-on voltages of 10 volts or less. Specifically, we demonstrate the temperature robustness of this system for the application of computing in extreme environments.

5C-3
Nanoelectronics II

Fabrication of Pre-Bended Layered Semiconductor Biosensors on Flexible Substrates, B. Ryu, E. Yang*, K. Kurabayashi, X. Liang, University of Michigan, *Granada High School

 

5C-4
Nanoelectronics II

Electrochemically Exfoliated Large-area Phosphorene Enables Promising Flexible Nanoelectronics, Li Tao, Weinan Zhu and Deji Akinwande

We present the first demonstration of electrochemical exfoliation of large-area uniform phosphorene, e.g black phosphorus, and its promising application in flexible nanoelectronics. Our method addresses current issue in size, thickness and uniformity control, and delivers desired device performance on phosphorene, paving its way for advanced 2D material based flexible nanoelectronics.

5C-5
Nanoelectronics II

Fabrication of 2 x 2 nm2 Cross-Point Memristor Array of 3.82 Tbit/inch2 Packing Density, Shuang Pi, Can Li, Qiangfei Xia, University of Massachusetts

Scaling of memristors below 5 nm is a great challenge. Here we are reporting a novel approach to build 2nm x 2nm cross-point memristor arrays with ultra-high packing density. This experimental work includes 2 nm features’ patterning and interconnection, and method to address challenges in programming/reading of the arrays.

5C-6
Nanoelectronics II

Thermal nanoimprint to improve the material properties of MAPbI3, Si Wang, A. Mayer, C. Steinberg, M. Papenheim and H.-C. Scheer, Ting Hu*, N. Pourdavoud*, K. Brinkmann*, T. Riedl*, Microstructure Engineering - University of Wuppertal, *Electronic Devices - University of Wuppertal

By applying a thermal nanoimprint process the morphology and the electrical performance of MA-perovskites can be substantially improved. The grain size increases, so that e.g. solar cells prepared from such materials feature a substantially enhanced efficiency. We will address the processing window for such improvement.

6A-1 (Invited)
Focused Ion Beam Lithography I

Fabrication of Single Atom Devices by Direct Write Nanofabrication, Edward Bielejec, Sandia National Laboratories

We present on the fabrication single atom devices via direct write nanofabrication using the Sandia’s nanoImplanter. This is a multi-species 10-100 kV focused ion beam system with a minimum spot size of 10 nm setup for both mass resolution using an ExB filter and single ion implantation using fast pulsing.

6A-2
Focused Ion Beam Lithography I

Atom sieve for nanometer resolution netrual helium microscopy, R.Flatabø, M.M Greve, K.K Beggren*, B.Holst, Univeristy of Bergen, *Massachusetts Institute of Technology

Here we present work on a new free-standing atom sieve, which can be used to make a helium atom microscope with a resolution of around 20 nm. Work has been done using helium ion beam lithography and electron beam lithography, followed by pattern transfer using reactive ion etching.

6A-3
Focused Ion Beam Lithography I

ElectroHydroDynamic emitters developments for improving Focused Ion Beam machines, Jacques Gierak, Centre de Nanosciences et de Nanotechnologies, France, Lothar Bischoff, Institute of Ion Beam Physics and Materials Research, Germany, Paul Mazarov and Lars Bruchhaus, Raith GmbH, Germany, Mireille Blanchard-Desce and Michel Vaultier, Université de Bordeaux, France, Paulo Lozano, Massachusetts Institute of Technology

We summarize our vision on the future of FIB technology based on electrohydrodynamically (EHD) driven emitters operating in the cone-jet mode, both in terms of performances, versatility and on the science frontiers these might help to push.

6A-4
Focused Ion Beam Lithography I

Direct-write Method for Machining Fluidic Structures with Helium Ions, K.L. Klein, L. Barner*, A.E. Vladár**, University of the District of Columbia, *Messiah College, **National Institute of Standards and Technology

Using a focused helium ion beam we have developed a method for direct-write fabrication of small tubes embedded in surfaces, which could be useful in the rapid-prototyping design of fluidic devices to transport nanoliter volumes of fluids.

6A-5
Focused Ion Beam Lithography I

Ion Beam Milling and Secondary Electron Emissions: A Monte Carlo Simulation Study, Kyle Mahady*, Philip Rack*, Shida Tan**, Yuval Greenzweig**, Richard Livengood**, Amir Raveh**, *University of Tennessee, **Intel Corporation

We present a simulation study of the emission of secondary electrons due to ion beam milling. Our new code allows us to simulate emission of secondary electrons in a target dynamically as features are milled. We validate our method against experiments, and consider applications in ion microscopy and etching.

6B-1 (Invited)
Advanced Pattern Transfer

An optimized, grid-based binary holography mask for high resolution lithography with light or matter waves, T. Nesse, B. Holst*, I. Simonsen, NTNU - Norwegian University of Science and Technology, *University of Bergen

We present a lithography technique, where a beam of neutral, metastable helium atoms is used for high resolution lithography based on binary holography masks. We show how different mask generation parameters influence the contrast and intensity of the final pattern through simulations and proof-of-concept experiments based on photolithography.

6B-2
Advanced Pattern Transfer

Low-thermal-budget surface preparation for STM Lithography, J. H. G. Owen, J. Ballard, R. Santini, J. N. Randall, J. R. Von Ehr, S.Sando,* S. J. Anz*, Zyvex Labs LLC, *Systine Inc

We are developing a low-temperature Si(001) preparation process to minimize step flow for nanoimprint templates, and nanoelectronic devices. It comprises a Hydrogen anneal, following by an exposure to Si to strip the oxide. Clean, flat Si(001) surfaces can be obtained with a maximum sample temperature of 700°C with appropriate parameters.

6B-3
Advanced Pattern Transfer

Time Multiplexed Deep Reactive Ion Etching of Germanium and Silicon-A Comparison of Mechanisms and Application to X-ray Optics, Vincent J. Genova*, David N. Agyeman-Budu**, Arthur R. Woll**, * Cornell University, **Cornell High Energy Synchrotron Source, Cornell University

Our work compares Silicon and Germanium deep reactive ion etching by highlighting the significant differences in the etch mechanisms which ultimately affect the relative performance of Collimating Channel Array (CCA) x-ray optics. We propose that the respective sidewall passivations are responsible for the differences in etch and x-ray optic performances.

6B-4
Advanced Pattern Transfer

Facile Fabrication of Concentric Gradient Nanostructures Using Interference Lithography and UV-cured Stamp Transfer, Siyi Min, Shijie Li, Zhouyang Zhu, Chuwei Liang, Xing Cheng*, Wen-Di Li, The University of Hong Kong, *Southern University of Science and Technology

In this work, we use interference lithography to fabricate concentric gradient nanostructures and then adopt nanoimprint lithography (NIL) to replicate the structures for device applications. We also developed a facile fabrication of the NIL template by directly transferring the photoresist pattern into UV-cured high-strength replication molding material.

6B-5
Advanced Pattern Transfer

Soft Thermal Nanoimprint Lithography, Viraj Bhingardive, Mark Schvartzman*, Department of Materials Engineering, Ilse Katz Institute for Nanoscale Science and Technology, Ben-Gurion University of the Negev

We introduce a novel concept of soft thermal imprint using Soft-Substrate-Rigid-Feature (SSRF) made of soft substrate with rigid features. The molds were fabricated by mechanical transfer of e-beam patterned HSQ onto PDMS, and were used for sub-100 nm thermal imprint on flat and curved substrates.

6C-1 (Invited)
N-MEMS

Using MEMS Devices to Build a “Fab on a Chip”, David J. Bishop, Boston University

Progress is advancing such that we will soon need single or few atom devices. We discuss the concept of a ³Fab on a Chip² or the technique of directly writing circuits and structures with atomic beams with small numbers of atoms or even single atoms under the control of a MEMS writing device.

6C-2
N-MEMS

Chromia – A Novel and Versatile Material for Nanofabrication, B. Robert Ilic, Christopher H. Ray, Kerry Seibein, J. Alexander Liddle, Center for Nanoscale Science and Technology, NIST

 

6C-3
N-MEMS

Field-Driven Splitting of Pure Water based on Deep-sub-Debye-length Nanogap Cells, Y.F. Wang, S.R. Narayanan, W. Wu, University of Southern California

 

6C-4
N-MEMS

Electrostatically Driven Microbeams for Low Frequency Applications, A. Al-mashaal, G. S. Wood, R. Cheung, Institute for Integrated Micro and Nano Systems, School of Engineering, University of Edinburgh, Edinburgh, UK

 

6C-5
N-MEMS

Nonlinear Interactions of Coupled MEMS Cantilevers, Christopher B. Wallin*, Roberto DeAlba*, Daron A. Westly, National Institute of Standards and Technology, Center for Nanoscale Science and Technology, *Maryland Nanocenter, University of Maryland, Scott Grutzik, Alan T. Zenhnder, Richard H. Rand, Cornell University, , Vladimir Aksyuk, National Institute of Standards and Technology, Center for Nanoscale Science and Technology, Slava Krylov, Tel Aviv University, B. Robert Ilic, National Institute of Standards and Technology, Center for Nanoscale Science and Technology

Dynamics of strongly-interacting nonlinear systems are highly sensitive to local changes in their environment which makes them an attractive platform for realizing ultra-sensitive chemical, biological, and force sensors. We report experimental observations of parametric electrostatic excitation, synchronization and abrupt transitions between standing wave patterns in the interacting cantilever array systems.

7A-1 (Invited)
Beam Induced processes II

Focused Electron Beam Induced Deposition of Nanostructures: Insights from Surface Science, H. Fairbrother*, J. Spencer, I. Unlu, Johns Hopkins University, L. McElwee White, Y-C. Wu, K. Johnson, W. Carden, University of Florida, O. Ingolfsson, R. Kumar, University of Iceland, S. Barth, Vienna University of Technology

Surface science studies reveal that electron stimulated reactions of organometallic precursors used in FEBID proceeds via two sequential steps, (i) ligand desorption, creating a metal-containing intermediate, followed by (ii) ligand decomposition, responsible for organic contamination in FEBID nanostructures. This understanding has enable us to design new precursors specifically for FEBID.

7A-2
Beam Induced processes II

Direct-write deposition of pure gold nanostructures - new possibilities and new challenges, H.D. Wanzenboeck, M.M. Shawrav, E. Bertagnolli, S. Schmid, Vienna University of Technology

Focused electron beam induced deposition of pure gold structures has been achieved using a commercial gold precursor and an additional oxidative booster. We will shine some light on this direct-write deposition mechanism and draw conclusions for other precursor systems. Finally, we will discuss potential applications in nanophotonics and biosensing.

7A-3
Beam Induced processes II

Mechanical Properties of 3D Nanostructures Fabricated via Focused Electron Beam Induced Deposition, J.E. Froech*, J. Sattelkow*, H. Plank*, R. Winkler**, C. Schwalb***, M. Winhold***, E.G. Fantner***, * Graz University of Technology, Austria , ** Graz Centre for Electron Microscopy, Austria, *** GETec Microscopy Inc. & SCL Sensor.Tech. Fabrication Inc., Austria

This contribution focuses on nano-mechanical properties of freestanding 3D nanostructures, fabricated via focused electron beam induced deposition (FEBID), for further application as thermal high-resolution probes in scanning probe microscopy.

7A-4
Beam Induced processes II

Nanoscale pattering and blistering phenomenon of gold films on silicon dioxide layer using focused helium ion beam, E. Maeda, T. Iijima*, S. Migita*, S. Ogawa*, R. Kometani, The University of Tokyo, *National Institute of Advanced Industrial Science and Technology

In our work, the helium ion microscope (HIM, Carl Zeiss ORION PLUS at AIST SCR station) was carried out to realize nanoscale patterning. For the purpose of detailed study for nanoscale pattering and blistering phenomenon of gold films using HIM, cross-section Z-contrast TEM images were evaluated.

7A-5
Beam Induced processes II

Reducing curtaining effects in FIB/SEM applications by a goniometer stage and an image processing method, T. H. Loeber*, B. Laegel*, S. Wolff*, S. Schuff**, F. Balle**, T. Beck**, D. Eifler**, J. H. Fitschen***, G. Steidl***, *Nano Structuring Center (NSC) and State Research Center OPTIMAS University of Kaiserslautern, **Institute of Materials Science and Engineering (WKK), Department of Mechanical and Process Engineering University of Kaiserslautern, ***Department of Mathematics University of Kaiserslautern

Two different ways to reduce the curtaining effect are shown, namely a hardware and software approach. First, a home-made goniometer stage is installed for moving samples along an additional axis. Second, an image processing method is proposed, that can be applied after the milling procedure on an image stack.

7B-1 (Invited)
Directed Assembly

Directed Self-Assembly in Lithography: Challenges and Opportunities, Karim R. Gadelrab, Alfredo Alexander-Katz, MIT

Block copolymer self-assembly has been touted as a promising avenue to create sub 10nm features in lithography. However, long range order is not attained in free surfaces, and it is necessary to guide the assembly of such domains using lithographically defined templates. In this talk we will address some of the challenges in the field of directed self-assembly, as well as point out some unique opportunities in which directed self-assembly might be a promising tool. In particular, we will address the effect that template Jitter has on the self-assembled structures. Here we find that the jitter of the E-beam compounded with the template fabrication can have a substantial detrimental effect on structures below 10nm for PS-PDMS systems. We will discuss the origin of the defects that arise and the threshold for the jitter. Afterwards, we will discuss some opportunities in 3D nano manufacturing of mesh structures based on multilayer self-assembly and point to some of the applications in this area.

7B-2
Directed Assembly

Directed Assembly of Nanodumbbells via Nano-Lithographic Docking, A. Marcovici(1,3), G. Le Saux(1,3), P. Rukenstein(2,3), T. Mokari(2,3), M. Schvartzman(1,3), (1) Department of Materials Engineering, Faculty of Engineering,(2) Department of Chemistry, Faculty of Science, (3) Ilse Katz Institute for Nanoscale Science and Technology, Ben-Gurion University of the Negev, Be’er Sheva, Israel

We introduce, for the first time, the programmed assembly of nanodumbbells onto arrays of functionalized nanodots that serve as docking points. The docking arrays of AuPd nanodots were produced by nanoimprint lithography, followed by angle-evaporation pattern transfer, and functionalized by thiol molecules terminated to bind Au tips of nanodumbbells.

7B-3
Directed Assembly

Self-Assembled DNA-Protein Nanostructures with Molecular Precision, Daniel Schiffels,*,**, Veronika Szalai,* J. Alexander Liddle,* *Center for Nanoscale Science and Technology, NIST, **Maryland Nanocenter, University of Maryland

Information encoded in a DNA template can be used to direct a two-stage, hierarchical self-assembly process. DNA polymerase creates double-stranded DNA sections on a single-stranded template. Single-stranded DNA sections are folded into a flexible skeleton by the origami method. The DNA skeleton guides the cooperative assembly of RecA protein filaments.

7B-4
Directed Assembly

Combining Block Copolymer Lithography with Self-Aligned Double Patterning to Achieve 10 nm Full-Pitch Line/Space Patterns, C. Zhou, M. Dolejsi, J. Ren, S. Xiong, P. Nealey, University of Chicago

The potential to combine DSA and SADP approaches was investigated. DSA using 84 nm pitch guiding stripes and a 21 nm L0 BCP gave a density multiplication factor of 4. The following SADP process provided another factor of 2. The final line/space pitch was as small as 10.5 nm.

7B-5
Directed Assembly

Ising model based simulation of block copolymer self-assembly in two-dimensional post lattice, H. Do, K. K. Berggren, Massachusetts Institute of Technology

We present a simple and fast Ising model based simulation method for block copolymer self-assembly in two-dimensional post lattice template. The simulation results were compared with previously reported tile-based design rules. The minimum Hamiltonian configuration agreed with the experimental result and the self-consistent field theory simulation result.

7C-1 (Invited)
Micro and Nano fluidics

Microwell Plate Integrated Microfluidics for Cell-Cell Interaction Screening, P.G. Shankles, K. Cross, B.S. Belisle*, S.S. Joshi*, J.L. Morrell-Falvey*, S.T. Retterer*, The University of Tennessee Knoxville, *Oak Ridge National Laboratory

The system described here integrates a custom microfluidic device onto the bottom of a commercial microwell plate. A four-chamber culture device with a nanoporous barrier between the chambers was integrated to the microwell plate for extended growth and interaction studies of bacteria.

7C-2
Micro and Nano fluidics

Topographical Effect on Natural Killer Cell Locomotion in Confined Microenvironment, Y. H. Xu, S. W. Pang, City University of Hong Kong

 

7C-3
Micro and Nano fluidics

Fabrication and Replication of Nanofluidic Devices for the Analytical Separation of Biological Nanoparticles, K.-T. Liao*, ** and S. M. Stavis*, *NIST, **University of Maryland

We report the focused ion beam milling and replica molding of complex nanofluidic structures for the size exclusion and analytical separation of biological nanoparticles such as exosomes. Our fabrication process achieves nanometer resolution over a range of hundreds of nanometers and our devices demonstrate the essential functionality of structural integrity.

7C-4
Micro and Nano fluidics

THz sensor in microfluidic devices for on line determination and control of ethanol concentration, S. M. da Silva Junior*,**, ***, J. Stiens*, J. W. Swart**, S. Moshkalev***, Y. Zhang*, V. Matvejev*, C. de Tandt*, *Vrije Univeristeit Brussel (VUB), **University of Campinas (UNICAMP), ***Center of Semiconductor Components (CCS).

The paper presents a sub-THz sensor for on line detection of label-free, non-invasive and non-destructive ethanol concentration and controlling in water-ethanol mixtures in microfluidic devices. The sub-terahertz sensor allows for such interrogation in a label-free environment through electromagnetic measurements of small permittivity changes combining into microfluidic devices.

7C-5
Micro and Nano fluidics

Real-time Cell Migration Force Monitored by Micropost Sensor Arrays on Top and Bottom Surfaces in Confined Channels, J. N. Hui, S. W. Pang, City University of Hong Kong

Cell traction force was measured in real time during cell migration in three dimensional channels with guiding topography and physical confinement. Cell traction force varied depending on cell contact with top and bottom surfaces inside the channel, which leads to elongated cell shape and faster migration in smaller channels.

8A-1 (Invited)
Charged Particle Optics

COLDFIB – The new FIB source from laser cooled atoms, M.Reveillard, M. Viteau, A. Houel, A. Delobbe, D.Comparat* Orsay Physics, *Paris-Sud University

New Focused Ion Beam (FIB) column COLDFIB, is coupling two high technologies: atoms laser cooling, and charged particles optic. This very innovative, and industrial solution, will allow realizing ions beam in the unequalled performances, to reach engraving’s sizes of some nanometers.

8A-2
Charged Particle Optics

Graphene-Based Cathode Cold-Field Electron Emission Sources, X. Shao, A. Srinivasan, W.K. Ang, A. Khursheed, National University of Singapore

Recently, carbon based field emission sources have become a popular area of study. This paper shall report further results obtained from the graphene ring field emitter (GRC) and its improvements such as the Ni-nanoparticle enriched GRC (Ni-GRC) field emitter, expected to require relatively lower turn-on electric field strength.

8A-3
Charged Particle Optics

Focused Ion Beam System Employing a Low Temperature Ion Source, A.V. Steele, B. Knuffman, A. Schwarzkopf, J.J. McClelland*, zeroK NanoTech, *National Institute of Standards and Technology

A focused ion beam system employing a low temperature ion source is presented. This system is shown to have a brightness 10 times higher than a gallium liquid metal ion source. Spot sizes of 2 nm at 10 keV are also demonstrated, as are images and mill patterns.

8A-4
Charged Particle Optics

Simple add-on to change a single-beam SEM into a multi-beam SEM, M. Scotuzzi, N. Noordzij, M.J. Kamerbeek, C.Th.H. Heerkens, R.F.C. van Tol, C.W. Hagen, P. Kruit, Delft University of Technology

A simple add-on is developed to turn a single-beam scanning electron microscope (SEM) into a multi-beam SEM. A single chip-stack including the beam splitting optics and blanker electrodes is inserted in the variable aperture port of an SEM. Preliminary experimental results on multi-beam imaging and deposition are shown.

8A-5
Charged Particle Optics

Efficient computation of electromagnetic fields for round lenses in charged particle optics, Timothy R. Groves

 

8B-1 (Invited)
Atomic Layer Processes

Atomic and molecular layer processing: Prospects and strategies for selective area atomic layer deposition, James R. Engstrom, Cornell University

 

8B-2
Atomic Layer Processes

Selective Fluorocarbon-based Atomic Layer Etching in a conventional parallel-plate, capacitively coupled plasma, S. Dallorto, A. Goodyear***, M. Cooke***, S. Dhuey*, A. Schwartzberg*, S. Sassolini*, C. Ward***, D. L. Olynick*, I. W. Rangelow**, S Cabrini*, *Molecular Foundry - LBNL, **Ilmenau University of Technology, ***Oxford Instruments

With the goal of achieving high selectivity FC-based ALE, we study the etching of different materials under different FC chemistry. The cyclic FC/Ar etch has proven to pattern features well, with great potential for significant improvement in overall etch performance.

8B-3
Atomic Layer Processes

Fabrication and Characterization of Transparent, Flexible Metallic Nano-Accordions, J.-H. Min,A. Bagal, P. Lemaire, J. Z. Mundy, C. Oldham, G. Parsons,C.-H. Chang, North Carolina State University

In this work, we introduce a new fabrication and pattern transfer process, including interference lithography and atomic layer deposition, for large-scale fabrication of flexible transparent metallic conductors, and the characterization of them to demonstrate the advantages from their mechanical, electrical, and optical properties.

8B-4
Atomic Layer Processes

Sensors made from infiltrated ZnO nanostructures, L. E. Ocola*, Y. Wang**, J. Chen **, *Argonne National Laboratory, **University of Wisconsin-Milwaukee

In this paper we use an infiltration process named Sequential Infiltration Synthesis (SiS) to fabricate novel UV and gas sensors with high sensitivity (e.g. 5ppm nitrous oxide causes 25-35% change in current). Our results open possibilities of novel sensors using SiS of metal oxides for diverse applications.

8B-5
Atomic Layer Processes

Thinning and doping of two-dimensional WSe2 by vapour XeF2, R. Zhang, V. Koutsos, R. Cheung, The University of Edinburgh

Here, we report a controllable, efficient and uniform thinning of 2D WSe2 with high selectively by vapour XeF2. Meanwhile, a p-doping effect on WSe2 due to XeF2 treatment has been found, which facilitates the fabrication of logical circuits and diodes on the same WSe2 flake.

8C-1 (Invited)
Nanophotonics II

Strong Light-Matter Interactions for Skin-like Flexible Full-Color Displays, Debashis Chanda, University of Central Florida

The talk will focus on enhanced light-latter interactions on nanostructured surfaces for plasmonic full-color displays on flexible substrates. The newly developed printing techniques enable large area printing of such nanostructured surfaces for low cost manufacturing

8C-2
Nanophotonics II

Bio-inspired Nanostructures for Enhanced Light Management, Honglei Wang, Yuchen Liang, Samantha Cheng, Benjamin Li, Andrew Li, George Du, Walter Hu, The University of Texas at Dallas

Roses were found to have micro- and nano-structures and imitating the surface would enable hydrophobic surface formation for self-cleaning and light harvesting for photovoltaic and optoelectronic devices. We report transfer imprint of rose structures to transparent photoresist via polydimethylsiloxane (PDMS) and incorporated in commercial photodiodes which demonstrate improved sensitivity.

8C-3
Nanophotonics II

Printed Flat Optical Component: Metasurface for Cylindrical Vector Beam Generation, Cheng Zhang, Qiaochu Li, Lei Jin, Xi Chen, and L. Jay Guo, University of Michigan

We demonstrate a cost-effective and highly reproducible method for manufacturing large-area dielectric metasurfaces using nanoimprint lithography. A high-performance silicon based metasurface for generating cylindrical polarized vector beam is demonstrated.

8C-4
Nanophotonics II

Exciton diffusion in cesium lead halide perovskite nanocrystals organized in ordered nanoscale assemblies, E. Penzo, A. Loiudice*, E. Barnard, N. Borys, R. Buonsanti*, A. Schwartzberg, A. Weber-Bargioni, S. Cabrini, The Molecular Foundry, Lawrence Berkeley National Laboratory, *École Polytechnique Federale de Lausanne

Cesium lead halide perovskite nanocrystals are a novel colloidal material displaying remarkably bright photoluminescence. Combining lithographic patterning and self-assembly, they are organized in ordered monolayers and confined in one-dimensional structures, allowing for efficient exciton migration that is studied with super-resolution based optical imaging techniques combined with steady-state and time-resolved spectroscopy.

8C-5
Nanophotonics II

The Design and Analysis of Switchable and Stackable Reflective Color Filters, Hao Yang, He Liu, Haneol Lim, Jongseung Yoon, Wei Wu, University of Southern California

Reflective display with switchable and stackable color filters is a promising solution for reducing the power consumption of portable devices. This requires filters has high brightness, color saturation, contrast ratio and large viewing angle. We meet this challenge by design, fabricate, optimize color filters based on subwavelength dielectric resonant gratings.

9A-1 (Invited)
Electron Beam Lithography II

Advances in Multi-Beam Mask Writing, Elmar Platzgummer, Johannes Srajer, IMS Nanofabrication AG

In Multi-Beam Mask Writers, the number of shots, and therefore writing time, is independent of the pattern complexity to be printed on 6” mask blanks. After 7 years of development, the first commercially available Multi-Beam Mask Writer tool MBMW-101 has been introduced by IMS Nanofabrication last year. The writer, operated with 262-thousand programmable beams, meets resolution and pattern placement criteria for the 7 nm node at a write time of less than 10 h per mask using a 120 Gbit/s data path and total electron beam current of up to 1 µA. Ongoing developments for Multi-Beam Mask Writing have produced further performance data which shall be presented.

9A-2
Electron Beam Lithography II

The Impact of Isofocal Dose-Based Proximity Effect Correction on Effective Process Blur Tolerance, G. Lopez, M. Azadi, M. Metzler, N. Belic*, U. Hofmann*, University of Pennsylvania, *GenISys GmbH

The impact of isofocal dose-based PEC using line-space tower patterns with varying pattern densities is demonstrated. The pattern density dependent isofocal dose acquisition technique of a resist process is described. Using a tunable PEC algorithm, CDs are consistently attainable across all pattern densities despite the beam focus accuracy.

9A-3
Electron Beam Lithography II

Novel registration error metrology for multiple electron beam lithography, G. Rademaker, S. Boutami, J. Pradelles, CEA Leti

MAPPER's FLX1200 pre-production platform exposes wafers by writing with up to 13,260 beams, each exposing 2 micrometer-wide stripes. Misalignments can cause stitching errors between these stripes, degrading device performance. This paper presents a fast and novel metrology to quantify these stitching errors individually.

9A-4
Electron Beam Lithography II

24-bit/16 million structural true colors through extraordinary optical transmission of subwavelength Ag holes, B.-R. Lu, J. Deng, L.-J. Gong, Y. Chen, Fudan University

Currently the plasmon resonance induced transmissive/reflective structural color palette is based on the precise dimensional definition of the nano hole structures. We demonstrate a new concept of constructing a much wider range of the color depth by the 256 grey scales of RGB colors to obtain 24-bit/16 million true colors.

9A-5 (Invited)
Electron Beam Lithography II

A Liquid Cell for In Situ TEM: Design and Fabrication Challenges, and Solutions, Christopher H. Ray, B. Robert Ilic, Renu Sharma, Glenn Holland, Vladimir Aksyuk, Samuel M. Stavis, J. Alexander Liddle, National Institute of Standards and Technology

We have developed a liquid cell for the TEM that enables electrochemical flow control. We use a modular device design and process flow that, together, resolve material and process compatibility issues and allow for rapid customization of the device.

9B-1 (Invited)
Optical and Extreme UV (EUV)

Towards the ultimate resolution in photolithography, Yasin Ekinci, Paul Scherrer Institute

The resolution of photolithography has been steadily improving over the past 50 years. EUV lithography is an exciting candidate to continue the improvement in resolution into the single digit nanometer regime. We demonstrate 7 nm half-pitch features and a wide variety of periodic patterns used in a number of scientific investigations. Further improvements in resolution will necessitate new mask fabrication strategies and better photoresists, while pattern transfer at such high resolution is also a significant challenge. We are currently evaluating smaller wavelengths such as 6.5 nm (beyond EUV)as well as 4.5 and 2.5 nm wavelengths in order to continue to push towards the ultimate resolution in photolithography.

9B-2
Optical and Extreme UV (EUV)

Process Optimization And Improvement Of Contact Hole Cdu And Pattern Placement Using Grapho-Epitaxy Dsa With Euv Patterned Templates, Carolien Boeckx*, Jan Doise*, Boon Teik Chan, Stefan De Gendt*, Paulina Rincon Delgadillo, Imec, KULeuven*

We will demonstrate the impact of the template generation method and variations in the template shape on CDU and pattern placement of DSA holes. Our focus lies on the formation of singlet and doublet structures. First, the surface wetting of the sidewalls and Si bottom substrate were optimized.

9B-3
Optical and Extreme UV (EUV)

Point Diffraction Interferometer for Inspection of High-Magnification Objective for Extreme Ultraviolet Microscopy, M. Toyoda, R. Sunayama, K. Uchida, M. Yanagihara, Institute of Multidisciplinary Research for Advanced Materials, Tohoku Univ.

To provide the diffraction-limited spatial resolution with the EUV microscope, wave aberrations should be corrected below 1 nm rms. In this paper, we describe detail of the point diffraction interferometer so as to provide high accuracy of 0.1 nm rms. for implementation of the high-magnification objective for diffraction-limited EUV imaging.

9B-4
Optical and Extreme UV (EUV)

EUV Tip-to-Tip Variation Mitigation for Beyond 7nm BEOL Layers and Design Rule Analysis, Yulu Chen, Lei Sun, Zhengqing John Qi, Shuo Zhao, Francis Goodwin, Itty Matthew*, Vince Plachecki*, GLOBALFOUNDRIES, *ASML-Brion

We investigate the print-ability for a variety of T2T features allowed by design rules in metal layers and discover that they cannot be printed simultaneously. The root cause is the competition over ideal locations in the source pupil by different features. We will discuss the optimal pitch and T2T combination.

9B-5 (Invited)
Optical and Extreme UV (EUV)

Subtracting SEM errors during the measurement of stochastic-induced feature roughness, Chris A. Mack, Lithoguru.com

Measuring stochastic-induced roughness of lithographic features with an SEM produces biased results. Subtracting the effects of SEM image noise, field distortion, and scattering-induced averaging allows the true feature roughness and its frequency response to be recovered. A method for doing so will be presented.

9C-1 (Invited)
Quantum

Coherent quantum phase slip in superconducting nanowire, Jaw-Shen Tsai , Tokyo University of Science & RIKEN

Coherent quantum phase slip (CQPS) effect is the exact quantum mechanical conjugate to the Josephson effect. It is a phenomenon involving coherent tunneling of magnetic flux across a thin superconducting wire. By incorporating CQPS wire in a flux qubit configuration, the CQPT phenomenon is revealed in microwave spectroscopy.

9C-2
Quantum

Process Simulation of Si Dot Fabrication for SETs by Ion Beam Mixing and Phase Separation in Nanopillars, T. Prüfer, K.H. Heinig, W. Möller, G. Hlawacek, X. Xu, J. von Borany, S. Facsko, R. Hübner, D. Wolf, L. Bischoff, Institute for Ion Beam Physics and Materials Research, Helmholtz-Zentrum Dresden-Rossendorf, 01328 Dresden, Germany

We predict an ion-beam-assisted CMOS-compatible manufacturability of Single Electron Transistors (SET) operating at room temperature, which aims at energy-saving electronics. Ne+ irradiation of a-Si/SiO2/c-Si layer stack with Helium Ion Microscope and Si+ irradiation of nanopillars is used to make tiny volumes of SiOx for Si nanodot formation by phase separation.

9C-3
Quantum

Spatially controlled fabrication of individual silicon nano clusters using ion beam mixing and thermal treatment, G. Hlawacek, X. Xu, T. Prüfer, D. Wolf, R. Hübner, L. Bischoff, W. Möller, S. Facsko, J. von Borany, K.H. Heinig, Helmholtz-Zentrum Dresden-Rossendorf

A highly focused Neon ion beam from a Helium Ion Microscope and subsequent thermal treatment is used for the spatially controlled fabrication of single Si nano clusters embedded in a thin buried SiO2 layer. Such nano clusters are ideally suited for low power single electron transistor applications.

9C-4
Quantum

Bridging the Gap for High-Coherence Superconducting Qubits, Jonilyn L. Yoder, D. K. Kim, P. Baldo, R. Das, A. Day, G. Fitch, E. Holihan, D. Hover, J. Mallek, A. Melville, D. Rosenberg, G. Samach, S. Weber, D. R. W. Yost, and W. D. Oliver*, MIT Lincoln Laboratory, *MIT Lincoln Laboratory and Research Laboratory of Electronics at MIT

In this work we developed a superconducting air-bridge crossover process that is fully compatible with superconducting qubit fabrication. We demonstrate that the crossovers improved device performance by bridging gaps in the device ground plane to reduce spurious electromagnetic modes and by increasing coupling strength between coupled qubits.

9C-5 (Invited)
Quantum

Focused Helium Beam Fabricated Superconducting Devices, Ethan Y. Cho, Shan A. Cybart, University of California, Riverside

We present patterning of nanoscale superconducting circuits and Josephson junctions with a focused helium ion beam. The technique works for a diverse group of materials such as Y-Ba-Cu-O, MgB2, and FeAs. We will compare and contrast data from these devices.

P01-01

Conformal Coating of Gold on Nanostructured Surface using Thermal Evaporation, J.-H. Min, D. T. Lee, T. Sun, B. O'Connor, G. Parsons, C.-H. Chang, North Carolina State University

We demonstrate a novel approach to enhance the step coverage of gold metal layers by thermal evaporation process on nanostructured polymer surface using thin ALD-deposited oxide layer. To confirm the improvement on conformality and morphology of our gold layer, the coating was analyzed using SEM, EDS, and electrical conductivity measurement.

P02-01

Bio-Inspired Multi-Scale Structure for Fluid Drag Reduction Enabled by Variable Voxel Stereolithography, Yuanrui Li, Huachao Mao, Pan Hu, Yuen-Shan Leung, Haneol Lim, Mitual Luhar, Jongseung Yoon, Yong Chen, Wei Wu, University of Southern California

Shark skin teeth are promising structures for drag reduction applications for ships and aircrafts. In this work, we present a stereolithography technology, which has both better resolution and throughput. Using this technology, micro patterns at the real scale of shark skin are fabricated and drag reduction is studied.

P02-02

Secondary Electron Emission during 3D Nanoscale Focused Electron Beam Induced Deposition, B.B. Lewis, E. Mutunga, J.D. Fowlkes*, P.D. Rack*, H. Plank**,***, R. Winkler**,***, University of Tennessee, *Oak Ridge National Lab, **Graz University of Technology, ***Graz Center for Electron Microscopy

SE surface emission profile for complex 3D objects must be known in order to accurately predict FEBID. Initial results will show simulation can be tuned to simultaneously reproduce the final 3D deposit shape and the sample current time evolution function, and the elements of the SE model required for reproduction.

P02-03

PECVD Synthesis of a Carbon-Based Nanotechnology Catalyst entitled Carbon Nano Spikes (CNS), D.Hensley, Y. Song, A. Rondinone, Oak Ridge National Laboratory

 

P02-04

Chemical Co-Patterning Strategies Using Azlactone-Functionalized Polymers, Mohammadali Masigol*, B.S. Lokitz**, S.T. Retterer**, R.R. Hansen*. * Kansas State University, ** Oak Ridge National Laboratory

Interfaces can be modified with azlactone-functionalized polymers in order to manipulate the chemical reactivity of the surface. In this presentation, we detail the development of new polymer co-patterning strategies that generate well-controlled, brush-like structures of reactive azlactone polymers with chemically or biologically inert background chemistries.

P03-01

Large area nanofabrication of dense sub-50 nm structures using ALD-enabled nanoimprint lithography, A. S. Jugessur, Andrew Textor, University of Iowa Microfabrication Facility, Optical Science and Technology Center, University of Iowa.

In this work, large area nano fabrication of dense nanometer scale structures is reported using ALD-assisted nano imprint lithography. The fabrication strategy and process developed were used to generate 50 nm wide gratings on a 150 nm pitch over areas as large as 10 x 10 millimeter.

P03-02

Ruthenium Patterning via Reactive Ion Etching for EUV optics, Sharon Oh, Weilun Chao, Farhad Salmassi, Patrick Naulleau, Lawrence Berkeley National Laboratory

EUV diffractive optics could deliver diffraction efficiency as high as 30%, when fabricated with materials of strong phase shift and low absorption at the EUV wavelength, such as ruthenium. We have developed a ruthenium patterning process using electron beam lithography and repeatable reactive ion etching pattern transfer.

P03-03

Etching very large features by metal-assisted chemical etching, F. Aydinoglu, B. Cui, University of Waterloo

We show a process that can wet-etch very large structures of hundreds of micrometer size deep into silicon with vertical sidewall profile. We have performed metal-assisted chemical etching on porous substrate pre-structured by low cost maskless electrochemical etching of a bare silicon wafer.

P04-01

Ion Beam Etching : a solution for microsystem device processing, A. De Luca, J. Guerrero, S. Barnola, CEA-Leti, Minatec

Here, the ion beam milling process is not presented as a simple technological step after lithography and before stripping steps. We will discuss about a complete technological step including lithography, etching and stripping. Some specific cases will be presented with their specifications. Drawback and advantage solutions will be discussed.

P04-02

Rapid nanopore fabrication over wafer size using helium ion beam and automation for biomolecule detection, Deying Xia, Chuong Huynh, Shawn McVey, Aaron Kobler, Lewis A. Stern, Zhishan Yuan*, Xinsheng Sean Ling*, Ion Microscopy Innovation Center, Carl Zeiss Microscopy (USA), *Southeast University (China)

Helium ion microscope and automation software were used to fabricate nanopores (less 10nm) in a silicon nitride membrane over a wafer size with a controllable pore size, sufficient accuracy for motion among chips and good repeatability for biomolecule detection applications.

P05-01

Xeon Gas Field Ion Source Emitted from a Single-Atom Tip, W. C. Lai, C. Y. Lin, W. T. Chang, P. C. Li, and I. S. Hwang, Academia Sinica

Xe ion current emitted from our single-atom tip (SAT) was analyzed from 150K-309K. The maximum ion current was ~150K, its instability is 2.12% and brightness is 2~3 orders larger than that of Gallium liquid metal ion source (Ga-LMIS). Moreover, the sputter yield of Xe ion is higher than Ga-LMIS.

P05-02

Analytical and Numerical Calculation of Multipole Fields of a Wire Lens for an Aberration Corrector, T. Nakano,Y. Yamazawa*, Hitachi Ltd., *Hitachi High-Technologies Corp.

As a multipole lens for the aberration corrector, a setup called a “wire lens,” in which current flows in symmetric parallel lines, was proposed. In this study, multipole-field distributions generated by wire lenses with finite lengths were analyzed in comparison with those generated by ordinary aberration correctors.

P05-03

Emission stability and end-form changes in high brightness HfC electron sources, J. Lovell, W. Mackie, G. Magera, Applied Physics Technologies

We continue work on electron sources for high brightness applications. Presently, commercial sources use ZrO/W which is limited to ~1 mA/sr. HfC sources can be operated at high temperatures and high fields without needed surface migration thereby expanding the range of I’; HfC sources have been operated to >60 mA/sr.

P05-04

Cesium ion beam interaction with common microelectronic materials and VLSI devices, Y. Greenzweig, Y. Drezner, R. M. Hallstein, S. Tan, R. H. Livengood, A. Raveh

We study the interaction of accelerated Cs+ ion interaction with commonly used materials in the microelectronic industry. The motivation of this work is to examine the suitability of cesium as a future ion candidate for focused ion beam (FIB) nano-machining applications, from the beam-surface interaction aspect and device-ion susceptibility.

P05-05 (Invited)

A Simple and Inexpensive Permanent Magnet Electron Lens, R. Fabian Pease*, Matthew Storm Bull, Laurel Ann Kroo and Manu Prakash, Stanford University,

The Lens comprises 2 circular pieces of low carbon iron, aligned with a brass former and held together with neodymium permanent magnets. With 30KeV electrons we have obtained focal lengths below 10mm and an estimated value of Cs of 15mm. Focusing and stigmation can be achieved mechanically.

P06-01 (Invited)

Improved versatility of DSA topographic patterns through the use of UV-exposed grafted layers., G.Claveau, M.Argoud, P.Quéméré, R.Tiron, P.Pimenta-Barros, CEA-leti, France, L.Evangelio Araujo, M. Regulez-Fernandez, Instituto de Microelectrónica de Barcelona, CNM-CSIC

This work presents an improvment to conventional graphoepitaxy approach used for the Directed Self-Assembly of block copolymers. Topographic gratings functionalized with a grafted polymer can be tuned into multiple surface affinity combinaison using a single step of UV exposure. The versatility of DSA features available on one wafer is improved.

P06-02

Sub 100 nm Pattern Transfer from Self-Assembled Silica Nanoparticles Grafted with Polymer Brush on Patterned Substrate, T. Sawabe, N. Kihara, S. Sugimura, K. Ohno*, Toshiba Corporation, *Kyoto University

In the present work, we investigated the domain-controlled assembly of polymer-grafted silica particles on physical guide structure. We realized the sub 100 nm narrow-pitch non-close-packed hexagonal dot pattern transfer with the control of ordering direction of the particle mask by the guide structure.

P06-03

Metallic Nanowire Transparent Conductive Electrode Fabricated by Template-guided Assembly, Chuwei Liang, Dongyuan Li, Cuiping Zhang, Jingxuan Cai, Wen-Di Li, University of Hong Kong

In this study, we demonstrate that a template with regular patterns with wettability and morphology contrast can guide the formation of a conductive nanowire network, also modeled the perclation behavior of template-guided AgNW assembly.

P06-04 (Invited)

Block Copolymer Directed Self-Assembly Using Chemoepitaxial Guiding Underlayers with Topography, B.D. Nation, P.J. Ludovice, C.L. Henderson*, Georgia Institute of Technology, *University of South Florida

The directed self assembly (DSA) of block copolymers (BCPs) on guiding underlayers that use a combination of chemoepitaxy and topographic features are explored using a coarse-grained molecular dynamics model. The effect of factors such as topographic height, width of trenches, and slope of sidewalls on defectivity are explored.

P07-01

Nanofabrication of 4 nm Si nanowires by high resolution e-beam lithography for high sensitive gas sensors, Bo Feng, Fudan University, Jianan Deng, Fudan University, Yifang Chen*, Fudan university

 

P07-02

Fabrication of hard x-ray zone plates with high aspect ratio using metal-assisted chemical etching, Kenan Li, Michael Wojcik*, Ralu Divan*, Leonidas Ocola*, Bing Shi*, Daniel Rosenmann*, Chris Jacobsen*, Northwestern University, *Argonne National Lab

We describe a process for fabricating zone plates with the potential for high absolute efficiency and fine spatial resolution. The process involves electron beam lithography, metal deposition, metal-assisted chemical etching and atomic layer deposition as well as DRIE to thin the silicon substrate to about 15um.

P07-03

A novel approach for the fabrication of Kinoform lens for x-ray focusing by grayscale e-beam lithography, Chen Xu*, Sichao Zhang*, Jinhai Shao*,Yifang Chen*,Tiqiao Xiao**, Biao Deng**,*Fudan University,**Shanghai Institute of Applied Physics

We've developed a novel and feasible method to fabricate Kinoform lens by a grayscale e-beam lithography process. The Kinoform template with the outermost width of 600 nm is obtained. It is believed that this work provides an efficient way to fabricate Kinoform lens with desired heights much beyond 200 um.

P07-04

Performance of a high-resolution negative tone resist, C. Popescu, R. E. Palmer, A. P. G. Robinson, J. Roth*, University of Birmingham, *Nano-C

As the technology advances, the lithographic dimensions decrease further and further introducing new challenges in the lithography process. When patterning bellow 20 nm features the limiting factor becomes the pattern collapse occurring upon development. In the work presented here the issue of pattern collapse in electron beam patterns is investigated.

P07-05

Performance of a high-resolution negative tone resist, C. Popescu, R. E. Palmer, A. P. G. Robinson, J. Roth*, University of Birmingham, *Nano-C

As the technology advances, the lithographic dimensions decrease further and further introducing new challenges in the lithography process. When patterning bellow 20 nm features the limiting factor becomes the pattern collapse occurring upon development. In the work presented here the issue of pattern collapse in electron beam patterns is investigated.

P07-06

An Approach to 3-D Modeling of Electron-beam Lithographic Process from SEM Images for Minimization of CD Error and LER, D. Li, S.-Y. Lee, J. Choi*, S.-H. Kim*, I.-K. Shin*, C.-U. Jeon*, Auburn University, *Samsung Electronics

Previously, a practical approach to modeling the electron-beam lithographic process directly from SEM images was proposed.###In this study, the possibility of improving the model is investigated by taking into account the dependency of exposure on the resist layer, i.e., using a 3-D model.

P07-07

Optimization of Fresnel zone plate layout for high diffraction efficiency by e-beam lithography, Shanshan Xie, Jianpeng Liu, Sichao Zhang, Yifang Chen*,Fudan University

This work addresses the optimization of FZP layout with the outermost ring of 100 nm, trying to control the zone width ratio for maximizing the transmission efficiency through the plates.

P07-08

Electron-beam Exposure Dependent and Adjustable Sidewall Slopes of PMMA and ZEP520A in Comparison, C. Kaspar, J. Butschke, M. Irmscher, S. Martens, J. Burghartz, V. Guzenko*, R. Kirchner*, H. Schift*, Institut für Mikroelektronik Stuttgart, *Paul Scherrer Institut

In this work, we present the contrary behavior of sidewall slopes of the two positive tone resists PMMA and ZEP520A when a background dose is applied with electron-beam lithography and investigate the adjustability.

P07-09

Investigation of Proximity and Development Process Effects for Large Area Dense Nano-Pattern Applications, O. Göktaş, Dilek Çakıroğlu, N. Işık Göktaş, Cenk Yanık*, Nezih Ünal**, Munzur University, *Sabanci University, **GenISys GmbH

We are using a clever layout and automated SEM image analysis based on Hough Transform to investigate proximity effect correction and process effects for the large area dense nano pattern applications. Experiments with different development time at different temperatures will be presented along the 3D resist simulations.

P07-10

From dose statistics to line edge roughness, C.W. Hagen, T. Verduin, S.R. Lokhorst, M.D. Hermans and P. Kruit, P. Brandt* Delft University of Technology, *MAPPER Lithography

In this theoretical study, we first create a 3D resist pattern with side wall roughness and then image the pattern with a CD-SEM. Our goal is to get a direct relation between input parameters such as resist properties or illumination profile and output parameters from typical measurements.

P07-11

Datapath Architecture for Aperture Array Based Multibeam Mask Writer Systems, 2 authors same affiliation: N. Chaudhary, S.A. Savari, Texas A&M University

Multibeam mask writers are the next generation of mask writing tools and require throughput improvements. We propose a fast and simple datapath architecture which combines parallel data decompression with the existing deflection plate design for throughput gains in the aperture array based multibeam systems introduced by NuFlare and IMS Nanofabrication.

P07-12

Simulation of Asymmetric Energy Deposition Profiles in E-Beam Lithography on Curved Substrates, A.C. Zonnevylle, W.S.M.M. Ketelaars, K.T. Arat*, C.T.H. Heerkens*,C.W. Hagen*,U. Hofmann**, N. Belic, RAITH, *Delft University of Technology, **GenISys-GbmH

In this contribution we study the influence of non-perpendicular electron beam incidence in electron beam lithography. We show that full 3D simulations are necessary to do correct proximity correction on the patterns to ensure that the designed critical dimensions are obtained. We verify this with simulations and experiments.

P07-13

High Q-factor micro-ring resonator fabrication by charge dissipation in electron beam lithography, K. Han, Y. Lee, M. Qi, Purdue University

To reduce a surface roughness of a photonic waveguide, 10 nm of aluminum layer is deposited to dissipate a charge accumulation during e-beam lithography exposure on top of silicon nitride micro-ring resonator platform.

P07-14

Effects of Stochastic Exposure on Critical Dimension in Electron-beam Lithography, H. Ji, J. Li, S.-Y. Lee, J Choi*, S.-B. Kim*, I.-K. Shin*, C.-U. Jeon*, Auburn University, *Samsung Electronics

The exposure fluctuates (stochastic) due to the random nature of electron scattering and shot noise. The exposure fluctuation makes the actual size of a written feature substantially different from that estimated based on the deterministic exposure. This effect and its dependency on lithographic parameters are investigated.

P07-15

Grafted PMMA mono-layer brush as negative tone e-beam resist, H. Yamada, F. Aydinoglu, RK Dey, B Cui, University of Waterloo

Grafted PMMA mono-layer brush was shown able to behave as negative e-beam resist. To achieve a negative tone, PMMA brush was exposed at very high dose, followed by dry thermal development. This method provided a simple way to fabricate protruding structures on non-flat or irregular substrates.

P07-16

High aspect ratio polystyrene structure fabrication using electron beam lithography, 3 authors same affiliation: A. Azibi, J. Shen, R. K. Dey, B. Cui, Waterloo Institute for Nanotechnology (WIN), University of Waterloo, Canada

High aspect ratio protruded nano-structures defined in negative resist usually suffer from collapse or detachment because of capillary force. Here we overcame this issue by defining, using low energy exposure, a "ceiling" structure at the top of the protruded structures to hold them together. Significant improvement was demonstrated.

P07-17

Fabrication of Josephson Junction without shadow evaporation, Xian Wu, D.P.Pappas, NIST

We developed a new method of fabricating Josephson Junction without shadow evaporation. Ebeam lithography is used to pattern the junctions and only direct evaporation is used. Statistics on junction normal resistance and results from transmon qubits made with this method will be presented.

P07-18

Improvement of Silicon Waveguide Transmission by Advanced E-Beam Data Fracturing Strategies, N. Shane Patrick, University of Washington, Richard J. Bojko, GenISys Inc, Stefan J.H. Stammberger, GenISys GmbH, Enxiao Luan, and Lukas Chrostowski, University of British Columbia, Vancouver, Canada

We evaluated a novel method of fracturing pattern data for e-beam lithography, which traces all feature edges with single-line shapes, and found significant improvement in both waveguide loss as well as grating coupler performance.

P07-19

Fabrication of nano-gap using high and low energy electron-beam lithography, Azizah Azibi*, SM Saydur Rahman**, Jiashi Shen* and Bo Cui*, *University of Waterloo, **Jubail University College

We fabricated nano-gap between two large pads by two energy exposure. The high energy defines nano-gap between two thin lines, whereas the low energy defines the two large pads with a large gap such that the middle of the gap received negligible proximity exposure because of the small backscattering range.

P07-20

Analytic Minimization of Line Edge Roughness for Large-Scale Uniform Patterns in Electron-beam Lithography, R. Guo, S.-Y. Lee, J. CHoi*, S.-B. Kim*, I.-K. Shin*, C.-U. Jeon*, Auburn University, *Samsung Electronics

Previously, an algebraic expression of LER at a location in a large uniform pattern was derived for electron-beam lithography, to avoid the time-consuming simulation. In this study, based on the previous results, the issue of analytically minimizing the LER (and the CD error) in a L/S pattern is investigated.

P08-01

Smart Nanostructured Films for Sensitive Chemical Detection and Analysis, S. Ahmed, N. Nujhat, L. Jiang, B. Tiimob, V. Rangari, N.S. Korivi, Tuskegee University

Nanostructured smart substrates were developed for surface enhanced Raman scattering (SERS) applications. The substrates consist of polymer layers partially embedded with multi-walled carbon nanotubes and coated with a layer of gold. The "smart" aspect is due to tunable SERS enhancement factor, controlled by nanotube content, and thickness of gold.

P08-02

Biomimetic micromolding: Micro- and Nano-structuring of Polydimethylsiloxane (PDMS) using Bio- and Nature Inspired Templates, S. Gaillard, S. Ahmed, L. Jiang, M. Biswas, V. Rangari, N.S. Korivi, Tuskegee University

We report on the structuring of polydimethylsiloxane using natural templates with micro- and nano-texture. These natural surfaces include eggshells, various agricultural products, among others. The fabrication method is simple and inexpensive, and the use of natural templates can potentially allow for the replication of conditions found on the original template.

P08-03

A Study of Pattern Density on Magnetic Coupling for Bit Patterned Media, K.L. Zhong, V. Ng, National University of Singapore

We fabricate and characterise bit patterned media of various packing arrangement to investigate the impact of pattern density on magnetic coupling. Arrays of magnetic nanodots are patterned by EBL and characterised by MFM . We observe that higher density shows less magnetic coupling and attribute it to less trench material.

P08-04

Stable Field Emitters Using Inverse Opal Structures, L. Montemayor, E. Murty, H. Manohara, R. Zhang*, X. Zhu*, P. V. Braun*, NASA Jet Propulsion Laboratory, *University of Illinois at Urbana-Champaign

Inverse Opals are applied to field emission applications for the first time. There is a need for alternate cold cathodes that are as efficient and poor-vacuum tolerant as carbon nanotubes (CNT), but with improved rigidity. This work demonstrates field emission using inverse opals with enhanced robustness compared to CNT devices.

P08-05

Surface Modification of Graphene-Metal Oxides by Microwave Irradiation for Applications in Supercapacitors, A. R. Vaz*, R. Kumar*, S. A. Moshkalev* ,R. K. Singh**, * State University of Campinas (UNICAMP) / Center for Semiconductor Components and Nanotechnology (CCS Nano), **School of Physical & Material Sciences, Central University of Himachal Pradesh (CUHP)

Flexible free-standing lightweight graphene films containing metal oxide hybrids hold great promise for applications in energy storage devices like supercapacitors and batteries, improving the performance for high specific power and energy density.

P08-06

Graphene Oxide Thickness Effects in Graphene-based Supercapacitors, S. Fanourakis, L. Jiao, Grand Valley State University

Graphene-based in-plane interdigital supercapacitors were examined. The supercapacitors employed the reduced graphene oxide (rGO), thermally reduced from graphene oxide (GO), as their electrodes. The thermal reduction process using a laser engraver was studied. The thickness effect of the GO layer on the capacitance was presented in this paper.

P08-07

Coaxial Hybrid Perovskite Fibers: Synthesis and Encapsulation in Situ via electrospinning, J. Murphy*~, J. Andriolo*', J. SKinner*~", *Montana Tech Nanotechnology Laboratory. ~Montana University System Materials Science Program, 'University of Montana, "Mechanical Engineering Dept. Montana Tech

A coaxial micro/nano fiber is electrospun, to encapsulate hybrid perovskite photovoltaic material in a protective polystyrene shell. Initial studies have demonstrated the feasibility of this technique, but process development is needed before the coaxial fibers can be integrated into novel, or pre-existing, solar cell devices.

P09-01

FIB Nanofabrication with Sketch & Peel Method: Employing non-Ga Ion Species for Plasmonic Arrays, H. Duan, Y. Chen, K. Bi, S. Bauerdick*, A. Nadzeyka*, M. Kahl*, Hunan University, *Raith GmbH

FIB systems are valuable for R&D nanofabrication, although milling is slower than resist based processes. With a lithographic instrument design and technology for non-Ga ions advanced FIB nanofabrication becomes possible. We report on sketch&peel using Au and Si and discuss effects related to different ion species and large area patterning.

P10-01

A modularized miniature electron beam column array for parallel lithography, T. Luo, National University of Singapore, A. Khursheed, National University of Singapore

This paper presents designs and results of a multiple-electron-beam column array, which uses modularized and miniaturized electron beam columns with permanent-magnet lenses. This column is able to achieve high resolutions of 2nm, high beam current of 1nA, and a large range of landing energies from 0.5keV to 10keV.

P11-01 (Invited)

High-aspect-ratio Magnetic Tunable Nanopillar Array, Zhiren Luo, Xu A. Zhang, Austen Poteet, Chih-Hao Chang, North Carolina State University

In this work, a simple method of fabrication has been discussed for micro-nano-scale periodic tunable structure. Furthermore, the preliminary test indicates that the nanopillar array is tunable. In the future, the tenability will be enhanced by improving the structure aspect ratio.

P11-02

Magnetic and electric transport characterization of a single nickel nanowire isolated by dielectrophoresis, M. V. Puydinger dos Santos, M. Velo, R. D. Domingos, F. Béron, K. R. Pirota, S. Moshkalev and J. A. Diniz, University of Campinas (Brazil)

The growing interest in magnetic nanowires (NWs) is connected to possibility of employing them for advanced applications in wide technological fields, such as building blocks for data storage and memory, advanced scanning-probes, as well as biotechnological applications. In addition, NWs can be used as sensor for several applications.

P12-01

Nanofluidics and Plasmonics for In-Line DNA Optical Mapping, Parisa Bayat, Franziska Esmek, Thomas Klings, Robert H. Blick, Irene Fernandez-Cuesta, Thomas Guenther*, Adam Grundhoff*, Stefano Cabrini**, Center for Hybrid Nanostructures, University of Hamburg, GERMANY, *The Heinrich Pette Institute, Leibniz Institute for Experimental Virology, Hamburg, GERMANY, ** The Molecular Foundry, Lawrence Berkeley National Laboratory, CA, USA

On-chip DNA optical mapping allows studying intact individual molecules with higher throughput than conventional sequencing techniques. We explore different techniques to create a "fluorescent barcode-like" pattern, and stretch the single-DNA molecules in nanofluidic devices and then read out the signal in real time using a focused-laser and a photon counter.

P12-02

Fabrication and Evaluation of an Active Electromagnetic Mixer for Lab-on-a-Chip Applications, S. M. da Silva Junior*,**, L. E. Bento Ribeiro*, J. W. Swart*, S. Moshkalev **, J. Stiens *** F. Fruett*, A. Flacker *University of Campinas (UNICAMP), **Center of Semiconductor Components (CCS), *** LAMI-ETRO.

A novel micromixer fabrication process using low-cost materials and fast prototyping applied to microchannel actuation. The integration of active micromixers still being challenge in microlfuidic. The device was fabricated over conventional fabrication techniques, using polydimethylsiloxane membrane thin-film and magnet part and its characterization was done by image analysis.

P13-01

Fabrication of Suspended Nano-crystalline Diamond Foils for Stripping Electrons from a High Power Hydride Beam, L.L. Wilson, R.W. Shaw, D.K. Hensley, C. Luck, S.T. Retterer, M.A. Plum, K. Lester, D.P. Briggs, Oak Ridge National Laboratory

Thin nano-crystalline diamond foils are critical to the production of neutrons at the Spallation Neutron Source (SNS). Here we describe progress on the scaling and characterization of a diamond foil fabrication process aimed at the production of more reliable and robust suspended foils, comprised of diamond films.

P14-01

Fabrication of Arrays of Electrically-Isolated Nanoscale Organic Thin-Film Transistors, D. Li, M. Chen, B. Ryu, B. Ma*, X. Liang, University of Michigan, *Florida State University

We present a nanofabrication route capable of producing arrays of electrically-isolated P3HT OTFTs with nanoscale channel lengths. The presented fabrication route is based on angled deposition and transfer-printing processes, which are compatible with organic semiconductors.

P14-02

Fabrication of glass sealed x-ray tube with high resolution carbon nanotube cold cathode, J. H. Hong, J. S. Kang T. G. Kim*, J. Y. Park** and K. C. Park, University of Kyung hee, *TDS Co. Ltd, ,**NanoRay Co. Ltd

We developed glass sealed x-ray tube fabrication technique with novel carbon nanotube (CNT) emitters grown with resist-assisted patterning (RAP) process. We present the field emission properties, x-ray tube and images.

P14-03

Fabrication of high resolution electron beam with one carbon nanotube cold cathode, H. R. Lee, J. S. Kang, T. G. Kim* and K. C. Park, Univeristy of Kyung hee, *TDS Co., Ltd

We developed novel carbon nanotube (CNT) growth techniques, i.e. resist-assisted patterning (RAP) process. This study, we developed electron beam with one CNT emitters and measured its electron emission with spatial resolution characteristics.

P14-04

Metal-oxide Nanocrystals/Carbon Nanotubes Heterostructure Sensors for Selective Sensing of Hydrocarbons (VOCs + CH4), Michela Sainato1, Alvaro Sahagun1, Ralu Divan2, Liliana Stan2, Md Tanim Humayun1 and Igor Paprotny1*, 1University of Illinois at Chicago,2Center for Nanoscale Materials, Argonne National Laboratory

Here, we present high sensitive ZnO NCs /multiwalled CNT (MWCNT) heterostructure-based chemi-resistive sensors for the selective detection of different hydrocarbons at RT. The ALD is used to spatially control the growth of MOX on the CNT surface. The sensors operate at RT and show fast and reliable response.

P14-05 (Invited)

Physically Unclonable Anti-Counterfeit Labels Using Nanoscale Diffusive Memristor Crossbar Arrays, R. Zhang*,**, H. Jiang*, P. Lin*, Z.R. Wang*, J. J. Yang*, D. H. Zhang**, Q. F. Xia* , *University of Massachusetts, ** Tianjin University

We present a novel PUF using nanoscale diffusive memristor3 crossbar arrays that can be fabricated with much simpler process and higher fabrication controllability. Our PUF utilizes the random distribution of Ag particles on a SiO2 surface generated during sputtering process, showing high security density and reduced power consumption.

P15-01

A Single Fiber Surface Enhanced Raman Scattering (SERS) Probe, S. Basu, H.-C. Hou, D. Biswas, T. Daniels-Race, M. Lopez, M. Mathis, M. Feldman, Louisiana State University

A half millimeter diameter probe is described which couples a spectrometer to a remote subject for Surface Enhanced Raman Scattering measurements. The single fiber design has far greater sensitivity than two fiber probes as well as enabling comparisons between different regions in the subject. Experimental results will be presented.

P15-02

Fabrication pH micro-biosensor for implantable medical devices, Youssef Helwa, Mohammad Okasha, Amr Abdelgawad, Bo Cui*

A pH-biosensor was fabricated out of biocompatible materials that allow real-time monitoring of pH. The device is comprised of a multi-electrode system modified with a pH sensitive porous conduction polymer PANI electrodeposited onto the electrode, allowing direct in-vivo sensing of pH change after surgery.

P15-03

Neurite growth into artificial microchannels, H.D. Wanzenboeck*, P. Schuller*, A. Kocis*, I. Schmied*, E. Bertagnolli*, P. Scholze**, *Vienna University of Technology **Medical University Vienna

Artificial microchannels with microelectrodes have been used to provide topographic guidance to neurites in growing neuronal cell cultures. Nanoimprinting into biocompatible polydimethylsiloxane allowed realizing custom-designed microchannels. The small cross-section excludes nerve cell somata but allows growth of neurites (dendrites, axon). This is a key technique for custom-design of neuronal networks.

P15-04

Investigation of TiO2/graphene Nanocomposite for Cancer Photothermal Therapy, K. Arkanimas, A. Pimpin, W. Srituravanich, T. Palaga, Chulalongkorn University,

In this work, the efficacy of TiO2/graphene nanocomposite as photothermal therapy agent has been investigated. The nanocomposite was prepared by tagging graphene oxide on TiO2 nanoparticles using hydrothermal process. The experiment with cancer cells revealed that TiO2/graphene nanocomposite could kill cancer cells significantly when exposed to a NIR laser.

P15-05

A Novel Fabrication of Isolated Titanium Dioxide Nanotubes, K. Arkanimas, A. Pimpin, W. Srituravanich, B. Thumrongthanyaluk, T. Palaga, Chulalongkorn University

In this work, a novel fabrication of isolated TiO2 nanotubes is presented. TiO2 nanotubes with a uniform size and length were synthesized by anodization. Then, TiO2 nanotubes were peeled off from substrate by sonicating in isopropanol. As a result, isolated TiO2 nanotubes with a perfect tubular shape were successfully fabricated.

P15-06

Geobacter sulfurreducens Attachment and Biofilm Growth on Electrode Materials, M.C. Halsted, N. Jiang, F. Loeffler, D.K. Hensley*, J.L. Wilmoth*, S.T. Retterer*, The University of Tennessee, *Oak Ridge National Laboratory

Geobacter sulfurreducens, a metal-reducing bacteria, is capable of forming electroactive biofilms, and is commonly used in bio-electrochemical systems (BES). To better understand the interactions and compatibility between Geobacter and electrode surfaces, we characterize Geobacter sulfurreducens growth and biofilm formation on thin-film materials.

P15-07

Zinc oxide nanowires for drug delivery systems, A. Syed*, D.A. Lamprou**, M. Warzecha***, P.F. Seib***, V. Koutsos*, E. Mastropaolo*; *The University of Edinburgh, Edinburgh, UK, ** University of Kent, Canterbury, UK, ***University of Strathclyde, Glasgow, UK.

Zinc oxide nanowires (ZnO-NWs) are excellent candidates for highly effective drug delivery systems. ZnO-NWs have been synthesized hydrothermally together with a lipophilic/hydrophobic molecule that acts as a model compound to mimic drug loading/release. The paper investigates the specific synthesis conditions which support maximum drug loading and drug release.

P15-08

High density, multifunctional neural probes for massively parallel read out and control, V. Lanzio, M. West, S. Cabrini, S. Sassolini, S. Dhuey*, A. Koshelev**, P. Denes***, H. Adesnik, G. Telian****, *Molecular Foundry, Lawrence Berkeley National Laboratory, **Abeam technologies, ****ALS, Lawrence Berkeley National Laboratory, ****Adesnik Lab, Life Sciences Addition, Berkeley University of California

Electrical and optical high density neural probes are presented, as well as their fabrication process and their integration. These are the next generation of devices to probe neural networks in living animals.

P15-09 (Invited)

Microtubules: A Potential Biological Model for Topological Phonon Edge Mode Phenomena, A. Aslam, D. Apigo, A. Kanwal, R. C. Farrow, C. Prodan, J. Palmieri*, E. Prodan**, New Jersey Institute of Technology, Yeshiva University, New York, NY**

Microtubules are being studied to develop new nanostructured materials that exhibit topological phonon modes. Analogous to topological insulators, the bulk properties of these materials cause phonon propagation along the surface or edge of the material. A characterization method to measure phonon modes in nanostructures is presented here.

P16-01 (Start-up Contest)

Site Specific Manipulation Techniques for FIB, L.A. Giannuzzi, EXpressLO LLC

The ex situ manipulation of site specific FIB specimens has been extended to the manipulation of fibers, particulates, and more. Micromanipulation is performed using direct Van der Waals forces and/or facilitated with adhesive. Using new grid carriers, samples may be directly analyzed by TEM or FIB milled for other analyses.

P16-02 (Start-up Contest)

High Temperature Superconducting Electronics for Biomedical Imaging and Advanced Communications, Ethan Y. Cho, SQUIDWORKS LLC.

We present a commercially available high temperature superconducting Josephson junction process that the junction parameters are controllable and reproducible at low cost and can be scaled. The process utilizes a helium ion beam to direct-write junctions. This process allows applications such as biomedical imaging to be widely available.

P16-03 (Start-up Contest)

Skin like Plasmonic Full Color Displays, Debashis Chanda, Nagendra Nagarajayya, e-skin Displays, inc

Imagine a flexible, thin, low power, full color display that can wrap around columns, be made into any shape and dynamically show image or video content. We have developed technology enabling this called eskin display, a full color thin film plasmonic display that can replace static paper based and conventional LCD and LED digital signage.

P16-04 (Start-up Contest)

Photonics on a Fiber, Alexander Koshelev, Carlos Pina-Hernandez, Sergey Babin, Keiko Munechika, aBeam Technologies, Inc.

aBeam Technologies develops novel photonics-on-a-fiber devices for a precise and reproducible wavefront manipulation. Devices are made by fiber imprinting, a novel technology suitable for low-cost and high-throughput manufacturing of free-form 3D diffractive optical elements (DOE) directly on a fiber. Unlike other conventional fiber optics, complex 3D photonic structures are fabricated at the end of the fiber to apply optical function, which makes it possible to manipulate the light output with a customizable focal distance of any shape, without relying on free-space optics. Using the fiber imprint technology, material properties of DOEs, for example, refractive index, can be customized to enable novel immersion applications.

P16-05 (Start-up Contest)

Innovative Probes for Reliable Underwater Nanoscience, Dominik Ziegler, Scuba Probe Technologies

Scuba Probe Technologies produces novel probes for gentle and quantitative imaging in liquids. Overcoming the limitations of high viscous damping, encased cantilevers enable imaging of soft matter with high spatial and temporal resolution. Our electrical probes enable nanoscale observation of electrochemical and catalytic processes happening at liquid solid interfaces.

P17-01

Design, Fabrication, and Initial Characterization of a Multi-Qubit Chip for Quantum Simulation, V. Ramasesh, K. P. O'Brien, J. M. Kreikebaum, J. Colless, I. Siddiqi, Center for Quantum Coherent Sciences

We present the design, fabrication, and initial characterization of a superconducting circuit featuring up to ten quantum bits. Intended for quantum simulation experiments, this chip features dedicated control lines to facilitate both single-qubit and two-qubit entangling operations. Coherence properties and simultaneous multiplexed readout of multiple qubits will be emphasized.

P17-02

Quantifying Dopant Movement in Si:P Atomic Device Encapsulation, X. Wang, J. Hagmann, P. Namboodiri, J. Wyrick, K. Li, R. Murray, M. Stewart Jr, C. Richter, R. Silver, National Institute of Standards and Technology

In this study, we systematically investigate locking layer effects to suppress dopant movement during low-temperature encapsulation overgrowth and to optimize dopant confinement, epitaxial quality, and transport properties of the phosphorus-doped 2D layer in Si.

P18-01

Fabrication of copper nanowire using NIL for electroreduction of carbon dioxide, Yangchun.Lan, Yang.Jiang, Dehu.Cui,Southern University of Science and Technology, Shenzhen, China

The morphology of metal nanoparticles was hard to be controlled, it could affect the surface area and active sites of catalysis. Now we investigated electroreduction of carbon dioxide by copper nanowire, which was gained by using the nanoimprint lithography (NIL).

P18-02

In-liquid alignment detection by fluorescence moiré fringes for print and imprint method, E.Kikuchi, Y. Ishito, S. Matsubara*, T.Nakamura, M. Abe*, M. Nakagawa, Tohoku University, *Asahi Kasei Corp.

In UV nanoimprinting, alignment marks are difficult to detect by optical microscopy because of little deference in refractive index between mold and resin materials. This study enabled to visualize alignment marks and to generate the fluorescence moiré interference fringes by fluorescence microscopy with fluorescent liquids in in-liquid alignment.

P18-03

Fabricating Nano-scale Gratings with Multiple Imprinting, Xiaohao. Ma, Dehu.Cui, Southern University of Science and Technology, Shenzhen, China

In this paper, an improved lithography is introduced to produce sub-100nm gratings by using 300nm gratings mold.

P18-04

FOLED with enhanced external efficiency using corrugated Ag anode, Li Wang, Yu Luo*, Liaoyuan Feng, Wei Liu,Xi’an Jiaotong University

Quasi-periodical corrugated silver anode is adopted in flexible organic light-emitting diodes (FOLED). The fabricating process is based on colloidal lithography and thermal nano-imprint technologies. The efficiency enhancement and the better emission features results from the Bragg diffraction effect of the quasi-periodic nature of the corrugated microcavity.

P18-05

Fabrication of Polyimide Screen Masks with Through Holes by Laser Drilling for Print and Imprint Method, T. Nakamura, K. Seki, S. Sato, M. Kumagai, M. Nakagawa, K. Nagase*, Tohoku University, *MINO GROUP Co. Ltd.

We demonstrated that position selective deposition of the high-viscosity resin droplets with the mean volume of 0.12 pL in accordance with the shape of a silica mold for fabrication of Au electrodes.

P18-06

Reproducible surface forces between VUV-exposed silica surfaces in a moisture-sensitive oleophilic diacrylate monomer liquid, S. Ito, M. Kasuya, K. Kurihara, M. Nakagawa, IMRAM, Tohoku University

In this work, we investigated surface forces between silica surfaces, exhibiting different hydrophilicity, mediated with an oleophilic diacrylate monomer containing water at different concentrations. We propose that cleaning methods of silica mold surfaces to generate stable water layers in UV-curable resins are important in defect-free sub-15 nm UV nanoimprinting.

P18-07

Stochastic simulation of UV-curing process in nanoimprint lithography: Pattern size and shape effects in sub-50 nm, M. Koyama, M. Shirai, H. Kawata, Y. Hirai, M. Yasuda, Osaka Prefecture University

Stochastic simulations are performed to study the pattern size and shape effects on UV-curing characteristics of the resist. Simulation results indicate that the conversion ratio of UV resist decreases with decrement of the feature size. The conversion ratio also depends on the pattern shape.

P18-08

Heat Conduction and Polymer Flow in Microstructured Mold for Laser-Assisted Imprinting, K. Nagato, Y. Yajima, M. Nakao, The University of Tokyo

We designed a model experiment to define the time schedule of heat conduction in the polymer and the flow of the polymer in Laser-Assisted Imprinting. The process parameters such as pressing pressure, laser power, diameter, and irradiation time corresponding to scan speed can be easily defined by this method.

P18-09

Design of hierarchical surfaces for tuning wetting characteristics, Ariadna Fernández1, Achille Francone1, Clivia M. Sotomayor Torres1,2, Nikolaos Kehagias1, 1Catalan Institute of Nanoscience and Nanotechnology (ICN2), CSIC and The Barcelona Institute of Science and Technology, Spain, 2 ICREA, Institució Catalana de Recerca i Estudis Avançats, Spain, Lasse H. Thamdrup3, Alicia Johansson3, Brian Bilenberg3, Theodor Nielsen3, 3NIL Technology ApS Diplomvej, Denmark, M. Guttmann4, 4Karlsruhe Institute of Technology (KIT), Germany

 

P18-10

Amphiphobic mushroom-like structures fabricated by direct nanoimprint lithography, Ariadna Fernández1, Achille Francone1, Markus Guttmann2, Clivia M. Sotomayor Torres1,3, Nikolaos Kehagias1, 1Catalan Institute of Nanoscience and Nanotechnology (ICN2), CSIC and The Barcelona Institute of Science and Technology, 2Karlsruhe Institute of Technology (KIT), Institute of Microstructure Technology, 3ICREA, Institució Catalana de Recerca i Estudis Avançats

Here we show the potential of NIL to fabricate complex overhanging structures. By a novel one-step controlled electroplating process we produced mushroom-like structures with controlled shape. These structures were fabricated using the template-assisted electroplating concept

P18-11 (Invited)

Relevance of stamp material for vertical phase separation of block copolymers in nanoimprint, A. Mayer, J. Rond. J. Staabs, D. Blenskens, C. Steinberg, M. Papenheim, H.-C. Scheer, J. Zajadacz*, K. Zimmer*, University of Wuppertal, *Leibniz Institute of Surface Modification

The stamp sidewalls are the key to achieve vertical phase separation of block copolymers with thermal nanoimprint. We will discuss the impact of the cavity width. Furthermore, the choice of the stamp material is crucial, even when the anti-sticking layer seems to provide a similar surface energy.

P18-12

Stretchable and conductive substrate with undulating surface by imprint lithography for Flexible Electronics, Rifei Chen, Bo Yu, Chunhui Wu, Youwei Jiang, and Xing Cheng, Southern Univ of Science and Technology of China

We report a facile approach to achieve stretchable and conductive substrate with undulating surface structures patterned by imprint lithography and thermal reflow. The strechability and conductivity under various strains are reported.

P18-13

FOLED with enhanced external efficiency using a corrugated Ag anode, Li Wang, Yu Luo*, Liaoyuan Feng, Wei Liu,Xi’an Jiaotong University

We present a simple method to fabricate Ag anode with nano-scaled corrugations on polycarbonate (PC) flexible substrate. The structures are transferred to plastic substrate by thermal nano-imprint lithography (NIL).

P18-14

Novel UV-NIL for opaque mold and substrate by use of UV triggered command cure resin, J. Tutui, H. Kawata, M. Yasuda, Y. Hirai, Osaka Prefeture University

We propose a novel UV-NIL by use of Si mold and substrate. UV triggered command cure resin is used as a new resist. The resin cure starts after a few minutes passing from an UV exposure. A clear space pattern with 0.18 μm width can be successively fabricated.

P18-15

Thermal nanoimprinting of mid-IR antireflective moth-eye nanostructures on chalcogenide glass windows, M. R. Lotz, M. H. Jakobsen, R. J. Taboryski, C.R. Petersen*, O. Bang*, DTU Nanotech, *DTU Photonics.

Chalcogenide glasses are known for their exceptional ability to transmit infrared light, however still suffer from significant Fresnel reflection losses at the glass-air interface. With thermal nanoimprinting techniques one can imprinting antireflective moth-eye nanostructures on the glass surface and reduce this loss considerably.

P18-16

Electrically switchable structural color using electrowetting on superhydrophobic surface, Deming Meng, Yifei Wang, He Liu, Hao Yang, Yuanrui Li, and Wei Wu,University of Southern California

We successfully achieved droplet moving in centimeter scale, which is much beyond the need for high reflective display in nanoscale. That proved the feasibility of electrically switchable full color reflective display using electrowetting.

P19-01

An experimental study of light absorbance of gold metal nanoparticles in the size range 45 to 200 nm, M.M. Greve, R. Flatabø, and B. Holst, University of Bergen

We have fabricated large arrays of metal nanoparticles using electron beam lithography for investigating their optical properties, with key interest in the light absorption, using an integrating sphere setup.

P19-02

CD Limits of Scatterometry, Ruichao Zhu, Juan Faria, S. R. J Brueck

Scatterometry is a fast, in-line, non-contact, non-destructive nanoscale metrology tool and is widely used in industry manufacturing process for qualify control proposes. In this presentation, we computational simulate the limits of optical angular scatterometry and assure it have the capability to monitor 7 nm node products.

P19-03

Design of Interfacial Antireflection Nanostructures in Multilayers, Yi-An Chen, Sharan V. Naidu, Zhiren Luo, Chih-Hao Chang, North Carolina State University

Refractive index mismatch at the interface between two different materials in a multilayer stack causes Fresnel reflection losses. We utilize rigorous optical design of interfacial nanostructure to enhance transmission and suppress interference effects. The results show that the transmission can approach 1 with fringe contrast as low as 0.01.

P19-04

High-efficiency, Large-area and Color-stable Flexible Organic Light-emitting Diodes using an Ultra-thin Metal Electrode, Cheng Zhang, Qingyu Huang, Qingyu Cui, Chengang Ji, Zhong Zhang, Suling Zhao* and L. Jay Guo, University of Michigan-Ann Arbor, MI, *Institute of Optoelectronics Technology, Beijing Jiaotong University, China

We demonstrate centimeter-size, color-stable flexible OLEDs using an ultra-thin Ag electrode. The device shows ~35% enhanced current efficiency compared to the ITO-based counterpart, stable emission colors even at large observation angles, and bending stability over 1000 circles.

P19-05

ICP-RIE Etching of Sputtered Deposited SiO2 Thin Films for Fabrication of Oxide-Cladding AlN Photonic Crystals, E. G. Melo, M. N. P. Carreño, M. I. Alayo, A. R. Vaz*, University of São Paulo, *University of Campinas

In recent works we have proposed and theoretically investigated solutions based on oxide-cladding AlN photonic crystals designed to get more compatibility with CMOS fabrication processes. In this work we report the etching of SiO2 thin films, that is one of the critical points in the fabrication of the proposed devices.

P19-06 (Invited)

Patterning of electrically tunable, light-emitting photonic structures made of erbium doped zinc oxide, E. Penzo, Y. Wang*, S. Dhuey, S. Romano**, S. Sassolini, V. Mocella**, L. Dal Negro*, S. Cabrini, The Molecular Foundry, Lawrence Berkeley National Laboratory, * Boston University, **Institute for Microelectronics and Microsystems, Italian National Research Council

We report a scalable process to fabricate electrically tunable, light-emitting photonic structures made of optically-active and electrically-conductive erbium doped zinc oxide. Such structures are expected to produce a dramatic enhancement of the electromagnetic fields due to enhanced light-matter coupling at topologically protected states called Bound States in the Continuum.

P19-07

Investigation of Quantum Tunneling Effects in Gap Plasmon using Collapsible Nano-fingers, B. Song, Y. Yao, Y. Wang, H. Liu, Y. Li, R. E. Groenewald, S. Haas, S. Cronin, A. Schwartzberg*, S. Cabrini*, W. Wu, University of Southern California, *Lawrence Berkeley National Laboratory

We have reported successful fabrication of sub-5 nm gap plasmonic structures with precisely controlled nano-gaps by using collapsible nano-fingers. After realizing well-controlled finger collapse manner and high collapse rate over large area, we successfully tune the tunneling barriers for electrons by using different ALD dielectric material to cover nano-fingers.

P20-01

Ring cathode electron beam projection lithography, W.K. Ang, A. Khursheed, C. Peeris, V. Ng, K.L. Zhong

Numerical simulations predict better ring width resolution for a ring-shaped pattern imaged directly from a ring cathode with conventional hole-aperture compared to conventional point source with patterned aperture. Ring cathode emitter has potential for ring pattern generation in electron-beam lithography where high spatial resolution is combined with high throughput.

P20-02

A Spirothiopyran based photoresist for large area sub-diffraction nanopatterning, H. Vijayamohanan, Rensselaer Polytechnic Institute

By combining the photochromic switching in Spirothiopyran with thiol-ene click chemistry, we present a low saturation threshold writing system suitable for large area direct writing in 3D with sub-diffraction resolutions.

P20-03

In Situ Purification and Characterization of Direct-Write Nanostructures Fabricated using Electron Beam Induced Deposition, Brett B. Lewis, Jason D. Fowlkes*, Xiahan Sang*, Pushpa R. Pudasaini, Brittnee A. Mound, Michael G. Stanford, Raymond R. Unoci*, George M. Pharr, Philip D. Rack, Robert Winkler**, Harald Plank**, University of Tennessee, *Center for Nanophase Materials Science, **Graz University of Technology

Here we present in situ techniques for the purification and mechanical characterization of EBID nanostructures. Platinum structures are grown with a purity that results in a 100-fold increase in electrical conductivity. Mechanical strengths are demonstrated to be very high on the order of Gigapascals.

P21-01

Structural Breakdown of Suspended Strained Silicon NanoWires by Exposure during Scanning Electron Microscopy Analysis, L. B. Spejo, J. L. Arrieta, A. D. de Barros, A.R. Vaz, I. Doi, L. T. Manera, J. A. Diniz, R. Minamisawa*, University of Campinas, *Fachhochschule Nordwestschweiz

The originality of our work is based on the demonstration of the physical phenomenon of structural breakdown of suspended strained silicon nanowires by exposure during scanning electron microcopy analysis. The analysis shows a relation between the dimensions of the nanowire and the structural breakdown time.

P21-02

Repair of discontinuous interference fringes in electron hologram by using the relaxation method, K. Miura, Y. Midoh, Y. Murakami*, K. Nakamae, Osaka University, *Kyushu University

Electron holography is used to measure electric and magnetic field inside and on the surface of the material. We propose a method to repair discontinuous interference fringes in electron hologram by using the relaxation method, and report results of experimental application.

P21-03

The Mass-filtered Ion Gauge (MFIG), a vacuum-contamination sensor for yield enhancement, D.J. Maas, P. Muilwijk, M. van Putten, F. de Graaf, O. Kievit, P. Boerboom and N. Koster, TNO

This contribution discusses some beam-induced contamination mechanisms in UHV and presents laboratory and field-test data to demonstrate the capabilities of the latest MFIG sensor in continuously and selectively detecting high-mass contaminant molecules in (U)HV vacuum.

P21-04 (Invited)

Aberration-Corrected Quantum Electron Microscopy, M. Turchetti, C-S. Kim, R. G. Hobbs, N. Abedzadeh, K. K. Berggren, P. Kruit*, Massachusetts Institute of Technology, *Delft University of Technology

In this work, we propose and simulate a possible design for the resonant electron cavity of a quantum electron microscope. Moreover, we analyze the effect of spherical aberration on the resonance stability in such a scheme and we design and simulate two possible modifications in order to address this issue.

P21-05

Biofilm Structure of Geobacter sulfurreducens by Helium Ion Microscopy, M.C. Halsted, A. Belianinov*, M.J. Burch*, S. Kim*, S.T. Retterer*, The University of Tennessee, *Oak Ridge National Laboratory

Geobacter sulfurreducens forms unique, electrically conductive biofilms, a property that can be exploited in production and design of microbial fuel cells. In this work, we examine biofilm formation, and biofilm properties of Geobacter sulfurreducens using a Scanning Electron Microscope (SEM) as well as a Helium Ion Microscope (HIM).

P22-01

Evaluation and comparison of ZEP520A and mrPosEBR resists by electron beam and extreme ultraviolet lithography, R. Fallica, D. Kazazis, R. Kirchner, I. Mochi, H. Schift, A. Voigt*, Y. Ekinci, Paul Scherrer Institute, *micro resist technology GmbH

Two state-of-the-art non-chemically amplified resists, originally devised for electron beam, have been evaluated and compared using both electron beam and optical lithography at the extreme ultraviolet wavelength. Their sensitivity and submicrometric patterning performance are discussed in view of applications as hard masks, pattern transfer, device fabrication and greyscale lithography.

P22-02

Impact of Multilayer Imperfections on EUV OPC and Patterning, Lawrence S. Melvin III, Yudhishthir Kandel, Artak Isoyan, Sajan Marokkey, Weimin Gao, Qiliang Yan, Synopsys, Inc.

Imperfections in the multilayer EUV reflector stack pose a difficult problem for the generation of models for use in Optical Proximity Correction. This study will investigate the impact of the model of the interface region and multilayer stack in general on the final wafer CD through OPC.

P22-03

Direct testing of primary photo-electron energy generated by extreme ultraviolet (EUV) at 13.5 nm, Y. Kandel, J. Chandonait*, S. Grzeskowiak*, L. Melvin, Q. Yan, D. Wei, G. Denbeaux*, Synopsys Inc., SUNY Polytechnic Institute

Direct test of photoelectron generation mechanism of EUV photoresist pattern development model will be tested using both photons and electrons. This experiment will help validate the photoelectron model proposed in literature.

P23-01

Evaluation of RE-800 as a negative tone chemically amplified resist for electron beam lithography, Jianan Deng, Jinhai Shao, Bo Feng, Yousong Sun* and Yifang Chen, Fudan University, *Han-Top Photo-materials Co. Ltd.

In this paper, we report our evaluation work on a newly developed negative tone electron beam resist, RE-800.

P23-02

Polycarbonate as a dual-tone resist for electron-beam lithography, Nan Zheng and Xing Cheng, Southern Univ of Science and Technology

We found polycarbonate can behave as both positive and negative tone resists in EBL. The molecular change of PC in EBL is studied by Raman spectroscopy. PC nanostructures patterned by EBL are used to produce sub-10 nm structures by controlled thermal reflow.

P23-03

Chromium oxide as a hard mask material better than metallic chromium, F. Saffih*, F. Aydinoglu**, B. Cui**, *United Arab Emirates University, **University of Waterloo

One major issue in patterning Cr etching mask is low selectivity to resist because of O2 addition to Cl2 for Cr etching. We show Cr2O3 is a better mask material because it is etched 4 times faster than Cr using Cl2/O2, and is equally resistant to etching using F-based gas.

P23-04

Synthesis and Characterization of Low  Block Copolymers for Large Periodicity Patterning using Directed Self Assembly and Legacy Exposure Tools, C.L. Breaux, Haibo Li, M. Neisser*, C.L. Henderson**, Georgia Institute of Technology, *Kempur Microelectronics, **University of South Florida

Here, we synthesize and characterize the BCP poly(4-tertbutylstyrene)-b-poly(propyl methacrylate) as a useful block copolymer for 248 nm lithography. The χ for PtBS-b-PPMA is expected to be lower than that of PS-b-PMMA, leading to a BCP that permits less kinetically trapped defects, makeing annealing of large features easier than for PS-b-PMMA.

P23-05

Crosslinkable Photoacid Generators for Ultrahigh Loading in Epoxide Functionalized Molecular Resists, Hannah Narcross, Brandon Sharp, Clifford Henderson, Institute of Technology

Photoacid generators (PAGs) functionalized with polymerizable groups are reported for use in ultra-high PAG loading crosslinking resists.  PAGs functionalized with combinations of phenols and epoxides have been studied in epoxide functionalized negative tone molecular resists.

P24-01 (Invited)

Focused Helium Ion Beam Irradiated Josephson Junctions and Arrays, Yuchao W. Zhou, Ethan Y. Cho, Shane A. Cybart, University of California, Riverside

We will present high temperature superconducting Josephson junctions and arrays with various widths irradiated with a focused helium ion beam. These devices show the sensitivity and large dynamic range that are suitable for wide band advanced communications.

P25-01

Oxidation sharpening of silicon tips in 'air' environment, Multiple authors same affilliations: R. K. Dey, J. Shen, B. Cui, Waterloo Institute for Nanotechnology (WIN), University of Waterloo, Waterloo, ON, Canada

The essential oxidation sharpening for AFM probe is usually carried out in dedicated furnace, applicable only for wafer of tips. Here we demonstrate that oxidation sharpening can also be attained using generic box furnace oxidation in air, and can be utilized to regenerate sharp tips out of worn out tips.

P25-02

4D Chemical Nanolithography, C. Carbonell, A.B Braunschweig, Advanced Science Research Center, City University of New York

Combining massively parallel scanning probe nanolithography, microfluidics, and brush-polymer chemistry, we have achieved 4D micromanufacturing, where the four dimensions are the Cartesian coordinates (x,y,z) and the chemical composition of each spot in an array. This manufacturing approach could pave the way towards materials with the complexity common to biological interfaces.

EIPBN Abstracts