EIPBN

EIPBN 2022 Table of Contents


Section Abstract and Authors
Wednesday, June 1, 2022
8:30 am – 11:00 am CST
Plenary Speakers
Plenary 1
Scaled Additive Manufacturing of Industrially Relevant Polymers with Scanning Multi-DLP® Adaptive LightBarsTM: Implicit Data Representations and Synthetic Deconvolution, Walter Everett Voit, University of Texas at Dallas
Plenary 2 Analog Memory-Based Techniques for Accelerating Deep Neural Networks, HsinYu Sidney Tsai, IBM Research AI at Almaden Research Center in San Jose, CA
Plenary 3 Integrated Lithium Niobate Photonics, Marko Lončar, Harvard University
Wednesday, June 1, 2022
1:20 pm – 2:30 pm CST
Session 1A – Advanced Ion Beam Technologies
Session Chairs: Sam Stavis, NIST & Lars Thorben Neustock, Stanford University
1A-1 (Invited)
Advanced Ion Beam Technologies
Ion Microscopy, Machining, and Elemental Analysis with the Cesium Low Temperature Ion Source (LoTIS), A.V. Steele, A. Schwarzkopf, B. Knuffman, zeroK NanoTech

We present results from focused ion beam and elemental analysis instruments featuring the Cs+ Low Temperature Ion Source (LoTIS). These systems can deliver the highest precision nano machining and the highest-resolution SIMS elemental maps.

1A-2
Advanced Ion Beam Technologies

Selective growth graphene films on Gallium-FIB irradiated domains, J. Gierak*, G. Raynaud*, C. Guiziou*, J.R. Coudevylle*, A. Madouri*, C. David*, J.C. Girard*, L. Bruchhaus**, A. Nadzeyka**, B. Whittman** and R. Jede**, *C2N, **Raith GmbH

In this work we detail our investigations on using a precise Ga+ ion irradiation to selectively promote the growth of graphene surface domains. Graphene films after FIB patterning are grown using chemical vapor deposition technique onto the FIB patterned copper foil used as the catalytic substrate for graphene growth.

1A-3
Advanced Ion Beam Technologies

Simulation and Testing of Helium-Ion Machined Fluidic Nanochannels, J.R. Wilson, H.T. Rakotomanana, K.L. Klein, A.E. Vladar*, University of the District of Columbia, *National Institute of Standards and Technology

We have developed new methods for producing fluidic structures via a direct-write process in silicon using the focused helium ion beam. This paper presents the simulation and testing of these nanofluidic structures, contributing toward a method for rapid-prototyping of complex fluidic devices.

Wednesday, June 1, 2022
1:20 pm – 2:50 pm CST

Session 1B – Electron and Ion Beam Sources and Optics
Session Chairs: Aaron Stein, BNL & Elizabeth Dobisz, Stanford University

1B-1 (Invited)
Electron and Ion Beam Sources and Optics

Using rockets in nanomanufacturing, C. S. Perez-Martinez, London Centre for Nanotechnology, University College London

Ionic Liquid Ion Sources (ILIS) are devices with applications ranging from space propulsion to nanomanufacturing. A micro-tip emitter infused with ionic liquid is subjected to an electric field to trigger ion evaporation. ILIS could provide a wide range of ions, including negatively charged species, for materials processing applications.

1B-2
Electron and Ion Beam Sources and Optics

A New Regime of Nanosecond Photoemission from a Schottky Tip, J. L. Reynolds, Y. Israel, A. J. Bowman, B. B. Klopfer, M. A. Kasevich, Stanford University

We trigger photoemission from a Schottky tip with nanosecond laser pulses. We study the dependence of the photocurrent and the pulses' energy distributions on the laser pulse energy and polarization, and we suggest that single-photon absorption is sufficient for over-the-barrier emission in this unexplored Schottky-enhanced photoemission regime.

1B-3
Electron and Ion Beam Sources and Optics

Development and SEM integration of the Nano Aperture Ion Source, M.L. Simons, W.D. Laur, T. van den Brink, P. Kruit, C.T.H. Heerkens, A. Mahgoub

To improve the Nano Aperture Ion Source (NAIS), the chip design has been altered to improve production yield and stability. EBID structures on chip are included and optimized by Rarefied gas simulations. Also new setup is developed to include small ion beam spot sizes by combining SEM and FIB columns.

1B-4
Electron and Ion Beam Sources and Optics

Novel FIB nanofabrication strategies with Lithium and Bismuth ions from GaBiLi Liquid Metal Alloy Ion Sources, T. Richter, A. Nadzeyka, P. Mazarov, F. Meyer, Raith GmbH, Konrad-Adenauer-Allee 8, 44263 Dortmund, Germany

We present high resolution milling results and novel workflows using LMAIS containing Lithium and Bismuth ions. Both are emitted simultaneously with following ion separation. Our 2-step approach takes advantage of large volume milling with Bismuth ions and subsequent high resolution fine shaping using Lithium ions from the same source.

Wednesday, June 1, 2022
1:20 pm – 2:50 pm EST
Session 1C – Advanced Pattern Transfer
Session Chairs: Qiangfei Xia, University of Massachusetts & Christian Helke, Chemnitz University of Technology
1C-1 (Invited)
Advanced Pattern Transfer

Nanofabrication via Laser Interference Lithography, C.-H. Choi, Stevens Institute of Technology

In this invited talk, the versatility of the laser interference lithography for the various routes to novel nanofabrication processes will be presented.

1C-2
Advanced Pattern Transfer

Embedded HSQ Nanostructures in GaAs Homoepitaxy by MOCVD and MBE, Aimee Bross Price, Steven A. Ringel, Tyler J. Grassman, The Ohio State University

Understanding the interaction of fabrication techniques and epitaxial growth details for embedded dielectric structures are critical to their design and use. This work explores homoepitaxial MBE and MOCVD GaAs over HSQ nanoscale grids patterned by electron beam lithography (EBL) as analyzed by AFM and SEM.

1C-3
Advanced Pattern Transfer

Do you know where your focused ion beam is? Placement correction by localization microscopy, Andrew C. Madison, Craig R. Copeland, Ronald G. Dixson, B. Robert Ilic, J. Alexander Liddle, Samuel M. Stavis, National Institute of Standards and Technology

Feature placement is critical in applications of focused ion beams, and the assumption of placement accuracy is questionable but common in research. We apply optical localization microscopy to reveal complex errors of feature positions across a patterning field. We introduce a correction that improves placement accuracy by orders of magnitude.

1C-4
Advanced Pattern Transfer

Controlling the Etch Selectivity of Silicon using Inductively Coupled Plasma Etching with HBr, Kun-Chieh Chien, Chih-Hao Chang, Walker Department of Mechanical Engineering, The University of Texas at Austin

We present a simple technique, without the need for special materials, tools, and processes, for the high aspect ratio silicon nanostructure fabrication. This approach utilizes the inductively coupled plasma reactive ion etching (ICP-RIE) with the low frequency (RF) power setting to enhance the etching selectivity by favoring chemical etching.

Wednesday, June 1, 2022
3:40 pm – 5:00 pm CST
Session 2A – 3D Micro and Nanolithography
Session Chairs: Martha Sanchez, Applied Materials & Michael Cullinan, University of Texas at Austin
2A-1
3D Micro and Nanolithography

Fabrication of Multilayer Photonic Reflectors using Periodic Nanolattices, Vijay Anirudh Premnath, I-Te Chen, Kun-Chieh Chien, Chih-Hao Chang, University of Texas at Austin

The research focuses on fabrication of 3D multilayer periodic nanostructures with sequential steps starting with self-assembly of nanospheres, followed by lithography, ALD (Atomic Layer Deposition), and Electron Beam deposition. The presence of a stack-up of porous and solid nanolayers improves the optical, and thermal properties with widespread applications in photonics.

2A-2
3D Micro and Nanolithography

Coupling to Slab Modes in Suspended 3D Optical Metamaterials, D. B. Burckel, K. M. Musick, Sandia National Laboratories

Membrane projection lithography is used to create suspended 3D unit cells designed for metamaterial operation in the infrared spectral regime with total thickness of < 4 micrometers. The scattering behavior of these structures contains elements of the periodic arrays, of the 3D inclusions, thin film effects and slab waveguide modes.

2A-3
3D Micro and Nanolithography

3D shaping of multi-layers stack using a single plasma etching step and greyscale electron-beam lithography, R. Dawant, S. Ecoffey, D. Drouin, Université de Sherbrooke - 3IT

We present a method to shape 3D structures in a multi-layer stack by a single plasma etching step using greyscale Ebeam lithography, based on a calibration curve. On the targeted area, we succeed to control the etching stop in a 30nm layer inside the stack to fabricate neuromorphic circuits.

Wednesday, June 1, 2022
3:40 pm – 5:00 pm CST
Session 2B – Nanofabrication for Neuromorphic Computing
Session Chairs: Maxim Zalalutdinov, U.S. Naval Research Laboratory & Pradeep Namboodiri, National Institute of Standards and Technology
2B-1
Nanofabrication for Neuromorphic Computing

Diffusive Memristors with Uniform and Tunable Relaxation Times, Fan Ye and Qiangfei Xia, University of Massachusetts Amherst

In this work, we designed and fabricated diffusive memristors with uniform and tunable relaxation times. Among 500 switching cycles, 499 showed a relaxation time between 0 to 1ms. The relaxation time can be tuned from 2.3ms to 1µs (3 orders of magnitude).

2B-2
Nanofabrication for Neuromorphic Computing

Challenges and opportunities of in-house integration of memristor devices on foundry CMOS, Imtiaz Hossen*, Brian Hoskins**, Advait Madhavan**, Jabez J. McClelland** and Gina C. Adam*, *The George Washington University, **National Institute of Standards and Technology

In this work, we discuss several challenging factors for in-house academic integration of memristors with good alignment and yield.

2B-3
Nanofabrication for Neuromorphic Computing

P(EDOT-co-3HT) Organic Electrochemical Transistor Grown by Oxidative Chemical Vapor Deposition, J. Cheng, W. Lu, H. Sun*, X. Wang*, The Ohio State University, Department of Electrical and Computer Engineering, *The Ohio State University, Department of Chemical and Biomolecular Engineering

Organic electrochemical transistor has been proposed with applications in low-power electronics. High quality P(EDOT-co-3HT) films were grown by oxidative chemical vapor deposition. The as-grown film demonstrated promising material qualities and electronic properties. As-fabricated devices are shown with excellent device performance of 3.6 μA/μm and high on/off ratio larger than 1E4.

2B-4
Nanofabrication for Neuromorphic Computing

Memristor-based linear and quadratic programs solver, Buyun Chen, Ye Zhuo, Zerui Liu, Sushmit Hossain, Yunxiang Wang, Hao Yang, Deming Meng, Mark Barnell*, Qing Wu*, Joshua Yang, Wei Wu , Ming Hsieh Department of Electrical Engineering, University of Southern California, *Air Force Research Laboratory

Optimization solver has wide applications. One of the key challenges is solving an optimization problem in real-time. Over several decades, many researchers tried to address this issue via different approaches. The memristor crossbar-based analog optimization solver we are about to demonstrate may solve this problem.

Wednesday, June 1, 2022
3:40 pm – 5:00 pm CST
Session 2C - Nanofabrication for Quantum Computing
Session Chairs: Patrick Braganca, Western Digital Corporation & Joshua Pomeroy, National Institute of Standards and Technology
2C-1
Nanofabrication for Quantum Computing

Fabrication of Microwires on Reduced Indium Tin Oxide Nanoparticle Thin Films, E. Batson*, M. Colangelo*, J. Simonaitis*, E. Gebremeskel*, M. Saravanapavanantham*, J. Nordlander**, M. Anderson**, E. Ortega Ortiz**, M. King***, S. Hurst***, J. Mundy**, V. Bulovic*, D. Keathley*, K. K. Berggren*, *MIT, **Harvard, ***Northern Arizona University

Optical absorption in superconducting electronics can limit the performance of technologies such as quantum networks or superconducting detectors that need both optics and superconductors on the same chip. Development of transparent superconductors could mitigate this problem. We fabricate microwires out of superconducting reduced ITO and study their performance.

2C-2
Nanofabrication for Quantum Computing

Fabrication technology for high-performance superconducting nanowire single-photon detectors, Marco Colangelo, Owen Medeiros, Andrew D. Beyer*, Boris Korzh*, Matthew D. Shaw*, and Karl K. Berggren, Department of Electrical Engineering and Computer Science, Massachusetts Institute of Technology, *Jet Propulsion Laboratory, California Institute of Technology

In this paper, we describe the fabrication process at the foundation of our superconducting nanowire single-photon detectors. We provide a detailed overview of the several phases of the process, from substrate conditioning to detector packaging, with a particular focus on electron beam lithography.

2C-3
Nanofabrication for Quantum Computing

Comparison of two NbTiN-nanowire patterning methods for fabrication of single-photon detectors, A. Azem, K. Awan, M. Mitchell, L. Chrostowski, J. F. Young: Stewart Blusson Quantum Matter Institute, University of British Columbia, A. Azem, L. Chrostowski: Department of Electrical and Computer Engineering, UBC, J. F. Young: Department of Physics and Astronomy, UBC

Niobium-titanium nitride is a superconducting material that is commonly used for superconducting nanowire single-photon detectors. The device design, nanowire geometry and material properties dictate the SNSPD performance. This work aims to produce the narrowest constriction-free nanowires to demonstrate efficient detectors.

2C-4
Nanofabrication for Quantum Computing

Developing silicon MOS quantum dots with integrated plasma oxidized AlOx SETs, R. Li, Y. Hong, P. Namboodiri, J. M. Pomeroy

Introduction to the work on Al-based single-electron transistors. Modeling and future refinement for hybrid MOS quantum dots with Al-based SET charging sensor.

Thursday, June 2, 2022
8:00 am – 9:40 am CST
Session 3A – Industrial Highlights
Session Chairs: Aimee Price, Ohio State University & Guy DeRose, California Institute of Technology
3A-1
Industrial Highlights

XRnanotech: Advanced nanolithography - Unlocking unprecedented potential of nanostructured optical elements, F. Döring, A. Kubec, F. Sander, C. Döring, J. Erjawetz, XRnanotech GmbH

At XRnanotech we use electron-beam nanolithography, two-photon polymerization, direct laser writing and combinations of these techniques to offer outstanding solutions mainly in the field of diffractive optical elements.Our goal is to push the limits of diffractive optics by continuously improving their resolution and efficiency.

3A-2
Industrial Highlights

3 beams for "3Beams", F. Nouvertné, T. Richter, O. Carel, Raith

Most recently, new equipment has been added to Raith´s product portfolio thus having systems with 3 different beam technologies at its disposal. Perfectly complementing Electron Beam Lithography and Focused Ion Beam Nanofabrication, maskless photolithography or Laser Beam Lithography, respectively, now significantly extends the range of possible applications with Raith equipment.

3A-3
Industrial Highlights

Next chapter in Nanoprototyping in the new generation of FIBSEM systems, Milos Hrabovsky, Tomas Samoril, Jiri Dluhos, TESCAN ORSAY HOLDING, Miroslav Jurasek, Alena Siudova, TESCAN Brno

Nanoprototyping as a discipline is evolving at a fast pace and the industry providing the equipment must evolve with it. As an answer to the fast evolution of the industry, Tescan steps away from the “one module fits all” design to providing dedicated tools for specific groups of users.

3A-4
Industrial Highlights

Automated overlay with the NanoFrazor, J. Vergés, E. Cagin, C. Dunare*, K. Dodds*, P. Lomax*, Heidelberg Instruments Nano AG, *The University of Edinburgh

The NanoFrazor technology solves complex nanofabrication challenges by patterning and simultaneously inspecting using thermal scanning probe lithography. The technology allows accurate markerless overlay of arbitraray structures for nanoelectronics and beyond. We present successfully implemented use-cases of automated, markerless overlay with the NanoFrazor, including nanopillars centered on pre-patterned matrices of microstructures.

3A-5
Industrial Highlights

Cell Projection - A powerful feature for efficient large area e-beam writing, Vistec Electron Beam GmbH

This talk details how the Cell Projection feature is seamlessly integrated into both the hardware and software of Vistec’s Variable Shaped Beam electron-beam lithography systems. By means of an example, the efficient generation of dense repetitive pattern on large areas and the achieved lithography performance, will be shown.

3A-6
Industrial Highlights

An STM-based Atomic-Precision Lithography Tool, J.H.G. Owen, J.N. Randall, E. Fuchs, M. Haq, and R. Santini, Zyvex Labs

We have developed an automated STM lithography control system, ZyVector, to improve the capability of a standard STM. Now, we are taking the next step towards a complete APAM tool: a UHV STM system with additional chambers and components for dopant precursor gas dosing and Si MBE.

3A-7
Industrial Highlights

Heidelberg Instruments Maskless Lithography Systems for Micro-, Nano and 3D fabrication, Christian Bach, Niels Wijnaendts van Resandt*, Heidelberg Instruments Inc., *RSBG-AMT

An overview of Heidelberg Instruments lithography solutions for various research and industry applications. These tools include heated scanning probe lithography for features down to 10nm with the nanoFrazor as well as 2photon polymerization for 3D fabrication with the newly introduced MPO100 or high speed maskless lithography with the MLA series.

3A-8
Industrial Highlights

FIBSEM laser Enabled Sample Preparation, K. Crosby, C. Hartfield, Carl Zeiss Microscopy, LLC

Case studies of FIBSEM based laser fabrication and their corresponding image analysis will be presented. Benefits for subsequent analytical characterization techniques will be discussed.

3A-9
Industrial Highlights

Allresist - Customized e-beam resists for different applications, M. Grube, M. Gerngroß, H. Biller, M. Schirmer, Allresist GmbH

Thursday, June 2, 2022
8:00 am – 9:30 am CST
Session 3B – Lithographic Materials and Processing
Session Chairs: Ricardo Ruiz, LBNL & Leonidas Ocola, IBM T. J. Watson Research Center
3B-1 (Invited)
Lithographic Materials and Processing

Evolving Approaches to EUV Photoresists: Polyacetals and Polypeptoids Offer New Options, Jingyuan Deng, Florian Kaefer, Zoey Meng*, Rachel Segalman* and Christopher K. Ober, Cornell University, *UC Santa Barbara

Low stochastics, high sensitivity photoresists remain a goal for EUV lithography. Here we present studies of two polymer systems (poly(phthalaldehydes and polypeptoids) to adjust EUV resist characteristics using two different chemical approaches. We report the results of exposure of these materials to EUV radiation and the chemical changes that occur.

3B-2
Lithographic Materials and Processing

Designing Hydrogen Silsesquioxane: Control Over Particle Size, Shelf Life, and Sensitivity, R. T. Endean*,**, D. M. Antoniuk**, V. K. Michaelis*, J. G. C. Veinot*, L. Veinot**, *University of Alberta, **Applied Quantum Materials Inc.

Applied Quantum Materials Inc. (AQM) is a supplier of hydrogen silsesquioxane (HSQ). HSQ variants have been designed, prepared, and commercialized. Light scattering methods were employed to determine the variant's particle diameters. The influence of particle size on spin coating is presented. A lithography example with a variant is also presented.

3B-3
Lithographic Materials and Processing

Flexible photopolymer based optical waveguides for optogenetic applications, C. Helke, M. Arnold, F. Schwenzer, C. Goßler*, M. Reinhardt*, M. Wachs*, D. Keppeler**, T. Moser**, U. Schwarz*, D. Reuter, H. Kuhn, Center for Microtechnologies, Chemnitz University of Technology, *Experimental Sensors, Chemnitz University of Technology, **Institute for Auditory Neuroscience and InnerEarLab, University Medical Center Göttingen

Implantable medical devices such as cochlear implants play an important role to improve health conditions, monitoring and diagnosis. Here, the fabrication of optical for final use in cochlea implants will be shown. The fabrication of the waveguides is done by lithography and different microelectronic fabrication steps at 6" silicon wafers.

3B-4
Lithographic Materials and Processing

Effect of water vapor pressure on positive and negative tone electron-beam patterning of PMMA, D. Kumar, J. T. Hastings, University of Kentucky

Variable Pressure - Electron Beam Lithography was conducted to study the effect of water vapor pressure on patterning PMMA. Water vapor does not appear to alter the radiation chemistry of positive-tone exposure. However, water vapor does alter the negative tone behavior in a manner consistent with simultaneous cross-linking and etching.

Thursday, June 2, 2022
8:00 am – 9:30 am CST
Session 3C – Advanced Lithography and Processing I
Session Chairs: Peter F. Satterthwaite, MIT & Andrew Madison, NIST
3C-1 (Invited)
Advanced Lithography and Processing I

High-Throughput Patterning of Sub-Diffraction 3D Structures Through Projection of Femtosecond Light, S.K. Saha, G.W.W. School of Mechanical Engineering, Georgia Institute of Technology

High-throughput fabrication of 3D structures with sub-diffraction nanoscale features is highly desirable but is challenging. Although two-photon lithography (TPL) can create nanoscale 3D features, commercial systems are too slow. Here, we present a technique based on parallelization of TPL that increases the printing rate by more than a thousand times.
3C-2
Advanced Lithography and Processing I

Yield, cooperativity, and prospects for nucleic acid nanofabrication, Jacob Majikes, Michael Zwolak, Paul Patrone, Anthony Kearsley, J. Alexander Liddle, National Institute of Standards and Technology

Nucleic acid nanotechnology will not compete with semiconductor manufacturing, but has great potential to act as a tool to enable the combination of microelectronic and biological functionalities. We describe the precise measurements of assembly pathways and system thermodynamics needed to enable the design and implementation of such semi-bio interfaces.

3C-3
Advanced Lithography and Processing I

Markerless chip-scale fabrication of FETs by mix and match of thermal scanning probe lithography and direct laser writing, J.Chaaban, N. Hendricks, E. Çağin, M. Gerngroß*, M. Schirmer*, H. Wolf**, D. Widmer**, U. Drechsler**, A. W. Knoll**, Heidelberg Instruments Nano AG, *Allresist GmbH, **IBM Research - Zurich

Thermal scanning probe lithography reaches resolutions down to 10nm but has relatively modest throughput. Therefore, direct laser sublimation of resist is introduced for significantly faster patterning of lower-resolution features. Here, we demonstrate combined tip and laser patterning for chip-scale fabrication of field effect transistor devices with channel widths of 15–50nm.

3C-4
Advanced Lithography and Processing I

Real-time Sub-Micrometer Resolution: Tracking Silver Intercalation with Photoemission Electron Microscopy, F. Niefind*,**, C. Dong***, R. A. Maniyara***, J. A. Robinson***, S. Pookpanratana*, *NIST, **University of Maryland, ***Pennsylvania State University

We track the de- and re-intercalation of silver through a graphene sheet, grown on silicon carbide, in real-time and with sub-micrometer resolution. The experiment was conducted in ultra-high vacuum by means of a photoemission electron microscope, a surface sensitive, full field imaging instrument.

Thursday, June 2, 2022
10:10 am – 11:40 am CST
Session 4A – Atomically Precise Fabrication
Session Chairs: John Randall, Zyvex Labs & Scott Lewis, University of Manchester
4A-1 (Invited)
Atomically Precise Fabrication

Solid State, Atom-based Devices for Analog Quantum Simulation, Richard Silver1, Fan Fei2, Ehsan Khatami3, Xiqiao Wang4, Jonathan Wyrick1, Pradeep Namboodiri1, Albert Rigosi1, and Garnett Bryant1, 1National Institute of Standards and Technology, 2University of Maryland, 3San Jose State University, 4University of Maryland

We use atomically precise fabrication to develop devices for quantum information processing. We use hydrogen-based scanning probe lithography for deterministic placement of individual dopant atoms with atomically aligned gates to fabricate single/few atom transistors, few-donor/quantum dot devices for spin manipulation, and arrayed few-donor devices for analog quantum simulation.

4A-2
Atomically Precise Fabrication

Atomic Precision Advanced Manufacturing for Ultraprecise 2D Bipolar Devices, J. H. G. Owen, R. Santini, E. Fuchs, J. P. Mendez*, X. Gao*, D. Mamaluy*, S. Misra* and J. N. Randall, Zyvex Labs *Sandia National Laboratories

We are using STM-based atomically precise fabrication to make bipolar 2D devices. We describe the fabrication process of an atomically precise 2D p-n junction, and use a semiclassical technique to model the properties of these devices, so as to understand the operation of these 2D bipolar devices.

4A-3
Atomically Precise Fabrication

Towards atomic-scale readout of acceptor cluster states in p-doped silicon, T. Chutora*, M. Yuan*, C. Leon*, F. Altincicek* , R. Achal*, J. Croshaw*, L. Livadaru**, J. Pitters***, R. Wolkow*, *University of Alberta, **Quantum Silicon, Inc.,***Nanotechnology Research Centre

We coupled a subsurface born cluster to a dangling bonds wire created using atomic manipulation on the hydrogen-terminated Si surface. We then characterized its electronic properties using scanning tunnelling spectroscopy.

4A-4
Atomically Precise Fabrication

Surface gating for atomic scale dopant devices, Pradeep Namboodiri, Jonathan Wyrick, Xiqiao Wang, Christopher Sherald, Fan Fei, Joseph Fox, Joshua Pomeroy, and Richard Silver, National Institute of Standards and Technology USA

We present a single electron quantum device with a central island and a set of two in-plane gates used to capacitively control current with the integration of top gate using an e-beam grown HfO2 dielectric layer. We demonstrate expanded gating range and improved tunability using the top gate.

Thursday, June 2, 2022
10:10 am – 11:40 am CST
Session 4B – Emerging Technologies I
Session Chairs: Chris Ober, Cornell University & Owen Medeiros, MIT
4B-1 (Invited)
Emerging Technologies I

Real-time fast reconfigurable grating for neutron and x-ray interferometry, S.M. Robinson*, R.P. Murphy**, J.M. LaManna*, C.M. Wolf**, Y. Kim*,****, M.C. Daugherty*, M.G. Huber*, P.N. Bajcsy***, D.L. Jacobson*, P.A. Kienzle**, K.M. Weigandt**, D.S. Hussey* and N.N. Klimov*, *PML, National Institute of Standards and Technology, **NCNR, National Institute of Standards and Technology, ***ITL, National Institute of Standards and Technology, ****Department of Chemistry and Biochemistry, UMD,

We report on the development of a world-first DynAmic ReconfIgUrable Source grating (DARIUS) for neutron and x-ray beams. We provide nanofabrication details and device performance. We also describe how DARIUS can be used for next-generation neutron interferometric microscopy currently being developed at NIST.

4B-2
Emerging Technologies I

Tilted Etching of Microstructure Arrays via Local Electric Field Modulation, Z. Shi, K. Jefimovs*, A. La Magna**, M. Stampanoni, L. Romano, ETH Zurich, *Paul Scherrer Institut, **CNR IMM

Control of the slant angle during the plasma etching is realized by introducing a set of electric field modulators. The angle modulation is simulated with a finite element method and fan-shaped gratings for X-ray Optics are realized, enabling new etching capabilities for optical devices and applications, such as augmented/virtual reality.

4B-3
Emerging Technologies I

Advances in Electrochemical Nanoimprinting of Silicon: New Tooling and Stamp Materials, A. Sharstniou, E. Dasignor, B. Azeredo, Arizona State University

Wet-chemistry and catalysis come together to create an etching-based technique to pattern silicon (namely, metal-assisted chemical imprinting) that selectively induces anisotropic etching at the contact points of the semiconductor-catalyst interface. Novel mesoporous polymeric stamps coated in gold and pressure-based immersion imprinting strategies are presented to overcome mass-transport and non-uniformity limitations.

4B-4
Emerging Technologies I

Refractive free-form micro-optical elements and phase plates in lithium niobate by high-current focused Xe ion beam milling, S. Gorelick, A. de Marco

Refractive micro-optical components are typically limited in their surface profiles to focusing lenses (parabolic) and axicons (conical). Here, we demonstrate the fabrication and optical characterization of complex refractive optical elements that are not constrained to a particular shape and without axis of symmetry such as angle-modulated lenses and spiral-phase-plates.

Thursday, June 2, 2022
10:10 am – 11:40 am CST
Session 4C – Micro and Nanoscale Additive Manufacturing
Session Chairs: Chih-Hao Chang, University of Texas at Austin & Kate Klein, University of the District of Columbia
4C-1 (Invited)
Micro and Nanoscale Additive Manufacturing

Microscale Additive Manufacturing of Metal Interconnects using Microscale Selective Laser Sintering, M. Cullinan, The University of Texas at Austin

We have developed a new microscale additive manufacturing process called microscale selective laser sintering (μ-SLS) that has the potential to overcome many of the current limits in advanced packaging applications, through the direct, high-throughput fabrication of 3D metal structures with feature sizes of less than 5 μm.

4C-2
Micro and Nanoscale Additive Manufacturing

Novel use of nanoengineered porous powders for increased laser beam absorption in metal Additive Manufacturing, N. Kublik, S. Niauzorau, B. Azeredo, Arizona State University

Much has been explored about the fabrication of nanostructures in metal Additive Manufacturing, along with nanoparticles for properties improvement. Here, a novel strategy is proposed by using conventional-scaled copper powders with nanoengineered ligaments and enhanced optical properties. Results indicate promising achievability of printing copper at high speeds and low power.

4C-3
Micro and Nanoscale Additive Manufacturing

Color Printing by Polymer-Assisted Photochemical Deposition of Metallic Thin Films, S. Choi, Z. Zhao, J. Zuo, H. M. R. Faruque, Y. Yao, C. Wang, Arizona State University

We demonstrated micro-scaled structural color printings (SCPs) by employing our recently developed additive printing technology, i.e. polymer-assisted photochemical deposition (PPD) without conventional photolithography processes. Complex images with ultra-thin film thickness (< 5nm) and a spatial resolution down to ~ 6.5 um were successfully demonstrated on both rigid and flexible substrates.

4C-4
Micro and Nanoscale Additive Manufacturing

Lensless holographic endoscopes realized with direct laser writing, Multiple authors different affiliations: W. Mantei, J. Wiedenmann, B.Stender, E. Scharf*, R. Kuschmierz*, J. Czarske*, Multiphoton Optics GmbH, *TU Dresden

Two photon polymerization enables the fabrication of passive optics directly on photonic devices. As an example, we present work on a multicore fiber with a printed DOE, for real 3D imaging. It is shown how the DOE is fabricated rapidly and stitching-free with high alignment precision using maskless 3D lithography.

Thursday, June 2, 2022
1:40 pm – 3:50 pm CST
Session 5A – Advanced Lithography and Processing II
Session Chairs: Regina Luttge, Eindhoven University of Technology & Carla Perez Martinez, University College London
5A-1 (Invited)
Advanced Lithography and Processing II

Soft-Matter Hierarchical Assemblies for Nanopatterning Applications, Boyce Chang, Whitney Loo*, Beihang Yu, Scott Dhuey, Ricardo Ruiz, Lawrence Berkeley National Laboratory, *The University of Chicago

Macromolecular self-assembly with hierarchical order has evolved to become an important and valuable tool for bottom-up patterning and fabrication at the nanometer scale. In the current lithography landscape where precision is gaining relevance over resolution, the high degree of uniformity and functionality of self-assembling hierarchical materials offers new valuable options.

5A-2
Advanced Lithography and Processing II

EUV Lithography using Colloidal Nanoparticles, S. Mohanty, K.-C. Chien, V. A. Premnath, C.-H. Chang, The University of Texas at Austin

Traditionally several top-down lithography processes have been used to obtain high resolution features but using methods such as EUV interference lithography has several challenges that need to be overcome. In this work, we propose the use of EUV light coupled with nanosphere lithography to pattern scalable sub-100 nm feature nanostructures.
5A-3
Advanced Lithography and Processing II

High-speed and High-resolution Readout of Multilevel Encoded DNA Origami by Sapphire-supported Nanopores, Pengkun Xia, Md Ashiqur Rahman Laskar, Xu Zhou, Deeksha Nolastname, Gde Bimananda Mahardika Wisna, Yinan Zhang, Rizal Hariadi, Hao Yan, Chao Wang

Information-rich biomaterial has emerged as a novel platform for information storage, processing and secure communication. We demonstrated to use our lab-developed low-noise sapphire-supported nanopore to read out the message on the DNA origami with high accuracy and multilevel resolution, paving way for high-capacity and high-security DNA cryptography with high-resolution readout.

5A-4
Advanced Lithography and Processing II

High aspect ratio nanostructured surfaces by metal assisted chemical etching of silicon in gas phase, L. Romano, Z. Shi, K. Jefimovs, M. Stampanoni, ETH Zürich and Paul Scherrer Institute.

Metal assisted chemical etching in gas phase demonstrated the fabrication of nanostructures with unprecedented ultra-high aspect ratios up to 10’000:1. An interesting and promising low-cost 3D nanofabrication bypassing the limits of reactive ion etching for several applications, such as X-ray optics, photonics, MEMS, sensors and nanostructured bio-interfaces.

5A-5
Advanced Lithography and Processing II

Biomimetic Lipid Membranes Patterning with FluidFM, E. Berganza, M. Hirtz, Institute of Nanotechnology (INT) & Karlsruhe Nano Micro Facility (KNMF), Karlsruhe, Institute of Technology (KIT)

We have used the FluidFM technology to fabricate biomimetic membranes for the first time, gaining flexibility in the lipid inks and patterning environment. The results should prompt the creation of more realistic biomimetic membranes with arbitrary complex phospholipid mixtures, cholesterol, and potential functional membrane proteins directly patterned in physiological environment.

5A-6
Advanced Lithography and Processing II

Fabrication of Microstructures on Curved Hydrogel Substrates, M. Chen, X. Liang, University of Michigan

Thursday, June 2, 2022
1:40 pm – 3:10 pm CST
Session 5B – Nanophotonics and Nanoplasmonics I
Session Chairs: Nikolai Klimov, NIST & Sourabh Saha, Georgia Institute of Technology
5B-1 (Invited)
Nanophotonics and Nanoplasmonics I

Chip-Integrated Full-Stokes Polarimetric Imaging Sensor, Jiawei Zuo, Jing Bai, Shinhyuk Choi, Ali Barsiri, Xiahui Chen,Chao Wang*, YuYao*

We report a chip-integrated, dual-wavelength (red: 630-670 nm and cyan: 480-520nm) full Stokes polarimetric complementary metal-oxide-semiconductor (CMOS) imaging sensor based on sub-wavelength scale metasurface polarization filter arrays (MPFA).

5B-2
Nanophotonics and Nanoplasmonics I

Large-scale ferroelectric domain inversion in thin-film periodically poled lithium niobate photonics, A. Rao, K. Srinivasan, NIST & University of Maryland

We demonstrate simulations and experimental advances covering dry etching and periodic poling for thin-film lithium niobate. We focus on scaling periodic poling towards cm2 areas as well as realizing 500 nm periods to support growing needs in quantum information processing and deployable photonic systems.

5B-3
Nanophotonics and Nanoplasmonics I

Nanostructured Waveguide for Low-Density Polariton Bose-Einstein Condensation, F. Riminucci, V. Ardizzone*, S.Cabrini, D. Gerace**, D.Sanvitto*, Lawrence Berkeley National Laboratory, * CNR Nanotec Lecce, ** Università di Pavia

The main limitation in achieving thresholdless exciton-polariton condensate is hindered by non-radiative and radiative losses that are inevitably present in microcavities. In this work we have realized a low-density polariton condensate in a horizontal cavity by suppressing radiative emission and reducing nonradiative recombination by accurate sample processing.

5B-4
Nanophotonics and Nanoplasmonics I

Decay-Free Light Radiation from Photonic Grating Enabled by Exceptional Points, Alexander Yulaev1,2, Sangsik Kim3, Qing Li4, Daron A. Westly1, Brian J. Roxworthy1, Kartik Srinivasan1, and Vladimir Aksyuk1, 1National Institute of Standards and Technology, 2University of Maryland, 3Texas Tech University, 4Mellon University

Exceptional points (EPs) lead to many unconventional physical phenomena. We report a carefully tuned periodic photonic grating with ≈ 50 % duty cycle that projects a decay-free light beam in free space when operating between EPs. Our work paves the way for dispersion engineering for generating top-hat light beams.

Thursday, June 2, 2022
1:40 pm – 3:30 pm CST
Session 5C – Micro- and Nano- Electromechanical Systems
Session Chairs: Rob Ilic, NIST & Meredith Metzler, NIST
5C-1
Micro- and Nano- Electromechanical Systems

Atomically Thin Nanoelectromechanical Systems (NEMS): Nanofabrication Techniques, Challenges, and Perspectives, Fan Ye, Jaesung Lee, Xu-Qian Zheng, Philip X.-L. Feng

This presentation will describe a holistic view of our latest endeavors and results on advancing nanofabrication techniques for realizing resonant NEMS based on 2D materials and van der Waals heterostructures – from individual devices to arrays of devices, and toward path-finding for scalable nanofabrication of emerging 2D NEMS.

5C-2
Micro- and Nano- Electromechanical Systems

Using optomechanical AFM probes for nanoscale imaging of thermal conductivity and interfacial thermal conductance, Mingkang Wang*, ** Georg Ramer*,** Diego J. Perez-Morelo*,** Vladimir Aksyuk*, Andrea Centrone*, * National Institute of Standards and Technology, ** University of Maryland

We present optomechanical AFM probes with 10ns temporal resolution, 35nm spatial resolution, and 0.4fm/Hz^0.5 detection noise over >100MHz bandwidth. This probe is applied to a PTIR system for nanoimaging of thin films‘ thermal properties. The system demonstrated 6000 times faster throughput compared to the state-of-the-art TDTR method.

5C-3
Micro- and Nano- Electromechanical Systems

3C-SiC Phononic Waveguide for Manipulating Mechanical Wave Propagation, Jaesung Lee1,2, Yanan Wang1,2, Wenshao M. Zhu2, Philip X.-L. Feng1,2, 1University of Florida, 2Case Western Reserve University

We report on an experimental demonstration and simulation of mechanical wave propagation in phononic crystals (PnCs) based on a periodic array of 3C-SiC coupled micromechanical resonators. The PnCs consists of 50 cells, exhibiting wave propagation in high frequency and very high frequency bands with a wide PnC bandgap of ~4MHz.

5C-4
Micro- and Nano- Electromechanical Systems

RF Reflectometry Measurement of Gap-closing MEMS for Adiabatic Reversible Computing, R. Celis-Cordova, J. J. Gose, A. F. Brown, E. M. Williams, J. D. Chisum, A. O. Orlov, G. L. Snider, University of Notre Dame

Adiabatic reversible computing is a promising approach to energy-efficient computing that can dramatically reduce heat dissipation by switching circuits slowly, introducing a trade-off between energy and speed. We present the nanofabrication and measurement of MEMS gap-closing variable capacitors that pave the way for adiabatic reversible computing.

5C-5
Micro- and Nano- Electromechanical Systems

Wrinkle-induced scale-dependent mechanical properties in atomically-thin materials, Jian Zhou, Nicolaie A. Moldovan, Liliana Stan, Jianguo Wen, Dafei Jin, Daniel López* and David A. Czaplewski, Center for Nanoscale Materials, Argonne National Laboratory, *Penn State University

We demonstrate ultrathin (nm), wrinkled and ultra-smooth films. We use these films to fabricate nanomechanical resonators and characterize the frequency responses of these resonators. In comparison with devices fabricated with smooth films, the wrinkled nanomechanical resonators of the same dimensions show dramatically increased and larger deviations in the resonance frequencies.

Thursday, June 2, 2022
4:20 pm – 5:50 pm CST
Session 6A – Nanoelectronics
Session Chairs: Brian Hoskins, NIST & Alexander Yulaev, University of Maryland
6A-1 (Invited)
Nanoelectronics

Multilayer superconducting fabrication process for microwave and digital electronics, O. Medeiros, B. Butters, M. Colangelo, M. Castellani, K. K. Berggren, MIT

We present a multilayer fabrication process using thin film niobium nitride (NbN) and plasma enhanced chemical vapor deposition silicon dioxide (PECVD SiO2) as electrical isolation between superconducting and normal metal layers. Using this process we fabricate tunable superconducting microstrip resonators and superconducting vias.

6A-2
Nanoelectronics

Ultra-thin van der Waals nanowire transistors, Abin Joshy, Nirasha Thilakaratne, Fei Wang, Jiang Wei, Tulane University

6A-3
Nanoelectronics

Design of a Superconducting Nanowire-Based Synapse for Energy-Efficient Spiking Neural Networks, M. Castellani, E. Toomey, M. Colangelo, O. Medeiros, K. K. Berggren, Massachusetts Institute of Technology

Spiking Neural Networks are promising platforms for mimicking the computing performance of the human brain. Recently, energy-efficient and CMOS-compatible artificial neurons based on superconducting NbN nanowires have been demonstrated. We present an NbN nanowire-based synapse, fabricated through e-beam lithography, that can couple two neurons with a tunable connection strength.

6A-4
Nanoelectronics

Band Gap Engineering: Direct Writing of Lead Cylohexylxanthate and Lead Isopropylxanthate Precursors to Produce Lead Sulfide for Next Generation Photodetector Devices, J. A. Mann, A. Chaker, S. M. Lewis, D. J. Lewis, R. E. P. Winpenny, The University of Manchester

Lead cyclohexylxanthate and isopropylxanthate have been direct written using a Scanning Electron Microscope to produce 80 nm pitch lead sulfide structures. The dose required for exposure shows significant decrease between the isopropyl and cyclohexyl compound. Band gap characterization for the isopropylxanthate has been obtained for potential photodetector applications.

Thursday, June 2, 2022
4:20 pm – 5:50 pm CST
Session 6B – Simulation and Modeling for the Nanoscale
Session Chairs: Jaesung Lee, University of Florida & Jiawei Zuo, Arizona State University
6B-1 (Invited)
Simulation and Modeling for the Nanoscale

Shape Optimization of Electrostatic Ion Beam Systems with a 3D Adjoint Boundary Element Method, L.T. Neustock, L. Hesselink, Stanford University

We show the, to our knowledge, first implementation of a 3D-mesh adjoint design tool based on the BEM method and the Stoermer-Verlet-Method. We compare BEM- and FEM-based design tools and show optimized designs. Such a computer-aided design tools can help galvanize innovation for quantum hardware and ion optics.

6B-2
Simulation and Modeling for the Nanoscale

Computational Scanning Electron Microscopy, A. E. Vladar, K. T. Arat, National Institute of Standards and Technology

Computational scanning electron microscopy (CSEM) is about to become indispensable for advanced SEM applications, including IC production and nanometer-scale science and technology. These measurements and atomic scale accuracy require complete optimization of the instrument and imaging parameters. One can achieve these efficiently only by CSEM.

6B-3
Simulation and Modeling for the Nanoscale

System for interpolating work function for CFE models, Alan Bahm, Yunyun Chen, Thermo Fisher Scientific

Simulating electron emission from a hemispherical cold-field emitter requires work function phi over the whole surface. However, phi is only experimentally determined on a few planes. By forming a basis from known points, the whole sphere can be covered piecewise, allowing for determination of an interpolated work function phi*.

6B-4
Simulation and Modeling for the Nanoscale

Geometrical Optimization of Vacuum Nanoelectronics Using a Stochastic Non-Gradient Method, A. Bechhofer, J. Serralles, M. Turchetti, G. Werner* L. Daniel, K. Berggren, and P. D. Keathley, Massachusetts Institute of Technology, *University of Colorado Boulder

Vacuum nano-electronics enjoy properties such as ballistic transport and robustness to radiation, but designing an optimal device can be unintuitive. In this work, we implemented a stochastic shape optimization scheme to assist with designing an electron emitter with high emission current directed towards a collector.

Thursday, June 2, 2022
4:20 pm – 5:50 pm CST
Session 6C – 2D Materials and Nanoscale Device Fabrication
Session Chairs: Richard Silver, NIST & James Watkins, University of Massachusetts Amherst
6C-1 (Invited)
2D Materials and Nanoscale Device Fabrication

Coherent Acoustic Phonons in 2D Layered Materials, M.K. Zalalutdinov, J.T. Robinson, S.W. LaGasse, T.L. Reinecke, D.M. Photiadis, J.C. Culbertson, C.D. Cress, B.H. Houston, J.J. Fonseca*, T. Pandey**, L.R. Lindsay***, U.S. Naval Research Laboratory, * U.S. Naval Research Laboratory, ** University of Antwerp-B2020, ***Ridge National Laboratory

Confinement of acoustic phonons in cavities implemented in 2D materials was demonstrated for 50-600GHz frequency range. The lifetime of the confined phonons was shown to approach a limit defined by lattice anharmonicity. Sets of coupled cavities and frequency comb generator were built to illustrate potential for a phonon-based signal processing.

6C-2
2D Materials and Nanoscale Device Fabrication

Molybdenum disulfide deposited on APTES functionalized silicon using electrophoretic deposition, A. Young, F. Hazzazi, C. O'Loughlin, T. Daniels-Race*, Division of Electrical and Computer Engineering at Louisiana State University, *Center for Computation & Technology at Louisiana State University

Molybdenum disulfide (MoS2) and other transition metal dichalcogenides (TMDCs) are of great interest to researchers for their electrical, optical, and catalytic properties. This report presents the fabrication of MoS2 thin films onto 3-aminopropyl-triethoxysilane (APTES) functionalized semiconductor (silicon) substrates by way of electrophoretic deposition (EPD).

6C-3
2D Materials and Nanoscale Device Fabrication

Dry two-dimensional material integration via adhesive matrix transfer, P. F. Satterthwaite, P. Jastrzebska-Perfect, W. Zhu, F. Niroui, H. Gao*, H. Kitadai*, X. Ling*, Massachusetts Institute of Technology, *Boston University

We present an approach for dry, low-temperature, and scalable integration of two-dimensional materials into pristine van der Waals heterostructures, towards functional devices. By using an adhesive matrix, we promote transfer of the two-dimensional material from source to target through a single aligned contact-and-delaminate step, avoiding damage common in conventional processes.

6C-4
2D Materials and Nanoscale Device Fabrication

Controlled line edge roughness for novel nanodevice fabrication with the NanoFrazor, J.Chaaban, N. Hendricks, R. Erne, A. Damyanova, E. Çağin, Heidelberg Instruments Nano AG

The NanoFrazor is a direct-write nanolithography tool which allows for nanometer-precise markerless overlay of patterns, and on-the-fly control of line edge roughness in the resulting structures. Here, we highlight the unique capabilities of this technique, and showcase new advances in NanoFrazor-enabled photonics and 2D material-based nanoelectronic devices.

Friday, June 3, 2022
8:00 am – 9:50 am CST
Session 7A – Imaging and Characterization
Session Chairs: Thomas Michels, GenISys GmbH & Hengky Chandrahalim, Air Force Institute of Technology
7A-1 (Invited)
Imaging and Characterization

Boring beads or surprising standards? A lateral nanoflow assay reveals flummoxing fluorescence, K.-T. Liao* ** ***, A. C. Madison*, A. L. Pintar*, B. R. Ilic*, C. R. Copeland*, and S. M. Stavis*, *National Institute of Standards and Technology, **University of Maryland, College Park, ***Food and Drug Administration

Colloidal nanoplastics are of commercial interest and environmental concern but remain problematic to measure. We develop a lateral nanoflow assay and apply our sample-in-answer-out system to measure model nanoplastics, revealing their flummoxing fluorescence. This surprising result resets expectations for optimizing products, understanding byproducts, and applying standards involving nanoplastic fluorescence.

7A-2
Imaging and Characterization

Live Reconstruction of Sparsely Sampled Data for Compressive Sensing and Low-Dose Imaging, Z. E. Russell, M. Therezien, T. J. McIntee, S. T. DiDona, J.J. Hagen*, B.W. Kempshall*, K.E. Scammon*, E.L. Principe*, Ion Innovations, *PanoScientific LLC

Sparsely sampled data for compressive sensing and low dose imaging can be computational intensive and time consuming to reconstruct. We present an Adaptive Real Time In-painting (ARTI) method and showcase its performance and speed using random sparse scans using the Compressed Sensing Scan Generator (CSSG) from PanoScientific.

7A-3
Imaging and Characterization

Online Beam Current Estimation in Particle Beam Microscopy Through Time-Resolved Measurement, *S. W. Seidel, L. Watkins, M. Peng, A. Agarwal, *C. Yu, V. K. Goyal, Boston University, *Charles Stark Draper Laboratory

Particle beam microscopy requires knowledge of the beam current for accurate micrograph formation and sample milling. In practice, beam current may vary from the desired setting. We establish that beam current can be explicitly estimated online from microscopy data, without the use of a calibrated sample.

7A-4
Imaging and Characterization

Spatially resolved and quantifiable field emission measurements using a CMOS imaging sensor, A. Schels, S. Edler, F. Herdl, W. Hansch*, M. Bachmann, D. Ritter, F. Düsberg, A. Pahlke**, *Universität der Bundeswehr München, **Ketek GmbH

Here we present a simple, inexpensive, and fully quantifiable method for spatially resolved field emission measurements, that provides completely novel insights into the emission behavior of differently doped silicon FEAs. By further developing the concept, this method could significantly advance research on the optimization of field emitters.

7A-5
Imaging and Characterization

A new order of nanoplastic standards for microspectroscopy calibrations, A. Madison, D. Westly, B. Ilic, C. Copeland, A. Pintar, C. Camp, J. Liddle, S. Stavis, NIST

New standards are necessary to calibrate emerging measurements of nanoplastic particles by optical microspectroscopy. To meet this urgent need, we introduce the concept of the nanoplastic array. This multifunctional standard enables novel accuracy and spatial order in the correction and correlation of disparate image data from multiple microspectroscopy instruments.

Friday, June 3, 2022
8:00 am – 9:30 am CST
Session 7B – Nanophotonics and Nanoplasmonics
Session Chairs: Ashutosh Rao, National Institute of Standards and Technology & Philip Feng, University of Florida
7B-1 (Invited)
Nanophotonics and Nanoplasmonics

Long, stitch-free slot waveguide for IR-sensing applications using e-beam lithography, Henock Demessie Yallew, Jana Jágerská, Martin Møller Greve*, UiT The Arctic University of Norway, *University of Bergen

The Raith e_line FBMS mode is used to pattern 1 cm long slot waveguides with s-bend tapered couplers. The fabrication method solves two major limitations of the FBMS mode, namely the requirement for fixed-width structures and the incidence of stage placement drift for patterns involving elements of different widths.

7B-2

Nanophotonics and Nanoplasmonics

Growth of Nanocrystalline Germanium on Plasmonic Nanoantennas, R O'Meara, RG Hobbs

We design and fabricate plasmonic gold nanoantennas resonant at 785 nm using electron-beam lithography. The nanoantennas are immersed in a germanium precursor solution and illuminated with a 785 nm laser. The surface plasmon resonance of the nanoantennas induces the growth of nanocrystalline germanium directly on the surface of the antennas.

7B-3
Nanophotonics and Nanoplasmonics

Ultrafast Early Warning of Heart Attack through Plasmon-Enhanced Raman using Collapsible Nanofingers, Zerui Liu*, Guangxu Su**, Deming Meng*, Pan Hu*, Yunxiang Wang*, Junhan Wei**, Tianyi Yuan***, Hao Yang*, Buyun Chen*, Tse-Hsien Ou*, Matthew Miller*, Sushmit Hossain*, Fanxin Liu***, and Wei Wu*, *Department of Electrical Engineering, University of Southern California, **Department of Applied Physics, Zhejiang University of Technology, ***Beijing Etown Academy

We invent an ultrafast early warning system of heart attack through plasmon-enhanced Raman using collapsible nanofingers.

7B-4
Nanophotonics and Nanoplasmonics

Light detection enhancement in Near Infra-red by 2-dimensional Silver nanograting integrated by Electron Beam Lithography, E. Scattolo, A. Cian, D. Giubertoni, G. Paternoster, P. Bellutti, J. Fiala*, J. Babocký*, M. Hrabovský**, Bruno Kessler Foundation, *TESCAN Brno s.r.o., **TESCAN ORSAY HOLDING

Thin silicon-based photodiodes detect photons in the visible spectrum with high detection efficiency and high timing resolution. The quantum efficiency is too low for applications exploiting near-infrared due to the limited silicon absorption coefficient. In this contribution we enhanced the photon absorption by exploiting Surface Plasmon Polaritons with plasmonic nanoarrays.

Friday, June 3, 2022
8:00 am – 9:30 am CST
Session 7C – Electron and Ion Beam Lithography
Session Chairs: Bill Mitchell, University of California, Santa Barbara & Rich Tiberio, Stanford University
7C-1 (Invited)
Electron and Ion Beam Lithography

Trilayer process for T-gate and Gamma-gate lithography using ternary developer and proximity effect correction superposition, Leonidas E. Ocola, James Bucchignano, Simon Dawes, IBM T. J. Watson Research Center

This paper discusses an alternate resist stack, a ternary developer, and a different approach to proximity effect correction to mutlilayer resist exposures by using image superposition for the fabrication of T-gates and Gamma-gates.

7C-2
Electron and Ion Beam Lithography

Nested Space-Filling Curves and Enabling Technology for Compressive Sensing and Electron/Ion Lithography, Z. E. Russell, T. J. McIntee, M. Therezien, S. T. DiDona, J.J. Hagen*, B.W. Kempshall*, K.E. Scammon*, E.L. Principe*, Ion Innovations, *PanoScientific, LLC

By utilizing a novel vector scan system and nested space-filling curves new methods for scanning charged particle lithography and milling are enabled that reduce or eliminate the need for beam blanking, and offer more control over effects such as proximity effect correction and redeposition when milling.

7C-3
Electron and Ion Beam Lithography

Measurement of the Helium Ion Point-Spread Function on Ultrathin Membranes, R. O’Meara, V. Dhyani, R.G. Hobbs, Centre for Research on Adaptive Nanostructures and Nanodevices (CRANN) and the SFI Advanced Materials and Bio-Engineering Research Centre (AMBER), Trinity College Dublin, The University of Dublin

We measure the PSF of 30 keV He+ ions in PMMA and a fullerene-based resist on ultrathin silicon nitride membranes and compare the results to calculated PSFs for each resist, and to work measuring the PSF of 200 keV electrons in PMMA using an aberration-corrected STEM.

7C-4
Electron and Ion Beam Lithography

Ga-cluster free Si surface post ion beam exposure, Patrick Ponath, Katherine Cochrane, Alan Brodie, William Schultz, Hugo Luna-Kohn, Nikolai Chubun, James Spallas, Lawrence Muray, KLA Corporation

Ga clusters form after annealing a Si surface post ion beam exposure due to implanted Ga atoms. We find that by injecting iodine into the focused ion beam, a Ga cluster free surface can be achieved post annealing.

Friday, June 3, 2022
10:20 am – 11:50 am CST
Session 8A – Biomedical Devices I
Session Chairs: Sarah Robinson, National Institute of Standards and Technology & Wei Wu, University of Southern California & Lawrence Muray, KLA Corporation
8A-1 (Invited)
Biomedical Devices I

Micropatterning of electron microscopy grids for improved cellular cryo-electron tomography throughput, L. Engel, C.G. Vasquez, E.A. Montabana, B.M. Sow, M.P. Walkiewicz, W.I. Weis, A.R. Dunn, Stanford University

Cryo-electron tomography enables nanoscale structural analysis of vitrified biological cells. Data acquisition currently suffers from low throughput, in part due to the low probability of positioning cells in imageable regions of EM grids. We address this limitation by applying photolithography to position cells and specific subcellular regions on EM grids.

8A-2
Biomedical Devices I

Instructive physical microcues in Nervous system-on-Chips, R. Sabahi Kaviani, D.W.G. van Boekel, R. Luttge, Eindhoven University of Technology

We designed a Nervous system-on-Chip (NoC) with instructive physical microcues to be utilized in the culture of neuronal cell networks as a highly simplified construct for the complex interactions taking place in the extracellular microenvironment of real nervous system tissues.

8A-3
Biomedical Devices I

Imaging SARS CoV-2 on substrates with FIB/HRSEM, Elizabeth A. Dobisz*, William B. Thompson*, Anne Sakdinawat*, Kruttika S. Phadke**, Bryan B. Bellaire**, *SLAC National Accelerator Laboratory, **Micro-Biology Program, College of Veterinary Medicine, Iowa State University

We have used a Zeiss 550 SEM with a Gemini column to perform localized low kV imaging of the deactivated SARS-CoV-2 on substrates. Continued scanning causes the opening of the surface viral envelope and allows one to see the protein-RNA complexes inside the viron.

8A-4
Biomedical Devices I

Nanofabrication of curvature sensitive protein screening phospholipid membrane platform, Eider Berganza, Srivatsan Vasantham, Chunting Zhong, Alexander Wunsch, Alexander Navarrete, Michael Hirtz, Karlsruhe Institute of Technology (KIT), Mirsana P. Ebrahimkutty, Milos Galic, University of Münster

Membrane curvature plays a key role in many relevant biological processes i.e. membrane trafficking, vesicular budding or host-virus interactions. Due to complicated nanofabrication processes, in-vitro simplified biomimetic models with nanometer curvature are challenging. We develope a platform where nanoparticles are used to impose curvature to lithographed lipid patches through SPL.

Friday, June 3, 2022
10:20 am – 11:50 am CST
Session 8B – Micro- and Nano-Fluidics
Session Chairs: Alex Liddle, National Institute of Standards and Technology & Jian Xu, Louisiana State University
8B-1 (Invited)
Micro- and Nano-Fluidics

A novel microfluidic device for rapidly generating potent CAR T cells, S. Ghassemi, Center for Cellular Immunotherapies, Department of Pathology and Laboratory Medicine, Perelman School of Medicine, University of Pennsylvania

This work highlights the need for a device to generate potent CAR T cells. This will have high translational values for patients.

8B-2
Micro- and Nano-Fluidics

Quantum dots Enhanced IMPACT Chip for Simple Pathogen Detection, M. Bao, Y. Chang, F. Yuqing, K. Du*, Rochester Institute of Technology, *Rochester Institute of Technology

In this work, we introduce a micropillars patterned with microfluidic system and demonstrate the naked-eye detection of viral nucleic acids. Instead of using standard photolithography, laser micromachine was used to create microstructures with much higher aspect-to-ratio, which improved the overall detection performance.

8B-3
Micro- and Nano-Fluidics

A Dynamic Pore Size Tunning Approach for Manipulating In-Plane Nanopores Made in Polymer-Based Substrates, Ramin Riahipour, Junseo Choi, Corinne M Martin, Steven A. Soper, and Sunggook Park

We report a sub-10nm tunning of NIL-made nanopores after cover plate bonding by applying external pressure on the enclosed nanofluidic device. Previously compression-based size reduction was shown in elastomers-made nanochannels; however, this study is the first work demonstrating an active sub-10 nm pore size tunning approach employed on non-elastomer substrates.

8B-4
Micro- and Nano-Fluidics

Nanoparticle Dispersion in Superhydrophilic Nanostructures, A. Tunell, K. Chien, S. Lee, C. Chang, University of Texas

Superhydrophillic nanostructures with periods of 300 nm are fabricated using laser interference lithography on a silicon substrate. Polystyrene nanoparticles with 200 and 500 nm diameters are viewed under SEM to determine particle assembly patterns and dispersion mechanisms during wicking through the structure.

Friday, June 3, 2022
10:20 am – 11:50 am CST
Session 8C – Nanoimprint Lithography I
Session Chairs: Lei Chen, National Institute of Standards and Technology & Alan Brodie, KLA Corporation
8C-1 (Invited)
Nanoimprint Lithography I

Polymer Nanopore Devices for Sensing Biomolecules, Sunggook Park, Louisiana State University and Center for BioModular Multi-Scale Systems for Precision Medicine (CBM2)

This invited talk will present our recent efforts to produce nanopore devices in polymer substrates using nanoimprint lithography (NIL). Both nanofluidic devices containing nanopore membranes and in-plane nanopores have been demonstrated and successfully used to detect different biomolecules.

8C-2
Nanoimprint Lithography I

Fabrication of Nanoimprint-Lithography masters for optical nano gratings, C. Helke, M. Haase, W. Zorbach*, D. Reuter, H. Kuhn, Fraunhofer ENAS, *EVG

This paper describes the process chain for fabrication of 8" Nanoimprint-Lithography (NIL) masters with optical nano gratings of 400 nm lines and spaces in different etch depths with an aspect ratio up to 2.5:1 by the use of an i-line wafer stepper and RIE etching for NIL master replication.

8C-3
Nanoimprint Lithography I

Fabrication of Silicon Waveguides using Metal-Assisted Chemical Imprinting, A. Sharstniou, S. Niauzorau, B. Azeredo, M. Puckett*, N. Krueger*, Arizona State University, *Honeywell Aerospace

Implementation of Metal-Assisted Chemical Imprinting for the fabrication of silicon-on-insulator waveguides and study of the process-structure relationship.

8C-4
Nanoimprint Lithography I

Sub-Wavelength Optical Functionalities Directly Imprinted on Chalcogenide Glasses, Sivan Tzdaka1,2, Natalie Ostrovsky1,2, Eviatar Kassis3, Shay Josef3, Mark Schvartzman1,2, 1Department of Materials Engineering, Ben-Gurion University of the Negev, 2Ben-Gurion University of the Negev, 3Optical Component Center, Rafael Advanced Defense Systems,

Sub-Wavelength Optical Functionalities Directly Imprinted on Chalcogenide Glasses- Here are presented three novel approaches for the direct soft imprint of chalcogenide glasses without deforming the shape of the imprinted substrate.

Friday, June 3, 2022
1:30 pm – 3:00 pm CST
Session 9A – Biomedical Devices II
Session Chairs: Leeya Engel, Stanford University & Saba Ghassemi, University of Pennsylvania
9A-1
Biomedical Devices II

Fabrication of MoS2 Photodetectors for Near-Infrared Biosensing Applications, S. Ki, Y. Park, B. Ryu, K. Kurabayashi, X. Liang, University of Michigan

In this work, we studied the photo-response properties (i.e., Photoresponsivity spectra) of in-plane MoS2 photodetectors as the function of their geometric dimensions as well as fabrication conditions. This work has enabled the NIR operation capabilities of plasmonic colorimetric biosensing, thereby reducing assay times and background interference.

9A-2
Biomedical Devices II

Fabrication of nanodevices with varying rigidity to understand various T cell interaction mechanisms, Ashish Pandey1,2, Mohammed Iraqi3, Guillaume Le Saux1,2, Angel Progador3, Mark Schvartzman1,2, 1Department of Materials Engineering, 2IsleKatz Institute for Nanoscience Science & Technology, 3The Shraga Segal Department of Microbiology, Immunology and Genetics, Ben-Gurion University of the Negev

In this work, we fabricated various nano and microdevices with varying rigidity to understand the combined effect of surface topography and bio-chemical surface functionalization to understand T cells interaction mechanism at the molecular scale.

9A-3
Biomedical Devices II

Physiological Flow Cell Adapted for Monitoring Cardiac Tissue Damage Under High Pressures, Harold W. Pearson, Jessica M. Andriolo, Sowmya Sudhakar, Amos Taiswa, Amelia K. Stoner, M. Katie Hailer, Jack L. Skinner, Montana Technological University

Replicas of physiological systems can be used to provide in vitro evidence to avoid unnecessary testing in vivo. We present a cardiac physiological flow cell that incorporates 3D tissue growth on nanofiber scaffolds with the capacity to incorporate fluid flow and physiological pressures to monitor tissue damage under these conditions.

9A-4
Biomedical Devices II

Low Cost SERS Substrate with Silver Nanoparticles on Imprinted Plastic, B. Adewumi, D. Biswas, M. Feldman, L. Jiang*, N. Korivi*, Louisiana State University, *Tuskegee University

Surface Enhanced Raman Scattering is a spectroscopic analysis used to detect and identify trace amounts of targeted molecules. The inability to produce large area substrates cost effectively has limited its use in both laboratories and the field. A major goal of this work is the production of low-cost SERS substrates.

9A-5
Biomedical Devices II

High-throughput DNA tensioner platform for interrogating mechanical heterogeneity of single living cell, Xinxin Hang, Zaizai Dong, Lingqian Chang, Key Laboratory of Biomechanics and Mechanobiology (Ministry of Education), Beijing Advanced Innovation Center for Biomedical Engineering, School of Biological Science and Medical Engineering, Beihang University

Mechanical regulation provides the cells with versatile modes to avoid the environmental stimulations that are detrimental for the cell development. At the single-cell level, the understanding of the mechanical properties is an important index to explore the development of diseases, and further contribute to the advancement of treatment strategies.

Friday, June 3, 2022
1:30 pm – 2:30 pm CST
Session 9B – Emerging Technologies II
Session Chairs: David Czaplewski, Argonne National Laboratory & Lucia Romano, ETH Zürich and Paul Scherrer Institute
9B-1
Emerging Technologies II

Nanoscale Control over the Size of Polymer Nanochannels via Cross-Linking Agent Concentration of UV-Resin for Nanoimprint Lithography, J. Choi, S. Park, Mechanical and Industrial Engineering Department and Center for BioModular Multi-Scale Systems for Precision Medicine (CBM2), Louisiana State University

In this work, we demonstrate the use of UV-resin shrinkage phenomenon to reduce nanoscale dimensions in a controlled manner.

9B-2
Emerging Technologies II

Pneumatic Controlled Nano-Sieve Chip for the Capture of Nanocrystals, A. Nanaware, T. Kranbuhl, M. Bao, Q. Tu, and K. Du, RIT, J. Ching and J. Chen, Mammoth Biosciences

In this work, we present an improved nano-sieve system by including micro-grooves in the microchannel to enhance the target capture. We also included a pneumatic layer to control the flow. We show that this system can efficiently capture low concentration CdSe quantum dots with a feature size of ~15 nm.

9B-3
Emerging Technologies II

Highly spatially resolved chemical metrology on latent resist images, Maarten van Es, Selman Tamer, Elin Bloem, Laurent Fillinger, Elfi van Zeijl, Klára Maturová, Jacques van der Donck, Rob Willekers and Diederik Maas, Netherlands Organisation for Applied Scientific Research

We present experimental proof that infrared atomic force microscopy (IR-AFM) is sufficiently sensitive and gentle to chemically record vulnerable lithographic patterns in chemically amplified resist after exposure prior to development. IR-AFM metrology will provide long-sought new insights into changes in chemical and spatial distribution per component in latent resist images.

Friday, June 3, 2022
1:30 pm – 3:00 pm CST
Session 9C – Nanoimprint Lithography II
Session Chairs: Sunggook Park, Louisiana State University & Wei Wu, University of Southern California
9C-1
Nanoimprint Lithography II

Fabrication and Demonstration of Anti-dust Nanostructured Surfaces, S. Lee, L. Micklow*, K.-C. Chien, S. Mohanty, N. Cates*, S. Furst*, C.-H. Chang, *Smart Material Solutions, Inc.

Passive mitigation approaches aim to reduce the surface energy, thereby mitigating the likelihood of particle contamination without additional energy consumption. In this work, the anti-dust nanostructured surfaces on polycarbonate substrates were fabricated using a highly scalable nanocoining and thermal nanoimprint process.

9C-2
Nanoimprint Lithography II

Nanoimprint Lithography Master Stamp Produced Via Morphologically Controlled Electrospun Fibers, Luke J. Suttey, Harold Pearson-Nadal, Isaac Gilfeather, Xavier Vorhies, Jessica M. Andriolo, Jack L. Skinner, Montana Technological University Nanotechnology Laboratory

Surfaces that are resistant to bacterial adherence, growth, and biofilm formation were produced from a process combining morphologically controlled electrospinning and nanoimprint lithography.

9C-3
Nanoimprint Lithography II

All-Inorganic Diffractive Optics, Lightguides and Metalenses using Nanoimprint Lithography and High Refractive Index Nanoparticle Inks, Vincent J. Einck, Mahsa Torfeh, Andrew McClung, Dae Eon Jung, Mahdad Mansouree, Amir Arbabi, James J. Watkins, University of Massachusetts Amherst

High efficiency, all-inorganic metalenses and waveguide gratings with critical dimensions as small as 60 nm, feature aspect ratios up to 8, and refractive index = 1.9 were produced using nanoimprint lithography and titanium dioxide nanoparticle-based inks. RI can be increased to 2.1 by post processing.

9C-4
Nanoimprint Lithography II

Manufacturing Challenges in Bringing Visible Range Metaoptics to Market, B. Williams, M. Black, D, Bacon-Brown, M. George, R. Petrova, Moxtek, Inc.

Feature size requirements for metaoptics in the visible range are beyond the resolution capabilities of established deep UV lithography systems used in semiconductor manufacturing. Scaling up to volume manufacturing of visible metaoptics requires alternate patterning processes such as nanoimprint lithography and all related processing to manufacture in high volume.

9C-5
Nanoimprint Lithography II

Nanoimprint Lithography (NIL)-Based Patterning of Chemically Strengthened Glass Sheets, J. Gaspar, Y. Zhou, K. Thulin, P. Lundstrom, V. Chu*, Obducat Technologies AB, *INESC Microsistemas e Nanotecnologias

This work reports on the advanced micro- and nano-patterning of alkali-aluminosilicate glass, created by NIL and etched by RIE using a SF6-plasma. A detailed study in terms of NIL parameters will be presented along a thorough description of the effect of the various etch parameters on profiles, selectivity and uniformity.

Friday, June 3, 2022
3:30 pm – 4:50 pm CST
Session 10A – Nanoscale Materials and Devices
Session Chairs: Akshay Agarwal, Massachusetts Institute of Technology & Jiang Wei, Tulane University
10A-1
Nanoscale Materials and Devices

Photo-Activation of Sensitized Denitrification Filters, J.M. Andriolo, E.M. Carvo, E.L. Ostermiller, S.J.P. Varapragasam,* A.A. Talin,** E.M. Grumstrup,* J.L. Skinner, Montana Technological University, *Montana State University, **Sandia National Laboratories

Nitrate contamination in natural waters results in decreased oxygen content, thereby killing aquatic life and endangering human health. Nanoscale photocatalytic denitrification materials are being developed due to economic feasibility and low environmental impact. Here, we present an electrospun photocatalytic denitrification filter sensitized with semiconducting polymer and nanodopants that improve efficiency.

10A-2
Nanoscale Materials and Devices

Photoresist reflow for lithography of lithium niobate on insulator rib waveguides, K. Prabhakar, R. M. Reano, The Ohio State University

We present photolithography and plasma etching of lithium niobate on insulator rib waveguides and resonators using photoresist reflow. Measured root mean square sidewall surface roughness is subnanometer. Dependence of sidewall angle on waveguide width is characterized. Fabricated resonators exhibit intrinsic quality factors of one million at infrared wavelengths.

10A-3
Nanoscale Materials and Devices

Continuously Tunable Vanadium Dioxide Metasurfaces for Active Optical Wavefront Control, T. Koutsougeras, I. Oguntoye, S. Padmanabha, M. Hinkle, M. Escarra, Tulane University

Vanadium dioxide (VO2) is a phase-change material suitable for metasurfaces. VO2 thin films are fabricated using RF magnetron sputtering and tube-furnace annealing, but rapid thermal processing allows for efficient post-annealing. Electron-beam lithography and reactive ion etching with chlorine and argon on these films produce Huygens metasurfaces for optical modulation.

10A-4
Nanoscale Materials and Devices

Nano-heater implementation in gas sensing devices by Electron Beam Lithography, Z. Feng, A. Cian, D. Giubertoni, A. Gaiardo, M. Valt, P. Bellutti, V. Guidi*, J. Fiala**, J. Babocký**, M. Hrabovský***. Foundation Bruno Kessler, *University of Ferrara, **TESCAN Brno s.r.o., ***TESCAN ORSAY HOLDING

Nano-heater device used for semiconductor gas sensor was fabricated by utilizing electron beam lithography to downscale the geometry size and decrease the power consumption. Two methods with one single step and two steps exposure applying different electron beam currents were compared.

Friday, June 3, 2022
3:30 pm – 4:30 pm CST
Session 10B – Emerging Technologies III
Session Chairs: Gina Adam, George Washington University & Adam Steele, zeroK NanoTech
10B-1
Emerging Technologies III

Induction Heating of Magnetically Susceptible Nanoparticles for Enhanced Hydrogenation of Oleic Acid, C Roman, N. de Silva Moura, S. Wicker*, K. Dooley, J. Dorman, LSU, *Rhodes College

Radiofrequency (RF) induction heating is an alternative method of energy transfer whereby dipoles are induced in magnetically susceptible catalysts. For the heterogeneous catalytic hydrogenation of oleic acid, synthesis and application of magnetic nanoparticles and RF heating has been shown to improve both activity and the selectivity to stearic acid.

10B-2
Emerging Technologies III

How the liquid metal alloy sources allow a versatile use for the ion beam nanofabrication, J. Silvent, A. Houel, A .Delobbe, I. Guellil*, L. Favre*, I. Berbezier*, Milos Hrabovsky**, Orsay Physics, *Institut Matériaux Microélectronique Nanoscience de Provence, *** TESCAN ORSAY HOLDING

Combined with a Wien Filter capable of separating the different species of a LMAIS, a filtered FIB enables the use of a vast majority of ion species and increases the potential applications. In this presentation, we will give two examples of possible applications with two types of ion sources.

10B-3
Emerging Technologies III

Generation of higher than 1000 A/cm2 continuous wave electron beam emission from InGaN photocathode, A. Koizumi, D. Sato, H. Shikano, T. Nishitani, Photo electron Soul Inc.

We have succeeded in generation of higher then 1000 A/cm2 continuous wave electron beam emission from InGaN photocathode with negative electron affinity (NEA) state. The quantum efficiency at the maximum emission current density was 0.68%. The clear saturation is not observed in the excitation power density dependence.

Friday, June 3, 2022
3:30 pm – 4:50 pm CST
Session 10C – Highlights in Nanofabrication
Session Chairs: Vince Einck, University of Massachusetts Amherst & Gerald Lopez, University of Pennsylvania
10C-1
Highlights in Nanofabrication

A Microscale Flow Sensor Sculpted on a Fiber Tip by Multiphoton Polymerization Process, J. C. Williams, H. Chandrahalim, J. S. Suelzer*, N. G. Usechak*, Air Force Institute of Technology, Air Force Research Laboratory*

This work presents a microscale flow sensor fabricated onto the cleaved end of an optical fiber by multiphoton polymerization of a photo resin. A dynamic structure was created with a rotor moving around a stator in response to incident fluid flow.

10C-2
Highlights in Nanofabrication

Atomically Defined Wires on P-Type Silicon, F. Altincicek, C. Leon, T. Chutora, M. Yuan, J. Croshaw, R. Achal, L. Livadaru*, J. Pitters**, R. A. Wolkow, Department of Physics, University of Alberta, *Quantum Silicon, Inc., **Nanotechnology Research Center, National Research Council of Canada

Dangling bonds (DBs) on a hydrogen terminated Si(100)-2x1 surface are silicon atoms unbound to hydrogen atoms. Wire structures consisting of DB pairs exhibit 1D quantum wells within the band gap. Synthesis and characterization of wires and wires adjacent to other structures will be shown.

10C-3
Highlights in Nanofabrication

Optimizing the ground state charge characterization of dangling bond circuitry on hydrogen passivated silicon, M. Yuan, R. Achal*, T. Chutora, F. Altincicek, C. Leon, L. Livadaru*, J. Pitters*, R. Wolkow, University of Alberta, *Quantum Silicon

Determining the charge of nanoscale structures is essential to the development of atomic beyond CMOS devices. Here, we improve the charge sensitivity of non contact atomic force microscopy by calibrating the tip height with non perturbative charge sensing measurements. This allows for improved charge characterization of silicon dangling bond structures.

10C-4
Highlights in Nanofabrication

Towards vertical sidewalls: direct write lithography of up to 100 µm thick epoxy resist structures for microfluidics, M. R. Haq, K. Jefimovs, J. Erjawetz*, H. Schift, Paul Scherrer Institut (PSI), *XRnanotech, PSI

We used direct write lithography to fabricate nanoimprint molds with different depths for microfluidic application. Microstructures with almost vertical sidewalls can be achieved in 100 µm thick resist, for which the negative-tone mr-DWL resist and the 405 nm laser wavelength are a perfect match.

Pre-recorded Oral Presentations Due to severe travel restrictions, this year at we’ve had several speakers who are unable to attend EIPBN 2022. The travel dilemmas ranged from a multi week quarantine to visa processing delayed to as late as November 2022. To highlight the work from these accepted abstracts, we have included the following section with hyperlinks to oral (MP4) presentations. Please click on the hyperlinked title to view the presentation.
OR-1

Effect of Plasma Treatment and Surface Coating on Cell Migration Dynamics, M. T. Wang, S. W. Pang, City University of Hong Kong

A two-layer scaffold platform in PDMS was designed as a screening device. The surface chemistry and coating on the platform influenced cell motility. Separation of nasopharyngeal carcinoma NPC43 cells from epithelial NP460 cells up to 99.4% efficiency was achieved by plasma treatments and chemical coatings.

OR-2

Interactions of Nasopharyngeal Carcinoma and Epithelial Cells in Microwell Array, X. Hong, Y. H. Xu, S. W. Pang, City University of Hong Kong

Microwells were designed to study how confinements and cell-cell contacts influenced cell migration behaviors. Cell-cell contacts could affect cell migration speed. In microwells, cancer cells formed cluster and pushed normal cells towards sidewalls. These findings revealed the joint effects of cell-cell contacts and 3D confinement on cell migration behaviors.

OR-3

Cell Traction Force Measured using Microposts with Nanopillars, Y. J. Cheng, S. W. Pang, City University of Hong Kong

PDMS microposts without and with nanopillars were developed to monitor cell traction force. MC3T3-E1 cells seeded on microposts with nanopillars exerted larger traction force than those on microposts without nanopillars. The micropost platforms are useful to correlate cell traction force with surface condition and cell migration behavior.

OR-4

2D-Semiconductors on Plasmonic Nano-Gratings for Enhanced Hydrogen Photocatalysis, Mohsin Ijaz, Boyang Ding, Richard J. Blaikie, Department of Physics, University of Otago, Dunedin 9016, New Zealand

Nano-Plasmonic resonator has been fabricated over large area and then coupled with 2D semiconductors for enhanced photocatalytic water splitting reaction towards hydrogen production. Steady state reflection and photoluminescence indicated excitation enhancements into photocatalyst which then showed high photocurrent under resonant illumination conditions for plasmon excitation showing promise for solar-to-H2 production.

OR-5

Laser Ablated Aluminium Superhydrophobic Gradients for Spontaneous Water Droplet Motion, K. Misiiuk, S. Lowrey, R. Blaikie, A. Sommers*, University of Otago, New Zealand, *Miami University, USA

We will present a theoretical explanation, the modelling procedure and a survey of micro/nanofabrication approaches to produce microstructures with fixed- and variable-pitch, and results of passive gradient-driven droplet motion on theoretically designed and produced via one-step laser ablation all-metal superhydrophobic gradients.

OR-6

Fabrication of Micro-Mirror for Co-packaged Optics Using Photo Nanoimprint Lithography, F. Nakamura, K. Suzuki, A. Noriki, and T. Amano, Photonics Electronics Technology Research Association (PETRA), National Institute of Advanced Industrial Science and Technology

In co-packaged optics called active optical package, a couple of micromirrors are used for an optical coupler. We demonstrated micromirror fabrication by photo nanoimprint lithography with PDMS mold to improve fabrication accuracy. By adopting a groove structure in the sample side, 26 um-height mirror was patterned without noticeable distortion.

OR-7

Systematic study of InP/InGaAsP heated plasma etching and roughness improvement for integrated optical devices, Kaustubh Vyas, Kashif Masud Awan*, Ksenia Dolgaleva, University of Ottawa, *Quantum Matter Institute

In this work, we demonstrate a systematic study of etching InP using Chlorine-methane-based chemistry at low temperatures (60 degrees C) and chlorine-based chemistry at high temperatures (190 degrees C) using Design of Experiment (DoE) methods.

OR-8

Direct writing of Liquid Metals for Printed Electronics, Navid Hussain, Tongtong Fu, Gabriel Marques, Chittaranjan Das, Torsten Scherer, Jasmin Aghassi-Hagmann, Michael Hirtz, Uwe Bog*, Lukas Berner**, Irene Wacker**, Rasmus R. Schröder**, Karlsruhe Institute of Technology (KIT), *n.able GmbH, **Heidelberg University

We have developed a versatile liquid metal printing process based on glass capillaries. This method enables direct writing of various lines and 3D structures. Also, we demonstrated fully printed electronic devices, such as resistors, diodes, and transistors.

Wednesday, June 1, 2022
& Thursday, June 2, 2022
Poster Sessions
P1-1
Advanced Lithography

An Experimental Study of the Effect of Mask Morphology on Very-Large Area Graphoepitaxy of InP on Silicon Enabled by Nano-imprint Lithography, Zerui Liu, Mitchell Dreiske, Deming Meng, Yunkui Tang, Jun Tao, Hao Yang, Buyun Chen, Yunxiang Wang, Pan Hu, Tse-Hsien Ou, Matthew Miller, Sushmit Hossain, Eun Sok Kim, Rehan Kapadia, Daniel Dapkus, and Wei Wu, Department of Electrical Engineering, University of Southern California

By applying NIL to graphoepitaxy, the effect of mask morphology on formation of single-crystal films of InP on Si was systematically studied which paves the way for the monolithic integration of similar materials such as InGaAsP and InGaAs onto lattice-mismatched substrate.

P1-2
Advanced Lithography

Displacement Talbot lithography for uniform high aspect ratio gratings fabrication, Z. Shi, K. Jefimovs, L. Romano, M. Stampanoni, ETH Zurich, *Paul Scherrer Institut

Displacement Talbot lithography enables rapid patterning of submicron periodic structures but the pattern transfer in high aspect ratio structures can be affected by uneven trench depth due to micro-loading in deep reactive ion etching. Simulations and experiments confirm that an increase of the scanning range leads to a uniform etching.

P1-3
Advanced Lithography

Improvement of water wettability by novel Si contained resist for 193 nm Immersion lithography, Chen Tang, Yosuke Ohta*, Masaaki Yasuda, Yoshihiko Hirai, Atsushi Sekiguchi, Osaka Prefecture University, *Lithotech Japan

By adding Si additive in place of F additive to the ArF resist, we newly proposed a novel ArF immersion resist to enhanced dry etching resistance, preventing PAG leaching and enhance contact angle against the immersion liquid. The resist development characteristics and resist profiles by computational lithography will be discussed.

P1-4
Advanced Lithography

Advanced fabrication technique of nano-patterned ALD membrane, Farhana Anwar, IBM Research, Frank Ogletree, Adam Schwartzberg, Stefano Cabrini, The Molecular Foundry, Lawrence Berkeley National Laboratory

In this article we demonstrate for the first-time fabrication process of nano-patterned thin ALD membrane by patterning top layer of a double layer electron beam resist stack, depositing a thin conformal ALD layer and use the resist underneath as a sacrificial layer.

P1-5
Advanced Lithography

Spatial modulation of nanopattern dimensions by combining interference lithography and grayscale-patterned secondary exposure, Zhuofei Gan, Wen-Di Li, The University of Hong Kong

In this work, we introduce a novel nanolithography technique combining interference lithography and grayscale-patterned secondary exposure that achieves the spatial modulation of feature size on large area. We successfully demonstrate 4-inch wafer-scale uniform nanogratings with less than 5% variation and 3-inch structural color painting with spatially varying filling ratios.

P1-6
Advanced Lithography

Enhanced electrical properties of nitrogen ion implanted epitaxially grown rare earth oxide thin film on silicon, A. Joseph, * G. Lilienkamp, ** T.F. Wietler, and H.J. Osten, Institute of Electronic Materials and Devices, Leibniz Universitat Hannover, *Cochin University Of Science and Technology, **University of Technology (TU Clausthal)

The effects of nitrogen incorporation in epitaxial gadolinium oxide (Gd2O3) films by high-dose ion implantation on Si (111) after annealing have been explored. Varying the implantation dose changes the nitrogen content of the oxide layer. Nitrogen (11%) is thought to be incorporated into Gd2O3 via filling oxygen vacancies.

P1-7 (Invited)
Advanced Lithography

Edge-contact MoS2 transistors fabricated using thermal scanning probe lithography, A. Conde-Rubio, X. Liu, G. Boero, J. Brugger, Microsystems Laboratory, École Polytechnique Fédérale de Lausanne (EPFL)

We propose the use of thermal scanning probe lithography for the fabrication of edge-contact MoS2 transistors. As compared to more conventional e-beam lithography, this patterning technique does not affect the 2DM, which results in better performance. In this way, we have fabricated edge-contact transistors with on/off ratios of 10^8.

P1-8
Advanced Lithography

Fluorescence Alignment for Atomic-Scale Position Adjustment in Ultraviolet Nanoimprint Lithography, H. Niinomi, S. Harada, T. Hayakawa, M. Nakagawa, Tohoku University

Position adjustment between a mold and a substrate in ultraviolet nanoimprint lithography has relied on the analysis of moire fringes generated by bar-mark arrays despite its high cost. Our simulation demonstrate that fluorescence imprint alignment method can achieve the position adjustment with atomic-scale precision independent from the conventional moire method.
P1-9
Biomedical Devices

Enhanced Area-Selective ALD Using Patterned Self-Assembled Monolayers, L. Chen, S. Parks, R. Ilic, L. Yu, C. Zhang, National Institute of Standards and Technology (NIST)

We used a fluorinated self-assembled monolayer (SAM) to enhance the area selectivity in area-selective atomic layer deposition (AS-ALD). Al2O3 thermal ALD deposition contrast study shows that fluorinated SAM patterned sample is better on both Al2O3 deposition thickness contrast and surface smoothness than the carbon hydrogen based SAM patterned sample.

P2-1
Biomedical Devices

Single Living Cell Analysis Nanoplatform for High-Throughput Interrogation of Gene Mutation and Cellular Behavior, Zaizai Dong, Lingqian Chang, Beihang University

A versatile single living cell analysis nanoplatform that integrates nano-electroporation-based delivery and DNA signal amplification probe is developed for high-throughput identifying the tumor gene mutant heterogeneities and in-situ tracking the behaviors.

P2-2
Biomedical Devices

Sensitive Optoelectronic Detection of Small Molecules Using Portable Metal Nanoparticle Readers, Md Ashif Ikbal*, Shoukai Kang**, Xiahui Chen*, Liangcai Gu**, Chao Wang*,*Arizona State University, **University of Washington

We demonstrate a highly sensitive plasmonic nanoparticle-based assay for optoelectronic detection of small molecules using a portable optoelectronic readout system, achieving ~100 pM detection limit of cannabidiol with high specificity.

P2-3
Biomedical Devices

Automatic Measurement of Surface Cobb Angle using Posterior View, M. Aslam, F. Rajbdad, Jian Xu, Electrical and Computer Engineering Department, Louisiana State University, Faiza Rdad, Shoaib Azmat, Electrical Engineering Department, COMSATS University Islamabad

In the proposed method, the Cobb angle is measured from the x-ray and surface of the posterior view of the subject. The correlation of surface and x-ray Cobb angles is calculated using linear regression. Value of coefficient of regression (R2) shows a strong correlation between surface and x-ray Cobb angles.

P3-1
Electron and Ion Beam Lithography

Focused ion beam direct writing of the magnonic structures into the metastable iron thin film, J. Holobrádek, O. Wojewoda, T. Hrnčíř*, T. Borůvka*, M. Hrabovský**, M. Urbánek***, CEITEC Brno University of Technology, *TESCAN s.r.o., **TESCAN ORSAY HOLDING, ***FME Brno University of Technology

Our system of metastable iron is suitable for FIB direct writing of magnetic microstructures. We can tune the properties (saturation magnetization, strength and direction of magnetic anisotropy, etc.) of such structures by changing the parameters of FIB. We employed these structures for spin-wave propagation.

P3-2
Electron and Ion Beam Lithography

Modification of graphene´s laminar resistance by a 10 keV electron beam, K. Moyano*, S. Nunez*, C. Reinoso**, E. Irribarra*, *Escuela Politécnica Nacional, ** University Yachay Tech

Graphene ink was deposited on a glass substrate by spring coating and irradiated by an electron beam in a range of energies between 1-10 keV for 30 minutes. We measured the laminar resistance of the films and observed a reduction of about 6% for energies higher than 8 keV.
P3-3
Electron and Ion Beam Lithography

Investigation of ultracold Rb ion beams for FIB applications, Y. Li, S. Xu, and E. J. D. Vredenbregt, Eindhoven University of Technology

A prototype FIB system is described that uses Rb+ ions from a cold-atom ion source. Miiling rates on various samples are reported. Sample damage is studied for Si showing ~8.6 nm amorphization at 8.5 keV beam energy. Deposition of Pt is also achieved.

P3-4
Electron and Ion Beam Lithography

EOS 72 – Chemically amplified resist with outstanding alkali stability, M. Grube*, M. Gerngroß*, H. Biller*, M. Schirmer*, J. K. Hohmann***ALLRESIST GmbH, **Institute of Microstructure Technology (IMT), Karlsruhe Institute of Technology (KIT)

P3-5
Electron and Ion Beam Lithography

Nanofabrication approach for Next-Generation High-Performance FinFET Technology, Sumit Choudhary, Midathala Yogesh, Satinder K. Sharma, Indian Institute of Technology, Mandi, India

For high performance transistors, the epitaxial germanium on silicon substrate (Ge-on-Si) could be a leading contender and instant solution for the next generation processing nodes. In this regard, we propose the facile cost-effective 4-layer EBL based processing protocols for 20 nm Ge FinFET using inhouse formulated and synthesized MAPDST resist.

P3-6
Electron and Ion Beam Lithography

Fabrication of ultrafine plasmonic Au nanostructures on dielectric supports using 10 keV EBL, H. Ahmed, M. Wu, M. Stepanova, Department of Electrical and Computer Engineering, University of Alberta

Low-energy (10 keV) electron-beam lithography was optimized to fabricate plasmonic nanostructures consisting of 50 nm pitch arrays of Au dots on dielectric (fused silica) substrates. To test the performance of the fabricated arrays, they were bio-functionalized and used for ultra-sensitive SERS detection of a protein IL-6/DNA complex.

P3-7
Electron and Ion Beam Lithography

Refractive free-form micro-optical elements and phase plates in lithium niobate by high-current focused Xe ion beam milling, S. Gorelick, A. de Marco

Refractive micro-optical components are typically limited in their surface profiles to focusing lenses (parabolic) and axicons (conical). Here, we demonstrate the fabrication and optical characterization of complex refractive optical elements that are not constrained to a particular shape and without axis of symmetry such as angle-modulated lenses and spiral-phase-plates.

P3-8
Electron and Ion Beam Lithography

Pulsed electron beam generation from InGaN photocathode, D. Sato, H. Shikano, A. Koizumi, T. Nishitani, Photo electron Soul Inc.

A pulsed electron beam with a pulse width of 3.8 ns and a current density of 2e3 A/cm2 was generated from InGaN photocathode. The rise and fall times were 1.7 and 2.0 ns, respectively. The temporal profile of the electron beam current showed a decay-like curve.

P3-9
Electron and Ion Beam Lithography

Effect of threading dislocation density on electron emission yield in InGaN photocathode, M. Idei, D. Sato*, A. Koizumi*, T. Nishitani*, Y. Honda**, H. Amano**, Dept. of Electronics, Nagoya Univ., *Photo electron Soul Inc., **IMaSS, Nagoya Univ.

Since GaN-based semiconductor photocathodes have high quantum efficiency and high durability, they are expected to be a high-brightness electron beam source with a large emission current. In this study, we focused on InGaN semiconductors to find a suitable substrate for higher quantum efficiency in order to achieve higher brightness.

P3-10
Electron and Ion Beam Lithography

Generalized Performance Optimization for Massively-Parallel Electron-beam Systems, M. D. Hasan and S.-Y. Lee, Auburn University

A generalized method of optimizing the performance of massively-parallel electron-beam systems has been developed with a cost function including the CD error, LER and exposing time. The efficiency of the method has been analyzed via an extensive simulation.

P4-1
Imaging and Characterization

Fast and Versatile Segmentation of Semiconductor materials based on a single example for metrology, J. Baderot, Y. Malot*, S. Martinez, J. Foucher, Pollen Metrology, *Pollen Metrology and University Clermont Auvergne

Object characterization is a critical step during the R&D phases of process development in the semiconductor industry. We developed a specific approach to use one annotation to perform automatic segmentation. These segmentations can be use for automatic extraction of measurments of features for the semiconductor industry.

P4-2
Imaging and Characterization

Imaging a sample in the aperture holder of the Helium Ion Microscope, A. Bunevich, D. Lopez Silva, K. L. Kavanagh, Simon Fraser University

The distance to transmission camera was increased locating the sample in Helium Ion Microscope beam limiting aperture holder. It allows us to have a larger beam spread and magnify an image using the objective lens.

P5-1
Micro- and Nano- Electromechanical Systems

Fabrication of Transmissive Microshutter Arrays, L. Jiang, N. Korivi, Y. Han*, J. Clark*, H. Liu**, Intel Corp., *Auburn University, **Huazhong University of Science and Technology**

A two-dimensional array of transmissive microshutters is designed and fabricated in which light throughput can be digitally modulated at each pixel. This functionality is important for spectroscopy such as the microshutter arrays used in the near-infrared spectrometer on the James Webb Space Telescope.

P6-1
Nanophotonics and Nanoplasmonics

The Nanolithography Toolbox: Device design at the nanoscale, M. Metzler*, K. C. Balram**, D. A. Westly*, M. Davanco*, K. E. Grutter***, Q. Li****, T. Michels*****, L. Yu*, R. J. Kasica*, L. Chen*, C. B. Wallin******, R. DeAlba******, V. Georgiou******, N. N. Klimov*, B. D. Hoskins*, G. Simelgor*, P. Neuzil*******, V. Svatos********, K. A. Dill*, N. A. Bertrand*, D. A. Czaplewski*********, K. A. Srinivasan*, S. M. Stavis*, V. A. Aksyuk*, J. A. Liddle*, B. R. Ilic*, *NIST, **University of Bristol, ***Laboratory for Physical Science, ****Carnegie Mellon University, *****GenISys, ******University of Maryland, *******Northwestern Polytechnical University, ********Brno University of Technology, *********Argonne National Laboratory

We have developed a computer-aided design (CAD) software package for scripting and streaming complex shapes to GDSII. Using vectorized shapes as parameterized building blocks, the Toolbox allows users to rapidly design and layout nanoscale devices of arbitrary complexity through scripting and programming.

P6-2
Nanophotonics and Nanoplasmonics

Giant Resonance Shifts upon Plasmonic Coupling of Complex Nanoscale Geometries, Yu Chang, Jacob T. Waitkus, Ke Du, Nikhil Bhalla*, Taerin Chung**, Haogang Cai**,Rochester Institute of Technology,*Ulster University,**NYU Langone Health

A design to enhance the detection capability of Au nanomushroom-based plasmonic sensors was proposed in this report through combination with Au nanoparticles (AuNPs). 40nm AuNPs were verified as the best choice for enhanced sensing.

P6-3
Nanophotonics and Nanoplasmonics

Linear-to-Circular Polarization Conversion with Broad Polarization Angular Span using Twisted Structures, Z. Jiang, W-D. Li, University of Hong Kong

Based on twisted bilayer photonic crystal slabs, a linear-to-circular polarization convertor that can work within a broad polarization angular span is successfully designed. Simulated results based on the modified RCWA algorithm show that linear-to-circular polarization conversion is available within a polarization angular span of more than 100 degrees.

P7-1
Simulation and Modeling for the Nanoscale

Simulation and modeling of He ion transmission channeling through thin membranes for superfocusing applications, Sourav Bhakta, Pratap Kumar Sahoo, National Institute of Science Education and Research

Ion beam channeling is one of the powerful and interesting tool to understand the interaction of ions with atomic potential inside matters. Rutherford backscattering channeling (RBS-C) technique provides many fascinating scope to identify the type of impurities, elemental information, layer thickness in the selective area of the sample.

Tuesday, May 31, 2022
2:00 pm - 3:00 pm CST
Startup Contest
P8-1
Startup Contest

HETEROMERGE - Startup Contest Application, R. Kirchner, Y. Yu, M. H. Wong, S. Das; J. Knorr, HETEROMERGE (c/o TU Dresden, Center for Advancing Electronics Dresden)

We offer innovative hardware, which enables fast and convenient multi-material 3D printing on 2PP systems (add-on solution to existing systems) to reach multi-material additive fabrication with material exchange 10x faster than today, at the highest resolution (10 nm placement accuracy), without design limitations and directly on active devices. .
P8-2
Startup Contest

Startup Contest Application - XRnanotech, Dr. Florian Döring, MBA

XRnanotech is an award-winning Swiss deep-tech company that develops innovative nanostructured diffractive optics based on advanced nanolithography.

P8-3
Startup Contest

POC Blood Biomarker, S. Ki, M. Chen, Y. Park, K. Kurabayashi, X. Liang, University of Michigan

The University of Michigan team has developed a point-of-care (POC) diagnostic module. The module is based on the on-chip colorimetric biosensing technology, which combines (a) light interactions with plasmonic gold nanoparticles aggregating upon antigen/antibody binding and (b) nanofabrication of ultralow-noise nanometer-thick two-dimensional photodetector channels.

P8-4
Startup Contest

Turn-Key Compressed Sensing System For Electron Microscopy, E.L. Principe, J.J. Hagen, B.W. Kempshall, K.E. Scammon, *Z. Russell, *M. Therezien, *T. McIntee, *S. DiDona
PanoScientific, LLC., *Ion Innovations3

P8-5
Electron and Ion Beam Lithography

SEM imaging by selective e-beaming using photoelectron beams from semiconductor photocathodes, T. Nishitani, H. Iijima, Y. Arakawa, S. Noda, A. Koizumi, D. Sato, H. Shikano, Y. Honda*, H. Amano*, Photo electron Soul Inc., *Nagoya University

Semiconductor photocathode has achieved practical durability in industrial applications by InGaN semiconductors. The photocathode-based electron gun has been installed in existing SEM by replacing the conventional electron gun. Pulsed e-beam has provided SEM with scanning that allows irradiation intensity and area to be selected arbitrarily within the field of view.

Pre-recorded Poster Presentations Due to severe travel restrictions, this year at we’ve had several speakers who are unable to attend EIPBN 2022. The travel dilemmas ranged from a multi week quarantine to visa processing delayed to as late as November 2022. To highlight the work from these accepted abstracts, we have included the following section with hyperlinks to poster (PDF) presentations. Please click on the hyperlinked title to view the presentation.
PR-1

A new parametric point spread function at low keV for next generation EUV mask proximity modeling and correction, Chun-Hung Liu, Hsing-Yi Hsieh, Yun-Chin Li, Chieh-Sheng Lee, Ze-An Ding, Ai-Ling Chang, Yi-Xuan Chen, Yung-Hsiang Chang, Wei-Yung Hsu, Shuen-Ping Wang and Kuan-Fu Huang, National Taitung University

We present results of resist response at 5-keV according to MC simulation on different EUV absorber materials and demonstrate a new compact 3-terms PPSF (1G+2E) which shows averagely 20% improvement than the best 3E one. We find intensity weight of η and η’ almost shows a positive correlation with Z.

PR-2

Development of Love wave resonators for biological sensing, C. Veras, A. Joulie*, A. Reinhardt*, T. Alava*, M. Bousquet*, P. Mailley, Univ. Grenoble-Alpes, CEA-LETI*, MINATEC Campus*

The present work focuses on the development of a MEMS based on Love wave resonators fabricated on a LiNbO3 substrate and a waveguide SiO2 layer. The SiO2 layer also plays two other essential roles acting as an electrical insulator and offering a surface on which to graft bioreceptors.

PR-3

Uniform plasma etching of trenches in thermally deposited silica for creating masks for III-V etching, Kaustubh Vyas, Ksenia Dolgaleva, University fo Ottawa

RIE lag is a known unavoidable problem in plasma etching which leads to aspect ratio dependent etching of microstructures. In this work, we demonstrate a process of plasma etching of PECVD Silica (SiO2) using electron beam resist ZEP520a which negates the issue of RIE lag.

PR-4

A magnetostatic Boundary Element Method (BEM) solver for the General Particle Tracer (GPT) code, S.B. van der Geer, M.J. de Loos, Pulsar Physics

Here we present a new extension to the well-established GPT simulation code that allows for the calculation of magnetostatic fields in complex 3D geometries using a hierarchical Boundary Element Method (BEM) solver. The extension incorporates parametric coil modelling, relativistic particle tracking and aberration analysis up to 7th order.

PR-5

Fabrication of ultrafine plasmonic Au nanostructures on dielectric supports using 10 keV EBL, H. Ahmed, M. Wu, M. Stepanova, Department of Electrical and Computer Engineering, University of Alberta

Low-energy (10 keV) electron-beam lithography was optimized to fabricate plasmonic nanostructures consisting of 50 nm pitch arrays of Au dots on dielectric (fused silica) substrates. To test the performance of the fabricated arrays, they were bio-functionalized and used for ultra-sensitive SERS detection of a protein IL-6/DNA complex.

PR-6

Anodic Tantalum: Fabrication, Breakdown Characteristics and Integration with a WSe2 Field Effect Transistor, Stephen C. Mbisike, Andreas Tsiamis and Rebecca Cheung, University of Edinburgh

Anodic tantalum dielectric has been developed and fabricated into a capacitor and WSe2 field effect transistor (FET). The breakdown properties of the capacitor has been studied with respect to annealing and the transfer characteristics of the FET has been analysed. Both devices have been fabricated using a mask-less optical lithography.

EIPBN 2022