EIPBN

EIPBN 2016 Authors Index


A | B | C | D | E | F | G | H | I | J | K | L | M | N | O | P | Q | R | S | T | U | V | W | X | Y | Z
Name Abstract

A

Abbott, J. A CMOS based nano-electrode array for high-throughput electrophysiology
Abraham, J. B. S. Direct Write Nanofabrication for Quantum Computing in Silicon and Color Centers in Diamond
Accardo, A. Lotus-Leaves-Like tailored surfaces tune the structural configuration of bio-soft matter compounds
Agarwal, A. Characterization of Nanofabricated Electron Transmission Gratings with Electron Diffraction
Aguirre, B. Direct Write Nanofabrication for Quantum Computing in Silicon and Color Centers in Diamond
Ahmad, A. Pattern-generation and pattern-transfer for sub-10nm devices
High Speed AFM Imaging and Nanolithography with Parallel Scanning Probes
Six - axes AFM in SEM with self-sensing and self-transduced cantilever for high speed analysis and nano-lithography
Ahmed, S. MWCNT-PET Films Prepared by Solution Casting for Electronics Applications
Aitkenhead, W. F. Development of a Nanocrystalline Diamond (NCD) Membrane for Vacuum Pressure Separation and Patterned Electron Beam Image Transfer
Development of Patterned Electron Beam Image Transfer though a Nanocrystalline Diamond Thin Film Membrane/Window
Akemeier, D. Development of a Nanocrystalline Diamond (NCD) Membrane for Vacuum Pressure Separation and Patterned Electron Beam Image Transfer
Development of Patterned Electron Beam Image Transfer though a Nanocrystalline Diamond Thin Film Membrane/Window
Aksyuk, Vladimir Optomechanical transducer for investigation of frequency fluctuations
Nanofluidic Liquid Cell with Integrated Electrokinetic Pump for In Situ TEM
Al Mutairi, Abdul Aziz Neutral surface modification by e-beam exposure for PS-b-PMMA self assembly
Alaverdyan, Y. Direct Write Electron Beam Lithography for Top-down Fabrication of sol-gel based ZnO micro-nano FETs
Alexander-Katz, A. Fabrication of Multilayer Complex Nanomesh Patterns
Alfredo-Katz, A. Multidirectional BCP Alignment by Thermal-Coefficient-of-Expansion Mismatch Shear
Alkemade, P. Study of Ion-Induced Defect Migration in Boron-Nitride Encapsulated Graphene
Allen, F.I. Sub-10-nm Three-Dimensional Plasmonic Probes fabricated using a Helium Ion Microscope
Almeida, C. R. III-V NanoWires for Junctionless Transistors Fabricated by Focused Ion Beam (FIB) System
Altana, M. Surface confined equilibration for super-smooth surfaces
High fidelity 3D thermal nanoimprint with UV curable PDMS stamps
Amsden, J.J. Multi-Beam Magnetic Sector Mass Spectrometry Utilizing Spatially Coded Apertures
Andriolo, J.M. Melt Electrospinning: Method for Producing Photo-converting Nanocomposite Materials
Angelov, T. Six - axes AFM in SEM with self-sensing and self-transduced cantilever for high speed analysis and nano-lithography
Angelov, Tihomir Pattern-generation and pattern-transfer for sub-10nm devices
High Speed AFM Imaging and Nanolithography with Parallel Scanning Probes
Arceo, A. Study of Alternate Hardmasks for EUV Patterning
Arnold, J Directed Self-Assembly patterning options for FinFET formation at 7nm node
Atanasov, I. Six - axes AFM in SEM with self-sensing and self-transduced cantilever for high speed analysis and nano-lithography
Atanasov, Ivaylo High Speed AFM Imaging and Nanolithography with Parallel Scanning Probes
Atikian, H. Direct Write Nanofabrication for Quantum Computing in Silicon and Color Centers in Diamond
Aufrecht, J. Replicating Natural Environments: Soil-inspired Microfluidic Architectures
Austin, R. H. Puzzles and Promises in Nanoscale Biology
Awale, A. Fabrication of cylindrical neural probes with integrated conductor wiring
Ayari-Kanoun, Asma Silicon nanostructures with negatively tapered profile by ICP-RIE
Aydinoglu, F. Electron beam lithography using grafted polystyrene monolayer brush
Down to 7 nm pores in Si by photo-assisted electrochemical etching
Mixture of ZEP and PMMA with tunable sensitivity as a liftoff layer with controllable undercut
Aydinoglu, Ferhat Silicon nanostructures with negatively tapered profile by ICP-RIE
Azhar, S. S. Initial Design and Nanofabrication of Energetically Efficient Biologically Motivated Contact

B

Babin, S. Near field campanile probe fabricated by nanoimprint lithography
Babocky, J. Patterning large area plasmonic nanostructures on non-conductive substrates using variable pressure electron beam lithography
Bagal, A. Optical Properties of “Air-Like” Ordered 3D Thin-Shell Nanolattice Materials
Design and Fabrication of an In-Plane Nano structured Solar Concentrator
Fabrication of Metallic Microstructured Nano-Accordions for Transparent Electrodes
Bagal, Abhijeet Lightweight Ultrastrong Thin-Shell Nanolattice Material for Enhanced Energy Dissipation
Balachandran, Bala Nanoimprinted Perovskite Micro- Nanostructures for Photovoltaics
Balachandran, Balasubramaniam High-Performance Nanoimprinted Perovskite Nanograting Photodetector
Ballard, J. Tip-assisted Dopant Incorporation Process for Atomic Precision P-in-Si qubit devices
Ballard, J.B. Fiducial Registration and Field Stitching for Multi-Scale Scanning Tunneling Microscope Lithography
Bastiaens, A.J. Brain on Chip
Bauerdick, S. Nanopores in Silicon Nitride Membranes, Graphene and CNM: Milling and Imaging Techniques at the Helium Ion Microscope
Bedewy, M. Lithographically patterned nanostructures for geometric control of coiled-coil protein placement and alignment
Beisel, J.D. Lithography via Aligned Electrospun Fibers
Belianinov, Alex Focused helium-ion induced direct write engineering of 2D materials
Belyansky, M. Study of Alternate Hardmasks for EUV Patterning
Benkouider, A. Ultra-fast nano-fabrication using Xe-plasma FIB-SEM and its Cu milling applications using the Rocking-stage
Ultrafast nanofabrication with Xe plasma FIB-SEM and its planar milling applications with novel Rocking stage Technology
Berger, Luisa Focused Electron Beam Induced Processing in ultra-high vacuum: new routes for the fabrication of clean metallic nanostructures and the reduction of proximity effects
Berggren, K. K. Fabrication of Multilayer Complex Nanomesh Patterns
Multidirectional BCP Alignment by Thermal-Coefficient-of-Expansion Mismatch Shear
Rule-based patterning of a multi-state system by block copolymer self-assembly
Characterization of Nanofabricated Electron Transmission Gratings with Electron Diffraction
Lithographically patterned nanostructures for geometric control of coiled-coil protein placement and alignment
Design and Numerical Analysis of a Coherent Electron Resonator for the Quantum Electron Microscope
Berry, L. Overview of Atomic Layer Etching – From the Ion Beam Perspective
Bertani, Paul A high-throughput nano-electroporation platform for large-scale manipulation and transfection of adult mouse cardiomyocytes
Bertino, J.R. Electronic Quantification of Surface Proteins on Circulating Tumor Cells Based on Bead-CTC Aggregate Sizing
Besson, T. The next generation of Maskless Lithography
Beyer, A. Nanopores in Silicon Nitride Membranes, Graphene and CNM: Milling and Imaging Techniques at the Helium Ion Microscope
Biafore, John J. Evaluating the Optical and Resist Contributions to Line-Edge Roughness in EUV Lithography Using Stochastic Simulation
Bielejec, E. Direct Write Nanofabrication for Quantum Computing in Silicon and Color Centers in Diamond
Bigelow, Nicholas W. Self and directed assembly of metallic nanoparticles via PLiD and STEM/ EELS characterization of plasmon-related effects
Bingrui, Lu Ultra high aspect ratio X-ray photon sieves by deep reactive ion etch on silicon and electroplating
Bischoff, L. Ion Beam Injector based on High Current LMIS
Black, C. Aberration-Corrected Electron-Beam Lithography
Black, C.T. Sub-5 nm electron-beam lithography and metrology of poly (methyl methacrylate) using an aberration-corrected scanning transmission electron microscope
Selective Directed Self Assembly of Coexisting Morphologies Using Block Copolymer Blends
Bobko, Christopher Lightweight Ultrastrong Thin-Shell Nanolattice Material for Enhanced Energy Dissipation
Bock, D. Ion Beam Injector based on High Current LMIS
Boese, O. Lithography via Aligned Electrospun Fibers
Bok, J. Patterning large area plasmonic nanostructures on non-conductive substrates using variable pressure electron beam lithography
Bol, A.A. Area-selective atomic layer deposition using e-beam and photosensitive masking layers
Bonam, R.K. Large Area Three Dimensional Structure Fabrication using Multi-layer Electron Beam Lithography
Bonam, Ravi K. Materials characterization for Multi-Layer Electron Beam Lithography
Borys, N. J. Near field campanile probe fabricated by nanoimprint lithography
Borys, N. Fabrication of 3 Dimensional Photonic Crystals with Waveguides for visible Light
Brady, D.W. Multi-Beam Magnetic Sector Mass Spectrometry Utilizing Spatially Coded Apertures
Breaux, Caleb L. Free Energy and Frequency of Defects in Chemoepitaxial Block Copolymer Directed Self-Assembly: Effect of Pattern Density Multiplication Factor, Defect Size, and Defect Position
Briggs, Dayrl P. Development of transparent microwell arrays for optical monitoring and dissection of microbial communities
Briggs, Paige Development of transparent microwell arrays for optical monitoring and dissection of microbial communities
Brodoceanu, D. Silicon nanowire and hole arrays by a combination of self-assembly, laser ablation, and wet chemical etching
Bruccoleri, A. R. Fabrication Process for 200 nm-Pitch Polished Freestanding Ultra-High Aspect Ratio Gratings
Brueck, S.R.J. Metrology of Sub-65nm Resist Gratings on Flexible Substrate Made by Jet and Flash Nanoimprint Lithography
Bunting, A. Suspended two-dimensional MoS2 transistor
Burns, S Directed Self-Assembly patterning options for FinFET formation at 7nm node
Busani, Tito Metrology of Sub-65nm Resist Gratings on Flexible Substrate Made by Jet and Flash Nanoimprint Lithography

C

Cabrini, S. Near field campanile probe fabricated by nanoimprint lithography
Printed Active Photonic Crystals in High Refractive Index Functional Materials for Visible Light Applications
Sub-10-nm Three-Dimensional Plasmonic Probes fabricated using a Helium Ion Microscope
Fabrication of 3 Dimensional Photonic Crystals with Waveguides for visible Light
Cai, H. Out-of-plane spatial control at single-molecule resolution on biomimetic surfaces
Cai, Jingxuan Thermal Nanoimprint of Soda-Lime Glass Using Induction Heating and Sapphire Molds
Calafiore, G. Near field campanile probe fabricated by nanoimprint lithography
Printed Active Photonic Crystals in High Refractive Index Functional Materials for Visible Light Applications
Sub-10-nm Three-Dimensional Plasmonic Probes fabricated using a Helium Ion Microscope
Calusine, G. Fabrication of High-Coherence Superconducting Qubits
Camacho, R. Direct Write Nanofabrication for Quantum Computing in Silicon and Color Centers in Diamond
Camden, Jon P. Self and directed assembly of metallic nanoparticles via PLiD and STEM/ EELS characterization of plasmon-related effects
Cao, X. Mulitiplexed Molecular Assays Using Nanoelectronically Barcoded Beads
Carrasco, Esther On the Magnetic Properties of Clean Iron Nanostructures Fabricated by Focused Electron Beam Induced Processing
Carroll, M. S. Direct Write Nanofabrication for Quantum Computing in Silicon and Color Centers in Diamond
Carroll, M. Donor quantum-dot coupled qubits
Celiker, O. T. Characterization of Nanofabricated Electron Transmission Gratings with Electron Diffraction
Design and Numerical Analysis of a Coherent Electron Resonator for the Quantum Electron Microscope
Chalvin, Florian Impact of template stiffness during peeling release in nanoimprint lithography
Chan, Julia Y. Nanoimprinted Perovskite Micro- Nanostructures for Photovoltaics
Chang, C. Optical Properties of “Air-Like” Ordered 3D Thin-Shell Nanolattice Materials
Fabrication of Magnetically Tunable Periodic Nanostructures
Design and Fabrication of an In-Plane Nano structured Solar Concentrator
Chang, Chih-Hao Three-Dimensional Colloidal Interference Lithography
Lightweight Ultrastrong Thin-Shell Nanolattice Material for Enhanced Energy Dissipation
Chang, E. H. Lithiation of Sn Microspheres: Li FIB vs Electrochemistry
Chang, E. Reconstructing Focused Ion Beam Profile by Iterative Simulation Methodology
Chang, J. Rule-based patterning of a multi-state system by block copolymer self-assembly
Chang, L. Application of Gettering Layers for Low Temperature Conversion of Magnetic Oxides into Ferromagnetic Metals in Thin Films, Multilayers, and Nanostructured Arrays, W. Qiu
Chang, Lingqian A high-throughput nano-electroporation platform for large-scale manipulation and transfection of adult mouse cardiomyocytes
Chaudhary, N. The Impact of Parallelism on Data Volumes for a Multibeam Mask Writer
Chen, E.X. Multi-Beam Magnetic Sector Mass Spectrometry Utilizing Spatially Coded Apertures
Chen, H. Significant Light Extraction Enhancement of Organic Light-Emitting Diodes Using Embedded High-index Deep-Groove Dielectric Nanomesh Fabricated by Large-area Nanoimprint
Chen, M. A New Opportunity to Fabricate Multi-Bit Transistor Memories Using Mechanically Exfoliated Multilayer WSe2 Flakes
Repetitive Nanoprinting Technique for Producing Vertically Stacked Transition Metal Dichalcogenide Heterostructure Arrays and Photo-Response Devices
Chen, P. Fabrication of Ambipolar Nanoelectronic/Microfluidic-Integrated Biosensors for Cycle-Wise Real-time Biomolecule Quantification
Chen, S. Polymer Molecular Weight Governs Feature Size During Tip-Based Fabrication of Polymer Nanostructures
Chen, Shuo The effect of the grating profile on the illumination uniformity of the X-ray condenser
Chen, Y. Sketch and Peel Lithography
Dense metasurface with high efficiency dense metasurface for broadband optical vortex by high resolution electron beam lithography
Chen, Yifang Fabrication of Au lamellae nanostructure for high sensitive biosensor applications
Ultra high aspect ratio X-ray photon sieves by deep reactive ion etch on silicon and electroplating
The Optimization of T-shape Gate Geometry in GaN HEMTs by Monte Carlo Simulation
The effect of the grating profile on the illumination uniformity of the X-ray condenser
A novel approach for the reduction and inspection of sidewall roughness of patterned resist
Nanofabrication of arch metal structures as gas/biosensors by grayscale electron beam lithography
Nanofabrication of metasurface with gold polycyclic radial apertures for optical vortex by EBL
Chen, Yong Multiscale Porous Structure Enabled by Variable Voxel Stereolithography
Chen, Yulong Nanoimprint technology for patterning functional ZrO2 ceramic materials
Cheng, EY.T. Development of Patterned Electron Beam Image Transfer though a Nanocrystalline Diamond Thin Film Membrane/Window
Cheng, Kwang-Ting 3D Hybrid Memristor/CMOS Circuits with 8 Monolithically Integrated Crossbar Layers
Cheng, Xing An Optimized Dual-Axis Electrolytic Tilt Sensor
Nanoimprint technology for patterning functional ZrO2 ceramic materials
A New UV-curable Resist with Liquid Volume-Expanding Monomers
Optical Waveguiding in UV-Curable Nanoimprint
Cheng, Y.T. Development of a Nanocrystalline Diamond (NCD) Membrane for Vacuum Pressure Separation and Patterned Electron Beam Image Transfer
Cherqui, Charles Self and directed assembly of metallic nanoparticles via PLiD and STEM/ EELS characterization of plasmon-related effects
Cheung, R. Suspended two-dimensional MoS2 transistor
Chiang, Chiling A high-throughput nano-electroporation platform for large-scale manipulation and transfection of adult mouse cardiomyocytes
Chidambaram, N. Surface confined equilibration for super-smooth surfaces
High fidelity 3D thermal nanoimprint with UV curable PDMS stamps
Cho, E. Y. Focused Helium Ion Beam Josephson Junctions and Nanowires
Cho, J. H. Growth of high quality graphene on sub-300 nm thick copper thin films
Cho, K. Thermal stresses and cracks in a solution-processed ITO nanoparticle-thin film heater
Electrical characteristics of a-Si:H TFTs under bending stresses
Cho, Y.T. Etching Characteristics of Fe3O4 Thin Film for Absorptive WGP with 45 nm Line and Space Pattern Fabricated by Nano Imprint Lithography
Cho, Young Tae Fabrication of large area super hydro-phobic film by R2R nano imprint system
Choi, H. K. Rule-based patterning of a multi-state system by block copolymer self-assembly
Choi, J. Analytic Estimation of LER for Large-Scale Uniform Patterns in Electron-beam Lithography
Noise Filtering for Accurate Measurement of Line Edge Roughness and Critical Dimension from SEM Images
Choi, K.B. Single photon direct laser writing using high power laser diode to fabricate diffractive optical elements
Chou, S. Y. Significant Light Extraction Enhancement of Organic Light-Emitting Diodes Using Embedded High-index Deep-Groove Dielectric Nanomesh Fabricated by Large-area Nanoimprint
20 Years of Nanoimprint
Large-area Freestanding Si Nanowire Arrays in Organic Matrices
Chunder, Anindarupa Evaluating the Optical and Resist Contributions to Line-Edge Roughness in EUV Lithography Using Stochastic Simulation
Chung, C.H. Sub-10 nm Electron Beam Lithography by Using Rapid and Cold Development of ZEP-520A
Cioldin, F. H. Ga+ Focused Ion Beam Lithography as a Viable Alternative for Multiple Fin FinFET Prototyping
Colburn, M Directed Self-Assembly patterning options for FinFET formation at 7nm node
Colon, A. Atomic Layer Deposition of Ternary Dielectrics for Gate Insulation and Passivation of GaN-based Metal-Insulator-Semiconductor Heterojunction Field Effect Transistors
Con, Celal High aspect ratio and high resolution nanofabrication using self-assembly of salt-polymer nanocomposite film
Enhanced etching resistance of e-beam resist Na-PSS by adding metal compound into resist or developer
Coste, Antione Measurement error in metal nanostructures on insulating substrates induced by electron beam irradiation
Cotta, M. A. III-V NanoWires for Junctionless Transistors Fabricated by Focused Ion Beam (FIB) System
Cross, Nick Focused helium-ion induced direct write engineering of 2D materials
Cui, B. Electron beam lithography using grafted polystyrene monolayer brush
Down to 7 nm pores in Si by photo-assisted electrochemical etching
Silicon nanostructures with negatively tapered profile by ICP-RIE
High aspect ratio and high resolution nanofabrication using self-assembly of salt-polymer nanocomposite film
Enhanced etching resistance of e-beam resist Na-PSS by adding metal compound into resist or developer
Mixture of ZEP and PMMA with tunable sensitivity as a liftoff layer with controllable undercut
Neutral surface modification by e-beam exposure for PS-b-PMMA self assembly
Cui, Dehu A significant vaporized degradable film assisting demoulding technics in nanoimprinting lithography
Cullinan, M. Growth of high quality graphene on sub-300 nm thick copper thin films
Cybart, S. A. Focused Helium Ion Beam Josephson Junctions and Nanowires

D

Dai, S. Ionic Liquid Gating effects on a-IGZO Thin Film Transistors: A Novel Method for Amorphous Metal Oxide Activation
Dallorto, Stefano Single-digit nanofabrication: ultrahigh density sub-10 nm TiO2 features via the self-aligned double patterning process
Pattern-generation and pattern-transfer for sub-10nm devices
Dandley, E. Optical Properties of “Air-Like” Ordered 3D Thin-Shell Nanolattice Materials
Dandley, Erinn C. Lightweight Ultrastrong Thin-Shell Nanolattice Material for Enhanced Energy Dissipation
Danell, R.M. Multi-Beam Magnetic Sector Mass Spectrometry Utilizing Spatially Coded Apertures
Dani, J. A. Fabrication of cylindrical neural probes with integrated conductor wiring
Das, R. Fabrication of High-Coherence Superconducting Qubits
Dawson, Noel Metrology of Sub-65nm Resist Gratings on Flexible Substrate Made by Jet and Flash Nanoimprint Lithography
de Coulomb, C.A Block Copolymer Nanolithography
De Silva, A. Study of Alternate Hardmasks for EUV Patterning
Delgado, C. Tip-assisted Dopant Incorporation Process for Atomic Precision P-in-Si qubit devices
den Toonder, J.M.J. Brain on Chip
Microfluidic devices: merging technology and biology
Deng, Jianan The Optimization of T-shape Gate Geometry in GaN HEMTs by Monte Carlo Simulation
Nanofabrication of arch metal structures as gas/biosensors by grayscale electron beam lithography
Nanofabrication of metasurface with gold polycyclic radial apertures for optical vortex by EBL
Deng, Xiao Atomically Precise Devices: Enabling Fundamentally New Devices at the Ultimate Atomic Limit
Deng, Yang An Optimized Dual-Axis Electrolytic Tilt Sensor
Depoil, D. Out-of-plane spatial control at single-molecule resolution on biomimetic surfaces
Dey, R. K. Electron beam lithography using grafted polystyrene monolayer brush
Mixture of ZEP and PMMA with tunable sensitivity as a liftoff layer with controllable undercut
Dhuey, S. Printed Active Photonic Crystals in High Refractive Index Functional Materials for Visible Light Applications
Fabrication of 3 Dimensional Photonic Crystals with Waveguides for visible Light
DiDona, S.T. Multi-Beam Magnetic Sector Mass Spectrometry Utilizing Spatially Coded Apertures
Diez, S. The next generation of Maskless Lithography
Ding, W. Significant Light Extraction Enhancement of Organic Light-Emitting Diodes Using Embedded High-index Deep-Groove Dielectric Nanomesh Fabricated by Large-area Nanoimprint
Diniz, J. A. Ga+ Focused Ion Beam Lithography as a Viable Alternative for Multiple Fin FinFET Prototyping
III-V NanoWires for Junctionless Transistors Fabricated by Focused Ion Beam (FIB) System
Divan, R. Fabrication of High Resolution Zone Plates with High Aspect Ratio using Metal-assisted Chemical Etching
Atomic Layer Deposition of Ternary Dielectrics for Gate Insulation and Passivation of GaN-based Metal-Insulator-Semiconductor Heterojunction Field Effect Transistors
UV-Accelerated Detection and Recovery of CH4 in ZnO Functionalized Multi-walled Carbon Nanotube Sensors
Do, H.-W. Rule-based patterning of a multi-state system by block copolymer self-assembly
Lithographically patterned nanostructures for geometric control of coiled-coil protein placement and alignment
Doise, J. Design Strategy for Layout of Sub-Resolution Directed Self-Assembly Assist Features (SDRAFs)
Doktycz, M. Replicating Natural Environments: Soil-inspired Microfluidic Architectures
dos Santos, M. V. P. Ga+ Focused Ion Beam Lithography as a Viable Alternative for Multiple Fin FinFET Prototyping
Dragoi, V. Fabrication of cylindrical neural probes with integrated conductor wiring
Dreser, C. Tilted lithography and pattern transfer for the fabrication of asymmetric 3D plasmonic nanostructures
Drezner, Y. Focused ion beam nano-trench shape dependence on target material
Drost, Martin Focused Electron Beam Induced Processing in ultra-high vacuum: new routes for the fabrication of clean metallic nanostructures and the reduction of proximity effects
On the Magnetic Properties of Clean Iron Nanostructures Fabricated by Focused Electron Beam Induced Processing
Du, George Nanoscale interdigital electrode arrays for smart water sensing
Duan, H. Sketch and Peel Lithography
Durrani, Zahid Pattern-generation and pattern-transfer for sub-10nm devices
Duscher, Gerd Focused helium-ion induced direct write engineering of 2D materials
Dustin, M. Out-of-plane spatial control at single-molecule resolution on biomimetic surfaces
Dynes, R. C. Focused Helium Ion Beam Josephson Junctions and Nanowires

E

Eder, Sabrina Daniela Atom Eyes: „The Neutral helium Microscope NEMI
Edgington, R. Development of a Nanocrystalline Diamond (NCD) Membrane for Vacuum Pressure Separation and Patterned Electron Beam Image Transfer
Development of Patterned Electron Beam Image Transfer though a Nanocrystalline Diamond Thin Film Membrane/Window
Eichfeld, C.M. Patterning of non-planar surfaces via electron beam lithography and its challenges
Ekinci, Y. A comparative study of resists and lithographic tools using Lumped Parameter Model
Elliott, C. Metal Free Adhesion of Au onto Si Native Oxide
Shape Positional Accuracy Optimization via Writing Order Correction
Elnathan, R. Silicon nanowire and hole arrays by a combination of self-assembly, laser ablation, and wet chemical etching
Emmrich, D. Nanopores in Silicon Nitride Membranes, Graphene and CNM: Milling and Imaging Techniques at the Helium Ion Microscope
Emon, Daud Hasan Injection of 2D Electron Gas into a Quantum-Dot Organic Light-Emitting Diode Structure on Silicon Substrate
Englund, D. Direct Write Nanofabrication for Quantum Computing in Silicon and Color Centers in Diamond
Enyama, M. Aberration Calculation of Chicane Type Magnetic Sector using Differential Algebraic Method
Esfandiarpour, S. Plasmonic Nanostructures using Cell-less Liquid-Phase Electron Beam Induced Deposition
Evangelio, Laura Integration of scanning probe lithography with directed self-assembly of PS/PMMA based block-copolymers
Assessing the local nanomechanical properties of self-assembled block co-polymers thin films by Peak Force tapping
Evans-Lutterodt, Kenneth Study of Reactive Ion Etching for Kinoform Lenses
Evans, R. Direct Write Nanofabrication for Quantum Computing in Silicon and Color Centers in Diamond
Eyhusen, S. 3D Nano Tomography, TEM Lamella Preparation, and Automated Nanofabrication with ORION NanoFab

F

Fallica, R. A comparative study of resists and lithographic tools using Lumped Parameter Model
Fan, Zengju A New UV-curable Resist with Liquid Volume-Expanding Monomers
Farhat, I. A. H. Initial Design and Nanofabrication of Energetically Efficient Biologically Motivated Contact
Farrell, R Directed Self-Assembly patterning options for FinFET formation at 7nm node
Felix, N. Directed Self-Assembly patterning options for FinFET formation at 7nm node
Study of Alternate Hardmasks for EUV Patterning
Felts, Jonathan R. Nanolithographic Chemical Patterning on Graphene Using Local Stress and Heat
Fernández, Ariadna Hierarchical micro/nano structures for enhanced self-cleaning applications
Fiala, J. Patterning large area plasmonic nanostructures on non-conductive substrates using variable pressure electron beam lithography
Fink, Rainer On the Magnetic Properties of Clean Iron Nanostructures Fabricated by Focused Electron Beam Induced Processing
Flagello, D Forecasting Optical Lithography - A Fool’s Errand !
Flatabø, Ranveig Measurement error in metal nanostructures on insulating substrates induced by electron beam irradiation
Fleischer, M. Tilted lithography and pattern transfer for the fabrication of asymmetric 3D plasmonic nanostructures
Fleming, J. Lithography via Aligned Electrospun Fibers
Fluerasu, Andrei Study of Reactive Ion Etching for Kinoform Lenses
Fowlkes, J. D. Laser Assisted Focused Ion Beam Processing
Fowlkes, Jason D. Self and directed assembly of metallic nanoparticles via PLiD and STEM/ EELS characterization of plasmon-related effects
France, Arkema Assessing the local nanomechanical properties of self-assembled block co-polymers thin films by Peak Force tapping
Francone, Achille Hierarchical micro/nano structures for enhanced self-cleaning applications
Franke, E Directed Self-Assembly patterning options for FinFET formation at 7nm node
Frimat, J.P. Brain on Chip
Fuchs, U. Tip-assisted Dopant Incorporation Process for Atomic Precision P-in-Si qubit devices
Fiducial Registration and Field Stitching for Multi-Scale Scanning Tunneling Microscope Lithography
Fujii, K. Fabrication of Patterned Multilayer Structure by using Novel Reversal Imprinting
Fukuhara, K. Overlay improvement in nanoimprint lithography for 1x-nm patterning
Fukuyama, R. Micropiercing of titanium foil by combination of a roll press method and dry etching

G

Gadelrab, K. Fabrication of Multilayer Complex Nanomesh Patterns
Multidirectional BCP Alignment by Thermal-Coefficient-of-Expansion Mismatch Shear
Galambos, L. Development of a Nanocrystalline Diamond (NCD) Membrane for Vacuum Pressure Separation and Patterned Electron Beam Image Transfer
Development of Patterned Electron Beam Image Transfer though a Nanocrystalline Diamond Thin Film Membrane/Window
Garbowski, T. Imaging semiconductor patterns at N10 logic node with a high-throughput multi-beam SEM
Gardeniers, J.G.E. Brain on Chip
Garreis, R. Imaging semiconductor patterns at N10 logic node with a high-throughput multi-beam SEM
Ge, H. Thermal Nanoimprint of Soda-Lime Glass Using Induction Heating and Sapphire Molds
Large-area Fabrication of Chirped Gratings
Gehm, M.E. Multi-Beam Magnetic Sector Mass Spectrometry Utilizing Spatially Coded Apertures
Gheewala, M. Fabrication of cylindrical neural probes with integrated conductor wiring
Ghofrani, Amirali 3D Hybrid Memristor/CMOS Circuits with 8 Monolithically Integrated Crossbar Layers
Gholizadeh, Azam Ultra-Compact Microfluidic Valves Using Magnetorheological Fluid Patterned on an Elastomeric Membrane
Gierak, J. Laser-Micromachined Carbon Xerogel Ionic Liquid Ion Sources for Focused Ion Beams
Gifford, S. M. Bio-inspired Silicon Nanospikes Fabricated by Metal-Assisted Chemical Etching for Antibacterial Applications
Glass, J.T. Multi-Beam Magnetic Sector Mass Spectrometry Utilizing Spatially Coded Apertures
Goetze, B. 3D Nano Tomography, TEM Lamella Preparation, and Automated Nanofabrication with ORION NanoFab
Gölzhäuser, A. Nanopores in Silicon Nitride Membranes, Graphene and CNM: Milling and Imaging Techniques at the Helium Ion Microscope
Goodyear, A. SiO2 plasma etching using SF6 with O2/Ar mixtures down to cryogenic temperatures
Gorman, J. Growth of high quality graphene on sub-300 nm thick copper thin films
Goto, M. Fabrication of an optomechanical resonator with a two-interface surface plasmonic structure for the wavelength detection
Gotszola, D. UV-Accelerated Detection and Recovery of CH4 in ZnO Functionalized Multi-walled Carbon Nanotube Sensors
Greenzweig, Y. Monte-Carlo Simulations of Ion Beam Sputtering in Compounds
Focused ion beam nano-trench shape dependence on target material
Greve, M. M. Measurement error in metal nanostructures on insulating substrates induced by electron beam irradiation
Investigating the color change in annealed gold nano particle arrays
Gu, C.Z. Fabrication of Nanojunction with Sub-10 nm Nanogap for Surface Enhanced Raman Scattering by Tensile Stress Mechanically Breaking
Microfabrication of Planar Spectrum Splitting and Beam Concentration Diffractive Optical Element for Lateral Multijunction Photovotaic System
3D Nanostructures fabricated by ion beam technology
Guillorn, M Directed Self-Assembly patterning options for FinFET formation at 7nm node
Gulyiev , Elshad Pattern-generation and pattern-transfer for sub-10nm devices
Gulyiev, E. High Speed AFM Imaging and Nanolithography with Parallel Scanning Probes
Six - axes AFM in SEM with self-sensing and self-transduced cantilever for high speed analysis and nano-lithography
Gundel, L. UV-Accelerated Detection and Recovery of CH4 in ZnO Functionalized Multi-walled Carbon Nanotube Sensors
Guo, R. Analytic Estimation of LER for Large-Scale Uniform Patterns in Electron-beam Lithography
Noise Filtering for Accurate Measurement of Line Edge Roughness and Critical Dimension from SEM Images
Guo, Xu Thermal Nanoimprint of Soda-Lime Glass Using Induction Heating and Sapphire Molds
Guo, Yubing Novel Plasmonic Metamasks for Photopatterning Molecular Orientations in Liquid Crystals
Gustavsson, S. Fabrication of High-Coherence Superconducting Qubits
Guzman, A. Probing Breast Cancer Cell Response to Heterogeneous Rigidity at the Nanoscale

H

Hagen, C. W. Design of a simple add-on to change a single-beam SEM into a multi-beam SEM
High Throughput Imaging in the Delft Multi Beam SEM
Haglund, A. V. Ionic Liquid Gating effects on a-IGZO Thin Film Transistors: A Novel Method for Amorphous Metal Oxide Activation
Halder, S. Imaging semiconductor patterns at N10 logic node with a high-throughput multi-beam SEM
Halle, S. Study of Alternate Hardmasks for EUV Patterning
Halsted, Michelle Development of transparent microwell arrays for optical monitoring and dissection of microbial communities
Ham, D. A CMOS based nano-electrode array for high-throughput electrophysiology
Hamilton, R. Ultra-Small Hysteresis of Submicrometer Shape Memory Alloys Prepared by Biased Target Ion Beam Deposition for Actuation Applications
Han, L. L. Metal Cation Motion Induced Resistance Switching in Highly Reliable Hafnium Oxide based Memristive Devices
Han, Maeum Carbon nanotube yarn based thermal sensor for measuring acceleration and tilting
Han, S.J. Toward high-performance nanoelectronics based on carbon nanotubes
Hansen, Ryan R. Micro- and Nano-structured, Biofunctional Block Co-Polymer Interfaces for High-Avidity Bacteria Capture
Development of transparent microwell arrays for optical monitoring and dissection of microbial communities
Harbor, Ann Novel Plasmonic Metamasks for Photopatterning Molecular Orientations in Liquid Crystals
Haroldson, Ross High-Performance Nanoimprinted Perovskite Nanograting Photodetector
Nanoimprinted Perovskite Micro- Nanostructures for Photovoltaics
Harris, H. Rusty Mask registration and array efficiency for nitride FinFET prototyping
Haruyama, Yuichi Molecular orientation evaluation of negative-tone and positive-tone photo-cross-linkable liquid crystalline polymer pattern fabricated by nanoimprint-graphoepitaxy
Hastings, J. T. Plasmonic Nanostructures using Cell-less Liquid-Phase Electron Beam Induced Deposition
Electron-Beam Induced Deposition of Highly Conductive Copper Nanowires from Bulk Liquids
Hatano, M. Overlay improvement in nanoimprint lithography for 1x-nm patterning
Hayashi, T. Characteristics of residual layer thickness on liquid transfer imprint lithography and roll press method
Hazard, T. M. High density overlapping gate architecture for Si/SiGe quantum dots
Heilmann, R. K. Fabrication Process for 200 nm-Pitch Polished Freestanding Ultra-High Aspect Ratio Gratings
Henderson, Clifford L. High Resolution Organic Resist Materials for EUV and E-beam Lithography Based on Molecular Glasses: A Comparison of Negative Tone and Positive Tone Approaches to Form Robust Cross-linked Polymer Nanopatterns
Free Energy and Frequency of Defects in Chemoepitaxial Block Copolymer Directed Self-Assembly: Effect of Pattern Density Multiplication Factor, Defect Size, and Defect Position
Heo, Jinseok Study on the Dose Performance of EUV Lithography Scanner Using Novel In-wafer Dose Measurement
Hesselink, L. Development of a Nanocrystalline Diamond (NCD) Membrane for Vacuum Pressure Separation and Patterned Electron Beam Image Transfer
Development of Patterned Electron Beam Image Transfer though a Nanocrystalline Diamond Thin Film Membrane/Window
Hetzer, D Directed Self-Assembly patterning options for FinFET formation at 7nm node
Hildreth, Owen Catalyst motion in Metal-assisted Chemical Etching
Hirai, Y. Effect of Elastic Modulus of UV Cured Resist on Demolding Force
Impact of template stiffness during peeling release in nanoimprint lithography
Computational study on novel proximity lithography for deep stepped substrate by Built-in Lens Mask (BILM)
Fabrication of Patterned Multilayer Structure by using Novel Reversal Imprinting
Hiroshiba, N. Viscosity range of UV-curable resins usable in screen printing with polyimide through-hole membrane masks for sub-100 nm-wide imprint patterns
Hobbs, R. G. Characterization of Nanofabricated Electron Transmission Gratings with Electron Diffraction
Design and Numerical Analysis of a Coherent Electron Resonator for the Quantum Electron Microscope
Holland, Glenn Nanofluidic Liquid Cell with Integrated Electrokinetic Pump for In Situ TEM
Holm, V. R. A. Investigating the color change in annealed gold nano particle arrays
Holst, B. Measurement error in metal nanostructures on insulating substrates induced by electron beam irradiation
Investigating the color change in annealed gold nano particle arrays
Holz, M. Pattern-generation and pattern-transfer for sub-10nm devices
Six - axes AFM in SEM with self-sensing and self-transduced cantilever for high speed analysis and nano-lithography
Holzner, Felix Progress and future of NanoFrazor lithography
Hone, J. Micro-fabricated elastomeric pillar arrays for studies of cellular sensing of extracellular matrix rigidity
Horn, M. Ultra-Small Hysteresis of Submicrometer Shape Memory Alloys Prepared by Biased Target Ion Beam Deposition for Actuation Applications
Hou, H. Ultra-Small Hysteresis of Submicrometer Shape Memory Alloys Prepared by Biased Target Ion Beam Deposition for Actuation Applications
Hover, D. Fabrication of High-Coherence Superconducting Qubits
Hrnčíř, T. Ultra-fast nano-fabrication using Xe-plasma FIB-SEM and its Cu milling applications using the Rocking-stage
Ultrafast nanofabrication with Xe plasma FIB-SEM and its planar milling applications with novel Rocking stage Technology
Hu, H. Bio-inspired Silicon Nanospikes Fabricated by Metal-Assisted Chemical Etching for Antibacterial Applications
Hu, Walter High-Performance Nanoimprinted Perovskite Nanograting Photodetector
Nanoimprinted Perovskite Micro- Nanostructures for Photovoltaics
Nanoscale interdigital electrode arrays for smart water sensing
Huang, Jensheng Source Imperfection Impacts on Optical Proximity Correction
Huang, Xiaqi Nanofabrication of arch metal structures as gas/biosensors by grayscale electron beam lithography
Nanofabrication of metasurface with gold polycyclic radial apertures for optical vortex by EBL
Hudson, E. A. Overview of Atomic Layer Etching – From the Ion Beam Perspective
Humayun, Md UV-Accelerated Detection and Recovery of CH4 in ZnO Functionalized Multi-walled Carbon Nanotube Sensors

I

Iacopi, A. RF Sputtering of ZnO (002) Thin Films on top of 3C-SiC-on-Si (100) Substrates for Low Cost Piezoelectric Devices
Ikedo, H. Viscosity range of UV-curable resins usable in screen printing with polyimide through-hole membrane masks for sub-100 nm-wide imprint patterns
Ilic, B. Robert Nanofluidic Liquid Cell with Integrated Electrokinetic Pump for In Situ TEM
Im, K. Thermal stresses and cracks in a solution-processed ITO nanoparticle-thin film heater
Iqbal, A. RF Sputtering of ZnO (002) Thin Films on top of 3C-SiC-on-Si (100) Substrates for Low Cost Piezoelectric Devices
Isakovic, A. F. Initial Design and Nanofabrication of Energetically Efficient Biologically Motivated Contact
Ishchuk, V. Pattern-generation and pattern-transfer for sub-10nm devices
High Speed AFM Imaging and Nanolithography with Parallel Scanning Probes
Six - axes AFM in SEM with self-sensing and self-transduced cantilever for high speed analysis and nano-lithography
Ishibashi, K. Artificial Two-dimensional Lattice Structures Assembled by Atom Manipulation Technique
Isoyan, Artak Source Imperfection Impacts on Optical Proximity Correction
Ivanov, T. Pattern-generation and pattern-transfer for sub-10nm devices
High Speed AFM Imaging and Nanolithography with Parallel Scanning Probes
Six - axes AFM in SEM with self-sensing and self-transduced cantilever for high speed analysis and nano-lithography
Izuoka, H. Nanofluidic Flow-Assisted Assembly (NFAA) of Well-Dispersed Plasmonic Nanostructures into Nanoslit Sensors

J

Jacobsen, C. Fabrication of High Resolution Zone Plates with High Aspect Ratio using Metal-assisted Chemical Etching
Javanmard, M. Mulitiplexed Molecular Assays Using Nanoelectronically Barcoded Beads
Ultra-Compact Microfluidic Valves Using Magnetorheological Fluid Patterned on an Elastomeric Membrane
Electronic Quantification of Surface Proteins on Circulating Tumor Cells Based on Bead-CTC Aggregate Sizing
Jeon, C.-U. Analytic Estimation of LER for Large-Scale Uniform Patterns in Electron-beam Lithography
Noise Filtering for Accurate Measurement of Line Edge Roughness and Critical Dimension from SEM Images
Jeon, J. Design and Implementation of Soft Polymer-Based Cantilever Probe for Atomic Force Microscopy
Double-Gate Organic Micro-Electro-Mechanical Relay for Ultralow-Power Flexible Large-Area Electronics
Jeong, Y. H. Etching Characteristics of Fe3O4 Thin Film for Absorptive WGP with 45 nm Line and Space Pattern Fabricated by Nano Imprint Lithography
Ji, M. Large-area Fabrication of Chirped Gratings
Jiang, H. Metal Cation Motion Induced Resistance Switching in Highly Reliable Hafnium Oxide based Memristive Devices
3D Hybrid Memristor/CMOS Circuits with 8 Monolithically Integrated Crossbar Layers
Jiang, L. MWCNT-PET Films Prepared by Solution Casting for Electronics Applications
Jiang, Miao Novel Plasmonic Metamasks for Photopatterning Molecular Orientations in Liquid Crystals
Jiao, Y. Area-selective atomic layer deposition using e-beam and photosensitive masking layers
Jiruše, J. Ultra-fast nano-fabrication using Xe-plasma FIB-SEM and its Cu milling applications using the Rocking-stage
Ultrafast nanofabrication with Xe plasma FIB-SEM and its planar milling applications with novel Rocking stage Technology
Jones, Mervyn Pattern-generation and pattern-transfer for sub-10nm devices
Joseph, Praveen Metrology of Sub-65nm Resist Gratings on Flexible Substrate Made by Jet and Flash Nanoimprint Lithography
Jugessur, A. S. Nanometer dimension control on silicon imprint mold using atomic layer deposition for large-area nanofabrication
Jung, D. The effect of acid treatments on the conductivity of spin-capable carbon nanotube
Carbon nanotube yarn based thermal sensor for measuring acceleration and tilting
Jung, W. Overlay improvement in nanoimprint lithography for 1x-nm patterning
Jung, Y. S. Subwavelength Focusing of Light with a Slanted-Nanoaperture-Array Metal Lens
Jung, Y.G. Fabrication of large area super hydro-phobic film by R2R nano imprint system
Etching Characteristics of Fe3O4 Thin Film for Absorptive WGP with 45 nm Line and Space Pattern Fabricated by Nano Imprint Lithography
Junior, Salomão M. S. FIB Deposition of Platinum Micropillars in Microchannels for Diffusion Control in Microfluidic devices

K

Kaestner, Marcus Pattern-generation and pattern-transfer for sub-10nm devices
Kanarik, K. J. Overview of Atomic Layer Etching – From the Ion Beam Perspective
Kanjanachuchai, Songphol Local Positional Alignment of InSb Nanostructures by Self-Assembled Epitaxial Growth on Ge Substrate
Karbasian, G. Charged defects mitigation in metal single-electron transistors with tunnel barriers prepared by atomic layer deposition
Kästner, M. High Speed AFM Imaging and Nanolithography with Parallel Scanning Probes
Six - axes AFM in SEM with self-sensing and self-transduced cantilever for high speed analysis and nano-lithography
Kaufman, L. Probing Breast Cancer Cell Response to Heterogeneous Rigidity at the Nanoscale
Kawata, H. Effect of Elastic Modulus of UV Cured Resist on Demolding Force
Impact of template stiffness during peeling release in nanoimprint lithography
Computational study on novel proximity lithography for deep stepped substrate by Built-in Lens Mask (BILM)
Fabrication of Patterned Multilayer Structure by using Novel Reversal Imprinting
Kawatsuki, Nobuhiro Molecular orientation evaluation of negative-tone and positive-tone photo-cross-linkable liquid crystalline polymer pattern fabricated by nanoimprint-graphoepitaxy
Keating, A. E. Lithographically patterned nanostructures for geometric control of coiled-coil protein placement and alignment
Kehagias, Nikolaos Hierarchical micro/nano structures for enhanced self-cleaning applications
Kern, D. P. Tilted lithography and pattern transfer for the fabrication of asymmetric 3D plasmonic nanostructures
Kessels, W.M.M. Area-selective atomic layer deposition using e-beam and photosensitive masking layers
Ketelaars, W.S.M.M. Patterning of non-planar surfaces via electron beam lithography and its challenges
Khan, A. Stretchable Metal-mesh Transparent Electrodes Fabricated through a Solution-processed Approach
Khan, N. Z. Design and Implementation of Soft Polymer-Based Cantilever Probe for Atomic Force Microscopy
Khan, Sahar Patterned Fabrication of ZnO Nanowire Arrays for Nanoplasmonic Waveguide Applications
Kibelka, G. Multi-Beam Magnetic Sector Mass Spectrometry Utilizing Spatially Coded Apertures
Kihara, N. Hexagonal Dot Pattern Fabrication by Self-assembled Colloidal Silica Grafted with a Concentrated Polymer Brush
Kikuta, Hisao Computational study on novel proximity lithography for deep stepped substrate by Built-in Lens Mask (BILM)
Kim, C-S. Characterization of Nanofabricated Electron Transmission Gratings with Electron Diffraction
Design and Numerical Analysis of a Coherent Electron Resonator for the Quantum Electron Microscope
Kim, D. K. Fabrication of High-Coherence Superconducting Qubits
Kim, G. Metal Free Adhesion of Au onto Si Native Oxide
Shape Positional Accuracy Optimization via Writing Order Correction
Kim, G.H. Single photon direct laser writing using high power laser diode to fabricate diffractive optical elements
Kim, H. K. Subwavelength Focusing of Light with a Slanted-Nanoaperture-Array Metal Lens
Injection of 2D Electron Gas into a Quantum-Dot Organic Light-Emitting Diode Structure on Silicon Substrate
Atomic Emission Spectroscopy of Electrically-Triggered Exploding Nanoparticle Analytes on Graphene/SiO2/Si Substrate
Kim, H. The effect of acid treatments on the conductivity of spin-capable carbon nanotube
DNA Nanostructures Mediated Molecular Imprinting Lithography
Kim, Insung Study on the Dose Performance of EUV Lithography Scanner Using Novel In-wafer Dose Measurement
Kim, J.-S. Electron Spin Resonance Characterization of Damage and Recovery of Si/SiO2 Interfaces from Electron Beam Lithography
Kim, J.H. Etching Characteristics of Fe3O4 Thin Film for Absorptive WGP with 45 nm Line and Space Pattern Fabricated by Nano Imprint Lithography
Kim, Ji Hoon Fabrication of large area super hydro-phobic film by R2R nano imprint system
Kim, M. Injection of 2D Electron Gas into a Quantum-Dot Organic Light-Emitting Diode Structure on Silicon Substrate
Subwavelength Focusing of Light with a Slanted-Nanoaperture-Array Metal Lens
Atomic Emission Spectroscopy of Electrically-Triggered Exploding Nanoparticle Analytes on Graphene/SiO2/Si Substrate
Kim, S. Y. Block Copolymer Nanolithography
Kim, S. Thermal stresses and cracks in a solution-processed ITO nanoparticle-thin film heater
Electrical characteristics of a-Si:H TFTs under bending stresses
Kim, Sungsue Study on the Dose Performance of EUV Lithography Scanner Using Novel In-wafer Dose Measurement
Kim, Y.J. Etching Characteristics of Fe3O4 Thin Film for Absorptive WGP with 45 nm Line and Space Pattern Fabricated by Nano Imprint Lithography
King, W. Polymer Molecular Weight Governs Feature Size During Tip-Based Fabrication of Polymer Nanostructures
Kiravittaya, Suwit Local Positional Alignment of InSb Nanostructures by Self-Assembled Epitaxial Growth on Ge Substrate
Kirchner, R. High resolution, hybrid 3D topography fabrication using grayscale electron beam lithography
Surface confined equilibration for super-smooth surfaces
High fidelity 3D thermal nanoimprint with UV curable PDMS stamps
Knoll, Armin Integration of scanning probe lithography with directed self-assembly of PS/PMMA based block-copolymers
Knuffman, B. Low Temperature Ion Source for Focused Ion Beam Nanomachining Applications
Ko, A Directed Self-Assembly patterning options for FinFET formation at 7nm node
Kocsis, M. SiO2 plasma etching using SF6 with O2/Ar mixtures down to cryogenic temperatures
Koehler, Michael Focused helium-ion induced direct write engineering of 2D materials
Koemtani, R. Fabrication of an optomechanical resonator with a two-interface surface plasmonic structure for the wavelength detection
Kofron, Nathan Nanometer dimension control on silicon imprint mold using atomic layer deposition for large-area nanofabrication
Kolarik, V. Design and Testing of a Novel Electron Monochromator for High Resolution Imaging and Spectroscopy
Kometani, R. In-Plane Optical Power Flow Control with Nano-Fabricated Plasmonic Structures for Micro Total Analysis Systems
Komukai, T. Overlay improvement in nanoimprint lithography for 1x-nm patterning
Kono, T. Overlay improvement in nanoimprint lithography for 1x-nm patterning
Kooistra-Manning, E.A. Lithography via Aligned Electrospun Fibers
Korivi, N. MWCNT-PET Films Prepared by Solution Casting for Electronics Applications
Koshelev, A. Near field campanile probe fabricated by nanoimprint lithography
Printed Active Photonic Crystals in High Refractive Index Functional Materials for Visible Light Applications
Sub-10-nm Three-Dimensional Plasmonic Probes fabricated using a Helium Ion Microscope
Kotakoski, J. Nanopores in Silicon Nitride Membranes, Graphene and CNM: Milling and Imaging Techniques at the Helium Ion Microscope
Koutsos, V. Fabrication and characterization of zinc oxide nanowires-based flexible biosensors
Suspended two-dimensional MoS2 transistor
Kraus, T. Silicon nanowire and hole arrays by a combination of self-assembly, laser ablation, and wet chemical etching
Kristiansen, M. Surface confined equilibration for super-smooth surfaces
Krivoshapkina, Y. Pattern-generation and pattern-transfer for sub-10nm devices
High Speed AFM Imaging and Nanolithography with Parallel Scanning Probes
Six - axes AFM in SEM with self-sensing and self-transduced cantilever for high speed analysis and nano-lithography
Kruit, P. Multi-electron-beam technology with applications in microscopy, inspection and lithography
Design of a simple add-on to change a single-beam SEM into a multi-beam SEM
High Throughput Imaging in the Delft Multi Beam SEM
Design and Numerical Analysis of a Coherent Electron Resonator for the Quantum Electron Microscope
Kuan, C. H. Sub-10 nm Electron Beam Lithography by Using Rapid and Cold Development of ZEP-520A
Kurabayashi, K. Fabrication of Ambipolar Nanoelectronic/Microfluidic-Integrated Biosensors for Cycle-Wise Real-time Biomolecule Quantification
Kusko, R. Fabrication of cylindrical neural probes with integrated conductor wiring
Kwon, S.G. Single photon direct laser writing using high power laser diode to fabricate diffractive optical elements
Kwon, Sin Fabrication of large area super hydro-phobic film by R2R nano imprint system

L

Lai, K Directed Self-Assembly patterning options for FinFET formation at 7nm node
Lamson, Thomas Patterned Fabrication of ZnO Nanowire Arrays for Nanoplasmonic Waveguide Applications
Lastras-Montaño, Miguel Angel 3D Hybrid Memristor/CMOS Circuits with 8 Monolithically Integrated Crossbar Layers
Latypov, Azat Evaluating the Optical and Resist Contributions to Line-Edge Roughness in EUV Lithography Using Stochastic Simulation
Laufer, P. Ion Beam Injector based on High Current LMIS
Lavrentovich, Oleg D. Novel Plasmonic Metamasks for Photopatterning Molecular Orientations in Liquid Crystals
Lee, Gil S. Carbon nanotube yarn based thermal sensor for measuring acceleration and tilting
Lee, J.J. Single photon direct laser writing using high power laser diode to fabricate diffractive optical elements
Lee, Kim Y Single-digit nanofabrication: ultrahigh density sub-10 nm TiO2 features via the self-aligned double patterning process
Lee, Ly James A high-throughput nano-electroporation platform for large-scale manipulation and transfection of adult mouse cardiomyocytes
Lee, S.-Y. Analytic Estimation of LER for Large-Scale Uniform Patterns in Electron-beam Lithography
Noise Filtering for Accurate Measurement of Line Edge Roughness and Critical Dimension from SEM Images
Lee, T. Randall Fabrication of Hollow-Core Nanoparticles for Drug Delivery and Imaging
Lenk, C. Pattern-generation and pattern-transfer for sub-10nm devices
Six - axes AFM in SEM with self-sensing and self-transduced cantilever for high speed analysis and nano-lithography
Lenk, S. Pattern-generation and pattern-transfer for sub-10nm devices
Six - axes AFM in SEM with self-sensing and self-transduced cantilever for high speed analysis and nano-lithography
Leonhardt, A. Ga+ Focused Ion Beam Lithography as a Viable Alternative for Multiple Fin FinFET Prototyping
Leray, P. Imaging semiconductor patterns at N10 logic node with a high-throughput multi-beam SEM
Letterer, Scott T. Micro- and Nano-structured, Biofunctional Block Co-Polymer Interfaces for High-Avidity Bacteria Capture
Levinson, Harry J. Evaluating the Optical and Resist Contributions to Line-Edge Roughness in EUV Lithography Using Stochastic Simulation
Lezec, H. J. Focused ion beam milling of optical films with complex surfaces at the subnanometer scale
Li, D. Repetitive Nanoprinting Technique for Producing Vertically Stacked Transition Metal Dichalcogenide Heterostructure Arrays and Photo-Response Devices
Noise Filtering for Accurate Measurement of Line Edge Roughness and Critical Dimension from SEM Images
Low-Workfunction Metal Doping for Making WSe2 Photovoltaic Devices
Li, Dandan Nanoimprint technology for patterning functional ZrO2 ceramic materials
Li, Guoliang Self and directed assembly of metallic nanoparticles via PLiD and STEM/ EELS characterization of plasmon-related effects
Li, J.J. Fabrication of Nanojunction with Sub-10 nm Nanogap for Surface Enhanced Raman Scattering by Tensile Stress Mechanically Breaking
Microfabrication of Planar Spectrum Splitting and Beam Concentration Diffractive Optical Element for Lateral Multijunction Photovotaic System
Li, John Nanoscale interdigital electrode arrays for smart water sensing
Li, Junjie 3D Nanostructures fabricated by ion beam technology
Li, K. Fabrication of High Resolution Zone Plates with High Aspect Ratio using Metal-assisted Chemical Etching
Li, Kai Atomically Precise Devices: Enabling Fundamentally New Devices at the Ultimate Atomic Limit
Li, S. Refractive Index and Temperature Sensing Using a Plasmonic Optical Fiber Probe Fabricated by Double-Transfer Nanoimprint Lithography
Li, W. Stretchable Metal-mesh Transparent Electrodes Fabricated through a Solution-processed Approach
Characterization of Nanofabricated Electron Transmission Gratings with Electron Diffraction
Refractive Index and Temperature Sensing Using a Plasmonic Optical Fiber Probe Fabricated by Double-Transfer Nanoimprint Lithography
Large-area Fabrication of Chirped Gratings
Li, W.P. Design and Numerical Analysis of a Coherent Electron Resonator for the Quantum Electron Microscope
Li, WD. Lab-on-a-fiber Sensors with Nanoimprinted Nanostructures on Fiber Sidewall
Li, Weihao Optical Waveguiding in UV-Curable Nanoimprint
Li, Wen-Di Thermal Nanoimprint of Soda-Lime Glass Using Induction Heating and Sapphire Molds
Li, Winfred Nanoscale interdigital electrode arrays for smart water sensing
Li, Wuxia 3D Nanostructures fabricated by ion beam technology
Li, Xin Ultra high aspect ratio X-ray photon sieves by deep reactive ion etch on silicon and electroplating
The effect of the grating profile on the illumination uniformity of the X-ray condenser
Li, Y. Probing Sub-5 nm Gap Plasmon Using Collapsible Nano-fingers
Full color reflective display based on high contrast gratings
Li, Yuanrui Multiscale Porous Structure Enabled by Variable Voxel Stereolithography
Li, Z. Sketch and Peel Lithography
Liang, X. Fabrication of Ambipolar Nanoelectronic/Microfluidic-Integrated Biosensors for Cycle-Wise Real-time Biomolecule Quantification
A New Opportunity to Fabricate Multi-Bit Transistor Memories Using Mechanically Exfoliated Multilayer WSe2 Flakes
Repetitive Nanoprinting Technique for Producing Vertically Stacked Transition Metal Dichalcogenide Heterostructure Arrays and Photo-Response Devices
Low-Workfunction Metal Doping for Making WSe2 Photovoltaic Devices
Nanofluidic Flow-Assisted Assembly (NFAA) of Well-Dispersed Plasmonic Nanostructures into Nanoslit Sensors
Liang, Yuchen Nanoscale interdigital electrode arrays for smart water sensing
Liao, J. Probing Breast Cancer Cell Response to Heterogeneous Rigidity at the Nanoscale
Liao,, K.-T. Focused ion beam milling of optical films with complex surfaces at the subnanometer scale
Liddle, J. Alexander Nanofluidic Liquid Cell with Integrated Electrokinetic Pump for In Situ TEM
Lie, F Directed Self-Assembly patterning options for FinFET formation at 7nm node
Lill, T. Overview of Atomic Layer Etching – From the Ion Beam Perspective
Lilly, M. P. Direct Write Nanofabrication for Quantum Computing in Silicon and Color Centers in Diamond
Lim, H.J. Single photon direct laser writing using high power laser diode to fabricate diffractive optical elements
Lim, Y. Overlay improvement in nanoimprint lithography for 1x-nm patterning
Lima, L. P. B. Ga+ Focused Ion Beam Lithography as a Viable Alternative for Multiple Fin FinFET Prototyping
Lin, A.-L. Design and Implementation of Soft Polymer-Based Cantilever Probe for Atomic Force Microscopy
Lin, D.F. Microfabrication of Planar Spectrum Splitting and Beam Concentration Diffractive Optical Element for Lateral Multijunction Photovotaic System
Lin, Peng 3D Hybrid Memristor/CMOS Circuits with 8 Monolithically Integrated Crossbar Layers
Lin, S. Electronic Quantification of Surface Proteins on Circulating Tumor Cells Based on Bead-CTC Aggregate Sizing
Lin, Z. Mulitiplexed Molecular Assays Using Nanoelectronically Barcoded Beads
Electronic Quantification of Surface Proteins on Circulating Tumor Cells Based on Bead-CTC Aggregate Sizing
Litvinov, D. Application of Gettering Layers for Low Temperature Conversion of Magnetic Oxides into Ferromagnetic Metals in Thin Films, Multilayers, and Nanostructured Arrays, W. Qiu
Liu, C Directed Self-Assembly patterning options for FinFET formation at 7nm node
Liu, H. Probing Sub-5 nm Gap Plasmon Using Collapsible Nano-fingers
Full color reflective display based on high contrast gratings
DNA Nanostructures Mediated Molecular Imprinting Lithography
Liu, He Multiscale Porous Structure Enabled by Variable Voxel Stereolithography
Liu, J. Design and Implementation of Soft Polymer-Based Cantilever Probe for Atomic Force Microscopy
Liu, Jianpeng Fabrication of Au lamellae nanostructure for high sensitive biosensor applications
Ultra high aspect ratio X-ray photon sieves by deep reactive ion etch on silicon and electroplating
The effect of the grating profile on the illumination uniformity of the X-ray condenser
Liu, M. Electronic Quantification of Surface Proteins on Circulating Tumor Cells Based on Bead-CTC Aggregate Sizing
Liu, S. Micro-fabricated elastomeric pillar arrays for studies of cellular sensing of extracellular matrix rigidity
Atomic Emission Spectroscopy of Electrically-Triggered Exploding Nanoparticle Analytes on Graphene/SiO2/Si Substrate
Livengood, R. Monte-Carlo Simulations of Ion Beam Sputtering in Compounds
Llobet, J. Role and characterization of the built-in stress in double clamped beam silicon nanowires
Lokitz, Brad S. Micro- and Nano-structured, Biofunctional Block Co-Polymer Interfaces for High-Avidity Bacteria Capture
Loncar, M. Direct Write Nanofabrication for Quantum Computing in Silicon and Color Centers in Diamond
Lopez, G. Metal Free Adhesion of Au onto Si Native Oxide
Shape Positional Accuracy Optimization via Writing Order Correction
Lorenzoni, M. Integration of scanning probe lithography with directed self-assembly of PS/PMMA based block-copolymers
Role and characterization of the built-in stress in double clamped beam silicon nanowires
Assessing the local nanomechanical properties of self-assembled block co-polymers thin films by Peak Force tapping
Lovell, J. End-form changes in high brightness HfC electron sources
Lozano, P.C. Laser-Micromachined Carbon Xerogel Ionic Liquid Ion Sources for Focused Ion Beams
Lu, B.-R. Fabrication of Au lamellae nanostructure for high sensitive biosensor applications
Dense metasurface with high efficiency dense metasurface for broadband optical vortex by high resolution electron beam lithography
Nanofabrication of metasurface with gold polycyclic radial apertures for optical vortex by EBL
Lu, Ming Study of Reactive Ion Etching for Kinoform Lenses
Lu, W. A New Opportunity to Fabricate Multi-Bit Transistor Memories Using Mechanically Exfoliated Multilayer WSe2 Flakes
Lu, Wu A high-throughput nano-electroporation platform for large-scale manipulation and transfection of adult mouse cardiomyocytes
Ludovice, Peter J. Free Energy and Frequency of Defects in Chemoepitaxial Block Copolymer Directed Self-Assembly: Effect of Pattern Density Multiplication Factor, Defect Size, and Defect Position
Luhman, D. R. Direct Write Nanofabrication for Quantum Computing in Silicon and Color Centers in Diamond
Lukin, M. Direct Write Nanofabrication for Quantum Computing in Silicon and Color Centers in Diamond
Lum, P. Sub-10-nm Three-Dimensional Plasmonic Probes fabricated using a Helium Ion Microscope
Luo, Y. The Impact of Parallelism on Data Volumes for a Multibeam Mask Writer
Luo, Z. Fabrication of Magnetically Tunable Periodic Nanostructures
Luttge, R. Brain on Chip
Microfluidic-Integrated Capillary Electrophoresis for Metabolite Detection in a Miniaturized Bioreactor
Lyon, S.A. Electron Spin Resonance Characterization of Damage and Recovery of Si/SiO2 Interfaces from Electron Beam Lithography
Lyu, Yiman Nanometer dimension control on silicon imprint mold using atomic layer deposition for large-area nanofabrication

M

Ma, Lijun Effects of Contact States on Polymer Pattern Deformation during Demolding Process in Nanoimprint Lithography
Mack, Chris A. Biases in the use of height-to-height correlation to characterize roughness
Mackie, W. End-form changes in high brightness HfC electron sources
Maeda, E. In-Plane Optical Power Flow Control with Nano-Fabricated Plasmonic Structures for Micro Total Analysis Systems
Magera, G. End-form changes in high brightness HfC electron sources
Mahady, K. Monte-Carlo Simulations of Ion Beam Sputtering in Compounds
Malamud, Z. Focused ion beam nano-trench shape dependence on target material
Mandrus, D. Ionic Liquid Gating effects on a-IGZO Thin Film Transistors: A Novel Method for Amorphous Metal Oxide Activation
Focused helium-ion induced direct write engineering of 2D materials
Manera, L. T. Ga+ Focused Ion Beam Lithography as a Viable Alternative for Multiple Fin FinFET Prototyping
Manfrinato, V. Sub-5 nm electron-beam lithography and metrology of poly (methyl methacrylate) using an aberration-corrected scanning transmission electron microscope
Aberration-Corrected Electron-Beam Lithography
Mankos, M. Design and Testing of a Novel Electron Monochromator for High Resolution Imaging and Spectroscopy
High-Resolution Compact FESEM with a Magnetic Immersion Objective Lens
Mao, Bingrui Lu Chengwen The effect of the grating profile on the illumination uniformity of the X-ray condenser
Mao, Huachao Multiscale Porous Structure Enabled by Variable Voxel Stereolithography
Marbach, Hubertus Focused Electron Beam Induced Processing in ultra-high vacuum: new routes for the fabrication of clean metallic nanostructures and the reduction of proximity effects
On the Magnetic Properties of Clean Iron Nanostructures Fabricated by Focused Electron Beam Induced Processing
Marchioro, Alessandro Imaging the Dust of Elementary Particles, Sensors and Detectors for the nano-nano-world
Masiello, David J. Self and directed assembly of metallic nanoparticles via PLiD and STEM/ EELS characterization of plasmon-related effects
Masigoul, Mohammadali Micro- and Nano-structured, Biofunctional Block Co-Polymer Interfaces for High-Avidity Bacteria Capture
Mastropaolo, Enrico Fabrication and characterization of zinc oxide nanowires-based flexible biosensors
Matruglio, A. Contamination-free suspended graphene structures by a Ti-based transfer method
Matsui, Shinji Molecular orientation evaluation of negative-tone and positive-tone photo-cross-linkable liquid crystalline polymer pattern fabricated by nanoimprint-graphoepitaxy
Thin PDMS antisticking layer formed by using PDMS-disilanol for nanoimprinting
Mayer, A. Flat and highly flexible composite stamps for nanoimprint, their preparation and limits
Nanoimprint-induced orientation of localized wrinkles with SU-8
McCay, R. Shape Positional Accuracy Optimization via Writing Order Correction
McClelland, J. J. Lithiation of Sn Microspheres: Li FIB vs Electrochemistry
Low Temperature Ion Source for Focused Ion Beam Nanomachining Applications
McGehee, W. R. Lithiation of Sn Microspheres: Li FIB vs Electrochemistry
Melli, M. Fabrication of 3 Dimensional Photonic Crystals with Waveguides for visible Light
Melville, A. Fabrication of High-Coherence Superconducting Qubits
Melvin, Lawrence S., III Source Imperfection Impacts on Optical Proximity Correction
Menachem, Liran Soft-Substrate-Rigid-Feature (SSRF) Nanoimprint Lithography
Meng, Q.B. Microfabrication of Planar Spectrum Splitting and Beam Concentration Diffractive Optical Element for Lateral Multijunction Photovotaic System
Metzler, M. Metal Free Adhesion of Au onto Si Native Oxide
Shape Positional Accuracy Optimization via Writing Order Correction
Meyer, P. Bio-inspired Silicon Nanospikes Fabricated by Metal-Assisted Chemical Etching for Antibacterial Applications
Mi, X. High density overlapping gate architecture for Si/SiGe quantum dots
Michels, Thomas Optomechanical transducer for investigation of frequency fluctuations
Millet, L. Feature Based Design Software for 3D Printed Microfluidics
Mills, E. Large-area Freestanding Si Nanowire Arrays in Organic Matrices
Min, Haodi A New UV-curable Resist with Liquid Volume-Expanding Monomers
Min, J.-H. Fabrication of Metallic Microstructured Nano-Accordions for Transparent Electrodes
Minor, A. Sub-10-nm Three-Dimensional Plasmonic Probes fabricated using a Helium Ion Microscope
Mitra, S. From Nanodevices to Nanosystems: The Carbon Nanotube Case Study
Mitsuyasu, M. Overlay improvement in nanoimprint lithography for 1x-nm patterning
Moghaddam, M. Microwave Characterization of Nanocomposite based on Lithographically Defined Nanoparticles
Mohd-Yasin, F. RF Sputtering of ZnO (002) Thin Films on top of 3C-SiC-on-Si (100) Substrates for Low Cost Piezoelectric Devices
Moshkalev, Stanislav FIB Deposition of Platinum Micropillars in Microchannels for Diffusion Control in Microfluidic devices
Motwani, P. Fabrication of cylindrical neural probes with integrated conductor wiring
Muller, J. Out-of-plane spatial control at single-molecule resolution on biomimetic surfaces
Mundy Fabrication of Metallic Microstructured Nano-Accordions for Transparent Electrodes
Munechika, K. Near field campanile probe fabricated by nanoimprint lithography
Printed Active Photonic Crystals in High Refractive Index Functional Materials for Visible Light Applications
Sub-10-nm Three-Dimensional Plasmonic Probes fabricated using a Helium Ion Microscope
Muray, L. P. High-Resolution Compact FESEM with a Magnetic Immersion Objective Lens
Ultra-Low-Voltage Imaging Using a Miniature Electron Beam Column
Murphy, J.P. Lithography via Aligned Electrospun Fibers
Melt Electrospinning: Method for Producing Photo-converting Nanocomposite Materials
Murthy, S. Systematic study of high throughput fabrication of nano holes and nano pillars in polymer foils by roll-to-roll-extrusion coating.
Mustafa, D.A.M. Brain on Chip
Myint, Ba Nanoscale Patterning using Oblique Angled Deposition Technique in Fabricating Nanostructures with Nanosphere Lithography

N

Nadzeyka, A. Nanopores in Silicon Nitride Membranes, Graphene and CNM: Milling and Imaging Techniques at the Helium Ion Microscope
Nagai, Hironori Three-Dimensional Colloidal Interference Lithography
Nagase, K. Viscosity range of UV-curable resins usable in screen printing with polyimide through-hole membrane masks for sub-100 nm-wide imprint patterns
Nagato, K. Replication of Nanostructures on Polyethylene Terephthalate with Laser-Assisted Roller Nanoimprinting
Nakagawa, M. Viscosity range of UV-curable resins usable in screen printing with polyimide through-hole membrane masks for sub-100 nm-wide imprint patterns
Nakagawa, W. Lithography via Aligned Electrospun Fibers
Nakamura, Naoto Impact of template stiffness during peeling release in nanoimprint lithography
Nakamura, T. Viscosity range of UV-curable resins usable in screen printing with polyimide through-hole membrane masks for sub-100 nm-wide imprint patterns
Nakao, M. Replication of Nanostructures on Polyethylene Terephthalate with Laser-Assisted Roller Nanoimprinting
Nakasugi, T. Overlay improvement in nanoimprint lithography for 1x-nm patterning
Nam, H. Fabrication of Ambipolar Nanoelectronic/Microfluidic-Integrated Biosensors for Cycle-Wise Real-time Biomolecule Quantification
Nanofluidic Flow-Assisted Assembly (NFAA) of Well-Dispersed Plasmonic Nanostructures into Nanoslit Sensors
Namboodiri, Pradeep Atomically Precise Devices: Enabling Fundamentally New Devices at the Ultimate Atomic Limit
Nanda, G. Study of Ion-Induced Defect Migration in Boron-Nitride Encapsulated Graphene
Nantoh, Masashi Artificial Two-dimensional Lattice Structures Assembled by Atom Manipulation Technique
Narcross, Hannah High Resolution Organic Resist Materials for EUV and E-beam Lithography Based on Molecular Glasses: A Comparison of Negative Tone and Positive Tone Approaches to Form Robust Cross-linked Polymer Nanopatterns
Nation, Benjamin D. Free Energy and Frequency of Defects in Chemoepitaxial Block Copolymer Directed Self-Assembly: Effect of Pattern Density Multiplication Factor, Defect Size, and Defect Position
Navarro, Christophe Assessing the local nanomechanical properties of self-assembled block co-polymers thin films by Peak Force tapping
Neuhaus, S. Surface confined equilibration for super-smooth surfaces
Neumann, J. T. Imaging semiconductor patterns at N10 logic node with a high-throughput multi-beam SEM
Ng, Ray J. H. Design and Fabrication of Plasmonic Notch Color Filters
Ng, Vivian Nanoscale Patterning using Oblique Angled Deposition Technique in Fabricating Nanostructures with Nanosphere Lithography
Nicaise, S. M. Fabrication of Multilayer Complex Nanomesh Patterns
Multidirectional BCP Alignment by Thermal-Coefficient-of-Expansion Mismatch Shear
Nicolaysen, S. Lithography via Aligned Electrospun Fibers
Nicolet, Célia Assessing the local nanomechanical properties of self-assembled block co-polymers thin films by Peak Force tapping
Nien, C. Sub-10 nm Electron Beam Lithography by Using Rapid and Cold Development of ZEP-520A
Nikolov, Nikolay Pattern-generation and pattern-transfer for sub-10nm devices
High Speed AFM Imaging and Nanolithography with Parallel Scanning Probes
Noh, J. H. Ionic Liquid Gating effects on a-IGZO Thin Film Transistors: A Novel Method for Amorphous Metal Oxide Activation
Nujhat, N. MWCNT-PET Films Prepared by Solution Casting for Electronics Applications

O

Obata, H. T. III-V NanoWires for Junctionless Transistors Fabricated by Focused Ion Beam (FIB) System
Oboňa, J. V. Ultra-fast nano-fabrication using Xe-plasma FIB-SEM and its Cu milling applications using the Rocking-stage
Ultrafast nanofabrication with Xe plasma FIB-SEM and its planar milling applications with novel Rocking stage Technology
Ocola, L. Fabrication of High Resolution Zone Plates with High Aspect Ratio using Metal-assisted Chemical Etching
Oh, B. Fabrication of Ambipolar Nanoelectronic/Microfluidic-Integrated Biosensors for Cycle-Wise Real-time Biomolecule Quantification
Oh, H. Electrical characteristics of a-Si:H TFTs under bending stresses
Oh, Nicholas Z. W. Design and Fabrication of Plasmonic Notch Color Filters
Ohno, K. Hexagonal Dot Pattern Fabrication by Self-assembled Colloidal Silica Grafted with a Concentrated Polymer Brush
Okada, Makoto Molecular orientation evaluation of negative-tone and positive-tone photo-cross-linkable liquid crystalline polymer pattern fabricated by nanoimprint-graphoepitaxy
Thin PDMS antisticking layer formed by using PDMS-disilanol for nanoimprinting
Okuno, M. In-Plane Optical Power Flow Control with Nano-Fabricated Plasmonic Structures for Micro Total Analysis Systems
Oldham, C. Optical Properties of “Air-Like” Ordered 3D Thin-Shell Nanolattice Materials
Lightweight Ultrastrong Thin-Shell Nanolattice Material for Enhanced Energy Dissipation
Fabrication of Metallic Microstructured Nano-Accordions for Transparent Electrodes
Oleshko, V. P. Lithiation of Sn Microspheres: Li FIB vs Electrochemistry
Oliver, W. D. Fabrication of High-Coherence Superconducting Qubits
Olynick, D. Single-digit nanofabrication: ultrahigh density sub-10 nm TiO2 features via the self-aligned double patterning process
Pattern-generation and pattern-transfer for sub-10nm devices
SiO2 plasma etching using SF6 with O2/Ar mixtures down to cryogenic temperatures
Ono, Hiroshi Molecular orientation evaluation of negative-tone and positive-tone photo-cross-linkable liquid crystalline polymer pattern fabricated by nanoimprint-graphoepitaxy
Onuma, A. Viscosity range of UV-curable resins usable in screen printing with polyimide through-hole membrane masks for sub-100 nm-wide imprint patterns
Orlov, A. O. Charged defects mitigation in metal single-electron transistors with tunnel barriers prepared by atomic layer deposition
Ovchinnikova, O. S. Ionic Liquid Gating effects on a-IGZO Thin Film Transistors: A Novel Method for Amorphous Metal Oxide Activation
Owen, J.H.G. Tip-assisted Dopant Incorporation Process for Atomic Precision P-in-Si qubit devices
Fiducial Registration and Field Stitching for Multi-Scale Scanning Tunneling Microscope Lithography

P

Pacheco, J. L. Direct Write Nanofabrication for Quantum Computing in Silicon and Color Centers in Diamond
Pan, Y. Design and Implementation of Soft Polymer-Based Cantilever Probe for Atomic Force Microscopy
Double-Gate Organic Micro-Electro-Mechanical Relay for Ultralow-Power Flexible Large-Area Electronics
Panyakeow, Somsak Local Positional Alignment of InSb Nanostructures by Self-Assembled Epitaxial Growth on Ge Substrate
Papenheim, M. Flat and highly flexible composite stamps for nanoimprint, their preparation and limits
Nanoimprint-induced orientation of localized wrinkles with SU-8
Paprotny, I. UV-Accelerated Detection and Recovery of CH4 in ZnO Functionalized Multi-walled Carbon Nanotube Sensors
Park, C. Fabrication of Hollow-Core Nanoparticles for Drug Delivery and Imaging
Park, S.-H. Analytic Estimation of LER for Large-Scale Uniform Patterns in Electron-beam Lithography
Noise Filtering for Accurate Measurement of Line Edge Roughness and Critical Dimension from SEM Images
Park, W. M. Lithographically patterned nanostructures for geometric control of coiled-coil protein placement and alignment
Park, H. A CMOS based nano-electrode array for high-throughput electrophysiology
Parker, C.B. Multi-Beam Magnetic Sector Mass Spectrometry Utilizing Spatially Coded Apertures
Parsons, G. Optical Properties of “Air-Like” Ordered 3D Thin-Shell Nanolattice Materials
Lightweight Ultrastrong Thin-Shell Nanolattice Material for Enhanced Energy Dissipation
Fabrication of Metallic Microstructured Nano-Accordions for Transparent Electrodes
Payvand, Melika 3D Hybrid Memristor/CMOS Circuits with 8 Monolithically Integrated Crossbar Layers
Pedersen, H. Systematic study of high throughput fabrication of nano holes and nano pillars in polymer foils by roll-to-roll-extrusion coating.
Peng, Chenhui Novel Plasmonic Metamasks for Photopatterning Molecular Orientations in Liquid Crystals
Perez-Martinez, C. Laser-Micromachined Carbon Xerogel Ionic Liquid Ion Sources for Focused Ion Beams
Perez-Murano, F. Integration of scanning probe lithography with directed self-assembly of PS/PMMA based block-copolymers
Role and characterization of the built-in stress in double clamped beam silicon nanowires
Assessing the local nanomechanical properties of self-assembled block co-polymers thin films by Peak Force tapping
Peroz, C. Fabrication of 3 Dimensional Photonic Crystals with Waveguides for visible Light
Petta, J. R. High density overlapping gate architecture for Si/SiGe quantum dots
Piazzeta, Maria H. O. FIB Deposition of Platinum Micropillars in Microchannels for Diffusion Control in Microfluidic devices
Pilz, W. Ion Beam Injector based on High Current LMIS
Pina-Hernandez, C. Printed Active Photonic Crystals in High Refractive Index Functional Materials for Visible Light Applications
Poempool, Thanavorn Local Positional Alignment of InSb Nanostructures by Self-Assembled Epitaxial Growth on Ge Substrate
Poteet, A. Fabrication of Magnetically Tunable Periodic Nanostructures
Pranov, H. Systematic study of high throughput fabrication of nano holes and nano pillars in polymer foils by roll-to-roll-extrusion coating.
Principe, E. L. Ultra-fast nano-fabrication using Xe-plasma FIB-SEM and its Cu milling applications using the Rocking-stage
Mask registration and array efficiency for nitride FinFET prototyping
Ultrafast nanofabrication with Xe plasma FIB-SEM and its planar milling applications with novel Rocking stage Technology
Pudasaini, P. R. Ionic Liquid Gating effects on a-IGZO Thin Film Transistors: A Novel Method for Amorphous Metal Oxide Activation
Focused helium-ion induced direct write engineering of 2D materials
Purushothaman, G. Fabrication of cylindrical neural probes with integrated conductor wiring

Q

Qi, J. Significant Light Extraction Enhancement of Organic Light-Emitting Diodes Using Embedded High-index Deep-Groove Dielectric Nanomesh Fabricated by Large-area Nanoimprint
Quan, B.G. Fabrication of Nanojunction with Sub-10 nm Nanogap for Surface Enhanced Raman Scattering by Tensile Stress Mechanically Breaking
Microfabrication of Planar Spectrum Splitting and Beam Concentration Diffractive Optical Element for Lateral Multijunction Photovotaic System
Quetz, Abdiel Study of Reactive Ion Etching for Kinoform Lenses

R

R.Taboryski Systematic study of high throughput fabrication of nano holes and nano pillars in polymer foils by roll-to-roll-extrusion coating.
Rack, P. D. Self and directed assembly of metallic nanoparticles via PLiD and STEM/ EELS characterization of plasmon-related effects
Ionic Liquid Gating effects on a-IGZO Thin Film Transistors: A Novel Method for Amorphous Metal Oxide Activation
Laser Assisted Focused Ion Beam Processing
Monte-Carlo Simulations of Ion Beam Sputtering in Compounds
Focused helium-ion induced direct write engineering of 2D materials
Racz, L. Fabrication of High-Coherence Superconducting Qubits
Raghuraman, Shivaranjan Nanolithographic Chemical Patterning on Graphene Using Local Stress and Heat
Randall, J. N. Tip-assisted Dopant Incorporation Process for Atomic Precision P-in-Si qubit devices
Fiducial Registration and Field Stitching for Multi-Scale Scanning Tunneling Microscope Lithography
Rangelow, I. Single-digit nanofabrication: ultrahigh density sub-10 nm TiO2 features via the self-aligned double patterning process
Pattern-generation and pattern-transfer for sub-10nm devices
SiO2 plasma etching using SF6 with O2/Ar mixtures down to cryogenic temperatures
Rangnekar, S. SiO2 plasma etching using SF6 with O2/Ar mixtures down to cryogenic temperatures
Ratanathammaphan, Somchai Local Positional Alignment of InSb Nanostructures by Self-Assembled Epitaxial Growth on Ge Substrate
Raveh, A. Monte-Carlo Simulations of Ion Beam Sputtering in Compounds
Focused ion beam nano-trench shape dependence on target material
Rawlings , Colin Integration of scanning probe lithography with directed self-assembly of PS/PMMA based block-copolymers
Ray, Christopher H. Nanofluidic Liquid Cell with Integrated Electrokinetic Pump for In Situ TEM
Ray, V. Reconstructing Focused Ion Beam Profile by Iterative Simulation Methodology
Ren, Y. High Throughput Imaging in the Delft Multi Beam SEM
Ren, Yixin Nanoimprinted Perovskite Micro- Nanostructures for Photovoltaics
Retterer, S. Replicating Natural Environments: Soil-inspired Microfluidic Architectures
Development of transparent microwell arrays for optical monitoring and dissection of microbial communities
Feature Based Design Software for 3D Printed Microfluidics
Reum, A. Pattern-generation and pattern-transfer for sub-10nm devices
High Speed AFM Imaging and Nanolithography with Parallel Scanning Probes
Six - axes AFM in SEM with self-sensing and self-transduced cantilever for high speed analysis and nano-lithography
Rokni, H. A New Opportunity to Fabricate Multi-Bit Transistor Memories Using Mechanically Exfoliated Multilayer WSe2 Flakes
Rondinone, Adam J. Focused helium-ion induced direct write engineering of 2D materials
Rosenberg, D. Fabrication of High-Coherence Superconducting Qubits
Rosenmann, D. Fabrication of High Resolution Zone Plates with High Aspect Ratio using Metal-assisted Chemical Etching
Ross, C. A. Fabrication of Multilayer Complex Nanomesh Patterns
Multidirectional BCP Alignment by Thermal-Coefficient-of-Expansion Mismatch Shear
Rule-based patterning of a multi-state system by block copolymer self-assembly
Ruchhoeft, P. PMMA Stencil Masks for Atom Beam Lithography
Fabrication of Hollow-Core Nanoparticles for Drug Delivery and Imaging
Runkel, M. Nanoimprint-induced orientation of localized wrinkles with SU-8
Russell, Z.E. Multi-Beam Magnetic Sector Mass Spectrometry Utilizing Spatially Coded Apertures
Development of a Nanocrystalline Diamond (NCD) Membrane for Vacuum Pressure Separation and Patterned Electron Beam Image Transfer
Development of Patterned Electron Beam Image Transfer though a Nanocrystalline Diamond Thin Film Membrane/Window
Ryckaert, J. Design Strategy for Layout of Sub-Resolution Directed Self-Assembly Assist Features (SDRAFs)
Ryu, B. Repetitive Nanoprinting Technique for Producing Vertically Stacked Transition Metal Dichalcogenide Heterostructure Arrays and Photo-Response Devices

S

Saarbrücken Silicon nanowire and hole arrays by a combination of self-assembly, laser ablation, and wet chemical etching
Saffih, Faycal Silicon nanostructures with negatively tapered profile by ICP-RIE
Sainato, M. Printed Active Photonic Crystals in High Refractive Index Functional Materials for Visible Light Applications
Sanders, D Directed Self-Assembly patterning options for FinFET formation at 7nm node
Sasago, Masaru Computational study on novel proximity lithography for deep stepped substrate by Built-in Lens Mask (BILM)
Sasi, V. RF Sputtering of ZnO (002) Thin Films on top of 3C-SiC-on-Si (100) Substrates for Low Cost Piezoelectric Devices
Sassolini, Simone Single-digit nanofabrication: ultrahigh density sub-10 nm TiO2 features via the self-aligned double patterning process
Saulnier, Nicole EUV Lithography for Advanced Nodes
Savari, S. A. The Impact of Parallelism on Data Volumes for a Multibeam Mask Writer
Sawabe, T. Hexagonal Dot Pattern Fabrication by Self-assembled Colloidal Silica Grafted with a Concentrated Polymer Brush
Sawada, Y. Fabrication of Patterned Multilayer Structure by using Novel Reversal Imprinting
Schaefer, J. L. Lithiation of Sn Microspheres: Li FIB vs Electrochemistry
Schattenburg, M. L. History of the Three Beams Meeting
Fabrication Process for 200 nm-Pitch Polished Freestanding Ultra-High Aspect Ratio Gratings
Scheer, H.-C. Flat and highly flexible composite stamps for nanoimprint, their preparation and limits
Nanoimprint-induced orientation of localized wrinkles with SU-8
Schift, H. High resolution, hybrid 3D topography fabrication using grayscale electron beam lithography
Surface confined equilibration for super-smooth surfaces
High fidelity 3D thermal nanoimprint with UV curable PDMS stamps
Schmid, R.M. Patterning of non-planar surfaces via electron beam lithography and its challenges
Schmucker, S.W. Fiducial Registration and Field Stitching for Multi-Scale Scanning Tunneling Microscope Lithography
Schroder, T. Direct Write Nanofabrication for Quantum Computing in Silicon and Color Centers in Diamond
Schuck, J. Near field campanile probe fabricated by nanoimprint lithography
Sub-10-nm Three-Dimensional Plasmonic Probes fabricated using a Helium Ion Microscope
Schuck, P.J. Fabrication of 3 Dimensional Photonic Crystals with Waveguides for visible Light
Schumacher, J. Focused ion beam milling of optical films with complex surfaces at the subnanometer scale
Schurink, B. Brain on Chip
Schvartzman, Mark Soft-Substrate-Rigid-Feature (SSRF) Nanoimprint Lithography
Schwartzberg, Adam Single-digit nanofabrication: ultrahigh density sub-10 nm TiO2 features via the self-aligned double patterning process
Schwarzkopf, A. Low Temperature Ion Source for Focused Ion Beam Nanomachining Applications
Scotuzzi, M. Design of a simple add-on to change a single-beam SEM into a multi-beam SEM
Sears, A. P. Fabrication of High-Coherence Superconducting Qubits
Seshadri, I. Study of Alternate Hardmasks for EUV Patterning
Shadman, K. Design and Testing of a Novel Electron Monochromator for High Resolution Imaging and Spectroscopy
Shahrin, Rahnuma Lightweight Ultrastrong Thin-Shell Nanolattice Material for Enhanced Energy Dissipation
Shakarisaz, D. PMMA Stencil Masks for Atom Beam Lithography
Fabrication of Hollow-Core Nanoparticles for Drug Delivery and Imaging
Shankles*, P. Feature Based Design Software for 3D Printed Microfluidics
Shao, Jinhai Fabrication of Au lamellae nanostructure for high sensitive biosensor applications
Ultra high aspect ratio X-ray photon sieves by deep reactive ion etch on silicon and electroplating
The Optimization of T-shape Gate Geometry in GaN HEMTs by Monte Carlo Simulation
The effect of the grating profile on the illumination uniformity of the X-ray condenser
A novel approach for the reduction and inspection of sidewall roughness of patterned resist
Nanofabrication of arch metal structures as gas/biosensors by grayscale electron beam lithography
Nanofabrication of metasurface with gold polycyclic radial apertures for optical vortex by EBL
Sharang, S. Ultra-fast nano-fabrication using Xe-plasma FIB-SEM and its Cu milling applications using the Rocking-stage
Ultrafast nanofabrication with Xe plasma FIB-SEM and its planar milling applications with novel Rocking stage Technology
Sharbati, Mohammad T. Injection of 2D Electron Gas into a Quantum-Dot Organic Light-Emitting Diode Structure on Silicon Substrate
Sharma, A. Area-selective atomic layer deposition using e-beam and photosensitive masking layers
Sharma, Renu Nanofluidic Liquid Cell with Integrated Electrokinetic Pump for In Situ TEM
Sharp, Brandon High Resolution Organic Resist Materials for EUV and E-beam Lithography Based on Molecular Glasses: A Comparison of Negative Tone and Positive Tone Approaches to Form Robust Cross-linked Polymer Nanopatterns
Sheetz, M. P. Micro-fabricated elastomeric pillar arrays for studies of cellular sensing of extracellular matrix rigidity
Out-of-plane spatial control at single-molecule resolution on biomimetic surfaces
Shen, M. Overview of Atomic Layer Etching – From the Ion Beam Perspective
Shen, W. Large-area Fabrication of Chirped Gratings
Shepherd, N. A New Opportunity to Fabricate Multi-Bit Transistor Memories Using Mechanically Exfoliated Multilayer WSe2 Flakes
Shi, B. Fabrication of High Resolution Zone Plates with High Aspect Ratio using Metal-assisted Chemical Etching
Shi, J. Atomic Layer Deposition of Ternary Dielectrics for Gate Insulation and Passivation of GaN-based Metal-Insulator-Semiconductor Heterojunction Field Effect Transistors
Shi, Y. Subwavelength Focusing of Light with a Slanted-Nanoaperture-Array Metal Lens
Shih, W.-C. Fabrication of cylindrical neural probes with integrated conductor wiring
Shimomukai, K. Effect of Elastic Modulus of UV Cured Resist on Demolding Force
Shin, I-K. Analytic Estimation of LER for Large-Scale Uniform Patterns in Electron-beam Lithography
Noise Filtering for Accurate Measurement of Line Edge Roughness and Critical Dimension from SEM Images
Shirai, M. Effect of Elastic Modulus of UV Cured Resist on Demolding Force
Shirasaki, Y. Aberration Calculation of Chicane Type Magnetic Sector using Differential Algebraic Method
Shokouhi, Babak Neutral surface modification by e-beam exposure for PS-b-PMMA self assembly
Shulaker, M. M. From Nanodevices to Nanosystems: The Carbon Nanotube Case Study
Shuo, Chen Ultra high aspect ratio X-ray photon sieves by deep reactive ion etch on silicon and electroplating
Sieg, S Directed Self-Assembly patterning options for FinFET formation at 7nm node
Silver, Richard Atomically Precise Devices: Enabling Fundamentally New Devices at the Ultimate Atomic Limit
Singh, M. Direct Write Nanofabrication for Quantum Computing in Silicon and Color Centers in Diamond
Singhal, Shrawan Metrology of Sub-65nm Resist Gratings on Flexible Substrate Made by Jet and Flash Nanoimprint Lithography
Sipahigil, A. Direct Write Nanofabrication for Quantum Computing in Silicon and Color Centers in Diamond
Skinner, J.L. Lithography via Aligned Electrospun Fibers
Melt Electrospinning: Method for Producing Photo-converting Nanocomposite Materials
Sleeboom, J.J.F. Brain on Chip
Snider, G. L. Charged defects mitigation in metal single-electron transistors with tunnel barriers prepared by atomic layer deposition
Soles, C. L. Lithiation of Sn Microspheres: Li FIB vs Electrochemistry
Solomon, P. A. UV-Accelerated Detection and Recovery of CH4 in ZnO Functionalized Multi-walled Carbon Nanotube Sensors
Somervell, M Directed Self-Assembly patterning options for FinFET formation at 7nm node
Song, B. Probing Sub-5 nm Gap Plasmon Using Collapsible Nano-fingers
Multiscale Porous Structure Enabled by Variable Voxel Stereolithography
Song, Fengquan Nanoscale interdigital electrode arrays for smart water sensing
Sopitpan, Suwat Local Positional Alignment of InSb Nanostructures by Self-Assembled Epitaxial Growth on Ge Substrate
Spallas, J. P. High-Resolution Compact FESEM with a Magnetic Immersion Objective Lens
Ultra-Low-Voltage Imaging Using a Miniature Electron Beam Column
Späth, Andreas On the Magnetic Properties of Clean Iron Nanostructures Fabricated by Focused Electron Beam Induced Processing
Sreenivasan, S.V. Metrology of Sub-65nm Resist Gratings on Flexible Substrate Made by Jet and Flash Nanoimprint Lithography
Staaks, Daniel Single-digit nanofabrication: ultrahigh density sub-10 nm TiO2 features via the self-aligned double patterning process
SiO2 plasma etching using SF6 with O2/Ar mixtures down to cryogenic temperatures
Pattern-generation and pattern-transfer for sub-10nm devices
Stach, E. Sub-5 nm electron-beam lithography and metrology of poly (methyl methacrylate) using an aberration-corrected scanning transmission electron microscope
Aberration-Corrected Electron-Beam Lithography
Stammberger, S. Shape Positional Accuracy Optimization via Writing Order Correction
Stan, L. Atomic Layer Deposition of Ternary Dielectrics for Gate Insulation and Passivation of GaN-based Metal-Insulator-Semiconductor Heterojunction Field Effect Transistors
UV-Accelerated Detection and Recovery of CH4 in ZnO Functionalized Multi-walled Carbon Nanotube Sensors
Stanford, Michael G. Focused helium-ion induced direct write engineering of 2D materials
Stang, J. Microwave Characterization of Nanocomposite based on Lithographically Defined Nanoparticles
Stavis, S. M. Focused ion beam milling of optical films with complex surfaces at the subnanometer scale
Nanofluidic Liquid Cell with Integrated Electrokinetic Pump for In Situ TEM
Steele, A.V. Low Temperature Ion Source for Focused Ion Beam Nanomachining Applications
Stein, A. Sub-5 nm electron-beam lithography and metrology of poly (methyl methacrylate) using an aberration-corrected scanning transmission electron microscope
Selective Directed Self Assembly of Coexisting Morphologies Using Block Copolymer Blends
Study of Reactive Ion Etching for Kinoform Lenses
Aberration-Corrected Electron-Beam Lithography
Initial Design and Nanofabrication of Energetically Efficient Biologically Motivated Contact
Steinberg, C. Flat and highly flexible composite stamps for nanoimprint, their preparation and limits
Nanoimprint-induced orientation of localized wrinkles with SU-8
Stolovitzky, G. A. Bio-inspired Silicon Nanospikes Fabricated by Metal-Assisted Chemical Etching for Antibacterial Applications
Stoner, B.R. Multi-Beam Magnetic Sector Mass Spectrometry Utilizing Spatially Coded Apertures
Su, V.C. Sub-10 nm Electron Beam Lithography by Using Rapid and Cold Development of ZEP-520A
Su, Y.Q. Microwave Characterization of Nanocomposite based on Lithographically Defined Nanoparticles
Suh, Jae Woo Mask registration and array efficiency for nitride FinFET prototyping
Sukachev, D. Direct Write Nanofabrication for Quantum Computing in Silicon and Color Centers in Diamond
Sun, Dazhi Nanoimprint technology for patterning functional ZrO2 ceramic materials
Sun, Kai Novel Plasmonic Metamasks for Photopatterning Molecular Orientations in Liquid Crystals
Sun, L. Self-cleaning Properties of Nanostructured Polypropylene Foils Fabricated by Roll-to-Roll Extrusion Coating
Sun, W. DNA Nanostructures Mediated Molecular Imprinting Lithography
Suzuki, M. Overlay improvement in nanoimprint lithography for 1x-nm patterning
Swart, Jacobus W. FIB Deposition of Platinum Micropillars in Microchannels for Diffusion Control in Microfluidic devices
Syam, A. M. Electron-Beam Induced Deposition of Highly Conductive Copper Nanowires from Bulk Liquids
Syed, Atif Fabrication and characterization of zinc oxide nanowires-based flexible biosensors

T

Taboryski, R. Self-cleaning Properties of Nanostructured Polypropylene Foils Fabricated by Roll-to-Roll Extrusion Coating
Tajmar, M. Ion Beam Injector based on High Current LMIS
Takashima, K. Artificial Two-dimensional Lattice Structures Assembled by Atom Manipulation Technique
Takeuchi, S. Lithiation of Sn Microspheres: Li FIB vs Electrochemistry
Tan, S. Overview of Atomic Layer Etching – From the Ion Beam Perspective
Monte-Carlo Simulations of Ion Beam Sputtering in Compounds
Tanabe, A. Viscosity range of UV-curable resins usable in screen printing with polyimide through-hole membrane masks for sub-100 nm-wide imprint patterns
Tanaka, Toshiki Computational study on novel proximity lithography for deep stepped substrate by Built-in Lens Mask (BILM)
Taniguchi, J. Micropiercing of titanium foil by combination of a roll press method and dry etching
Characteristics of residual layer thickness on liquid transfer imprint lithography and roll press method
Taniguchi, Yusuke Molecular orientation evaluation of negative-tone and positive-tone photo-cross-linkable liquid crystalline polymer pattern fabricated by nanoimprint-graphoepitaxy
Tavakkoli K.G., A. Fabrication of Multilayer Complex Nanomesh Patterns
Multidirectional BCP Alignment by Thermal-Coefficient-of-Expansion Mismatch Shear
Lithographically patterned nanostructures for geometric control of coiled-coil protein placement and alignment
Telecka, A. Self-cleaning Properties of Nanostructured Polypropylene Foils Fabricated by Roll-to-Roll Extrusion Coating
Testini, A. Fabrication of 3 Dimensional Photonic Crystals with Waveguides for visible Light
Thainoi, Supachok Local Positional Alignment of InSb Nanostructures by Self-Assembled Epitaxial Growth on Ge Substrate
Theogarajan, Luke 3D Hybrid Memristor/CMOS Circuits with 8 Monolithically Integrated Crossbar Layers
Thompson, A. Metal Free Adhesion of Au onto Si Native Oxide
Tian, C. DNA Nanostructures Mediated Molecular Imprinting Lithography
Tierno, D. SiO2 plasma etching using SF6 with O2/Ar mixtures down to cryogenic temperatures
Timm, Andrea.C. Development of transparent microwell arrays for optical monitoring and dissection of microbial communities
Tippens, J. Design and Fabrication of an In-Plane Nano structured Solar Concentrator
Tisa, T.-A. Fabrication of cylindrical neural probes with integrated conductor wiring
Tiwale, N. Direct Write Electron Beam Lithography for Top-down Fabrication of sol-gel based ZnO micro-nano FETs
Tochino, T. Effect of Elastic Modulus of UV Cured Resist on Demolding Force
Impact of template stiffness during peeling release in nanoimprint lithography
Torres, Clivia M. Sotomayor Hierarchical micro/nano structures for enhanced self-cleaning applications
Truong, H Directed Self-Assembly patterning options for FinFET formation at 7nm node
Trusheim, M. E. Direct Write Nanofabrication for Quantum Computing in Silicon and Color Centers in Diamond
Tsai, H Directed Self-Assembly patterning options for FinFET formation at 7nm node
Tu, Fan Focused Electron Beam Induced Processing in ultra-high vacuum: new routes for the fabrication of clean metallic nanostructures and the reduction of proximity effects
On the Magnetic Properties of Clean Iron Nanostructures Fabricated by Focused Electron Beam Induced Processing
Tung, M. C. Design Strategy for Layout of Sub-Resolution Directed Self-Assembly Assist Features (SDRAFs)
Twedt, K. A. Lithiation of Sn Microspheres: Li FIB vs Electrochemistry
Tyryshkin, A. Electron Spin Resonance Characterization of Damage and Recovery of Si/SiO2 Interfaces from Electron Beam Lithography

U

Uehara, T. Viscosity range of UV-curable resins usable in screen printing with polyimide through-hole membrane masks for sub-100 nm-wide imprint patterns
Uemura, K. Effect of Elastic Modulus of UV Cured Resist on Demolding Force

V

Vaz, A. R. FIB Deposition of Platinum Micropillars in Microchannels for Diffusion Control in Microfluidic devices
III-V NanoWires for Junctionless Transistors Fabricated by Focused Ion Beam (FIB) System
Vervuurt, R.H.J. Area-selective atomic layer deposition using e-beam and photosensitive masking layers
Voelcker, N.H. Silicon nanowire and hole arrays by a combination of self-assembly, laser ablation, and wet chemical etching
Volland, Burkhard Pattern-generation and pattern-transfer for sub-10nm devices
Vollnhals, Florian Focused Electron Beam Induced Processing in ultra-high vacuum: new routes for the fabrication of clean metallic nanostructures and the reduction of proximity effects
On the Magnetic Properties of Clean Iron Nanostructures Fabricated by Focused Electron Beam Induced Processing
Von Ehr, J. R. Tip-assisted Dopant Incorporation Process for Atomic Precision P-in-Si qubit devices

W

Walker, G. RF Sputtering of ZnO (002) Thin Films on top of 3C-SiC-on-Si (100) Substrates for Low Cost Piezoelectric Devices
Wan, Wenjie Nanofluidic Flow-Assisted Assembly (NFAA) of Well-Dispersed Plasmonic Nanostructures into Nanoslit Sensors
Wang, Amy Nanoscale interdigital electrode arrays for smart water sensing
Wang, Honglei High-Performance Nanoimprinted Perovskite Nanograting Photodetector
Nanoimprinted Perovskite Micro- Nanostructures for Photovoltaics
Wang, Qing Effects of Contact States on Polymer Pattern Deformation during Demolding Process in Nanoimprint Lithography
Wang, S. Flat and highly flexible composite stamps for nanoimprint, their preparation and limits
Nanoimprint-induced orientation of localized wrinkles with SU-8
Wang, Sihao Design and Fabrication of Plasmonic Notch Color Filters
Wang, Xiqiao Atomically Precise Devices: Enabling Fundamentally New Devices at the Ultimate Atomic Limit
Wang, Y. Probing Sub-5 nm Gap Plasmon Using Collapsible Nano-fingers
Microwave Characterization of Nanocomposite based on Lithographically Defined Nanoparticles
Full color reflective display based on high contrast gratings
Wang, Y.J. Fabrication of Nanojunction with Sub-10 nm Nanogap for Surface Enhanced Raman Scattering by Tensile Stress Mechanically Breaking
Wang, Yifei Multiscale Porous Structure Enabled by Variable Voxel Stereolithography
Ward, C. SiO2 plasma etching using SF6 with O2/Ar mixtures down to cryogenic temperatures
Ward, Craig Single-digit nanofabrication: ultrahigh density sub-10 nm TiO2 features via the self-aligned double patterning process
Ward, T. Z. Ionic Liquid Gating effects on a-IGZO Thin Film Transistors: A Novel Method for Amorphous Metal Oxide Activation
Watanabe, A. Hexagonal Dot Pattern Fabrication by Self-assembled Colloidal Silica Grafted with a Concentrated Polymer Brush
Weber-Bargioni, A. Near field campanile probe fabricated by nanoimprint lithography
Weber, S. Fabrication of High-Coherence Superconducting Qubits
Wei, Qi-Huo Novel Plasmonic Metamasks for Photopatterning Molecular Orientations in Liquid Crystals
Welander, P. B. Fabrication of High-Coherence Superconducting Qubits
Welland, M. E. Direct Write Electron Beam Lithography for Top-down Fabrication of sol-gel based ZnO micro-nano FETs
Wi, S. Repetitive Nanoprinting Technique for Producing Vertically Stacked Transition Metal Dichalcogenide Heterostructure Arrays and Photo-Response Devices
Low-Workfunction Metal Doping for Making WSe2 Photovoltaic Devices
Wilson, T. M. Lithiation of Sn Microspheres: Li FIB vs Electrochemistry
Wind, S. J. Out-of-plane spatial control at single-molecule resolution on biomimetic surfaces
Probing Breast Cancer Cell Response to Heterogeneous Rigidity at the Nanoscale
Wojcik, M. Fabrication of High Resolution Zone Plates with High Aspect Ratio using Metal-assisted Chemical Etching
Wolfe, J. Fabrication of cylindrical neural probes with integrated conductor wiring
Wolfenson, H. Micro-fabricated elastomeric pillar arrays for studies of cellular sensing of extracellular matrix rigidity
Wolter, S.D. Multi-Beam Magnetic Sector Mass Spectrometry Utilizing Spatially Coded Apertures
Wong, A. Ionic Liquid Gating effects on a-IGZO Thin Film Transistors: A Novel Method for Amorphous Metal Oxide Activation
Wong, H. S. P. Design Strategy for Layout of Sub-Resolution Directed Self-Assembly Assist Features (SDRAFs)
From Nanodevices to Nanosystems: The Carbon Nanotube Case Study
Wood, S. Metal Free Adhesion of Au onto Si Native Oxide
Shape Positional Accuracy Optimization via Writing Order Correction
Wright, G. Selective Directed Self Assembly of Coexisting Morphologies Using Block Copolymer Blends
Wu, Ming Optical Antennas Make Fast LEDs
Wu, W. Probing Sub-5 nm Gap Plasmon Using Collapsible Nano-fingers
Microwave Characterization of Nanocomposite based on Lithographically Defined Nanoparticles
Full color reflective display based on high contrast gratings
Wu, Wei Multiscale Porous Structure Enabled by Variable Voxel Stereolithography
Wu, Yueying Self and directed assembly of metallic nanoparticles via PLiD and STEM/ EELS characterization of plasmon-related effects

X

Xi, Y. Subwavelength Focusing of Light with a Slanted-Nanoaperture-Array Metal Lens
Xia, Q. F. Metal Cation Motion Induced Resistance Switching in Highly Reliable Hafnium Oxide based Memristive Devices
3D Hybrid Memristor/CMOS Circuits with 8 Monolithically Integrated Crossbar Layers
Xiang, Q. Sketch and Peel Lithography
Xiao, Shuaigang Single-digit nanofabrication: ultrahigh density sub-10 nm TiO2 features via the self-aligned double patterning process
Xie, P. Mulitiplexed Molecular Assays Using Nanoelectronically Barcoded Beads
Electronic Quantification of Surface Proteins on Circulating Tumor Cells Based on Bead-CTC Aggregate Sizing
Xie, S. Brain on Chip
Xin, H. L. Metal Cation Motion Induced Resistance Switching in Highly Reliable Hafnium Oxide based Memristive Devices
Xu, C. Fabrication of Au lamellae nanostructure for high sensitive biosensor applications
Dense metasurface with high efficiency dense metasurface for broadband optical vortex by high resolution electron beam lithography
A novel approach for the reduction and inspection of sidewall roughness of patterned resist
Xu, Huizhong Patterned Fabrication of ZnO Nanowire Arrays for Nanoplasmonic Waveguide Applications

Y

Yager, K.G. Selective Directed Self Assembly of Coexisting Morphologies Using Block Copolymer Blends
Yajima, Y. Replication of Nanostructures on Polyethylene Terephthalate with Laser-Assisted Roller Nanoimprinting
Yamamoto, R. Hexagonal Dot Pattern Fabrication by Self-assembled Colloidal Silica Grafted with a Concentrated Polymer Brush
Yamamoto, T. Artificial Two-dimensional Lattice Structures Assembled by Atom Manipulation Technique
Yan, F. Fabrication of High-Coherence Superconducting Qubits
Yang, G.Z. Microfabrication of Planar Spectrum Splitting and Beam Concentration Diffractive Optical Element for Lateral Multijunction Photovotaic System
Yang, Jia A significant vaporized degradable film assisting demoulding technics in nanoimprinting lithography
Yang, K. W. Design and Fabrication of Plasmonic Notch Color Filters
Yang, K. Thermal stresses and cracks in a solution-processed ITO nanoparticle-thin film heater
Yang, XiaoMin Single-digit nanofabrication: ultrahigh density sub-10 nm TiO2 features via the self-aligned double patterning process
Yang, Y. Characterization of Nanofabricated Electron Transmission Gratings with Electron Diffraction
Yang, Zhaogang A high-throughput nano-electroporation platform for large-scale manipulation and transfection of adult mouse cardiomyocytes
Yao, Y. Probing Sub-5 nm Gap Plasmon Using Collapsible Nano-fingers
Full color reflective display based on high contrast gratings
Multiscale Porous Structure Enabled by Variable Voxel Stereolithography
Yaroshchuk, Oleg Novel Plasmonic Metamasks for Photopatterning Molecular Orientations in Liquid Crystals
Yasuda, M. Impact of template stiffness during peeling release in nanoimprint lithography
Computational study on novel proximity lithography for deep stepped substrate by Built-in Lens Mask (BILM)
Fabrication of Patterned Multilayer Structure by using Novel Reversal Imprinting
Ye, T. A CMOS based nano-electrode array for high-throughput electrophysiology
Yin, P. DNA Nanostructures Mediated Molecular Imprinting Lithography
Yoder, J. L. Fabrication of High-Coherence Superconducting Qubits
Yoon, J.S. Nanofluidic Flow-Assisted Assembly (NFAA) of Well-Dispersed Plasmonic Nanostructures into Nanoslit Sensors
Yost, D. R. W. Fabrication of High-Coherence Superconducting Qubits
Yu, Bo Nanoimprint technology for patterning functional ZrO2 ceramic materials
Yu, F. Design and Implementation of Soft Polymer-Based Cantilever Probe for Atomic Force Microscopy
Yu, Gary Neutral surface modification by e-beam exposure for PS-b-PMMA self assembly
Yu, Ye Design and Fabrication of Plasmonic Notch Color Filters

Z

Zajac, D. M. High density overlapping gate architecture for Si/SiGe quantum dots
Zakhidov, Anvar High-Performance Nanoimprinted Perovskite Nanograting Photodetector
Nanoimprinted Perovskite Micro- Nanostructures for Photovoltaics
Zeidler, D. Imaging semiconductor patterns at N10 logic node with a high-throughput multi-beam SEM
Zhang, Anthony Nanometer dimension control on silicon imprint mold using atomic layer deposition for large-area nanofabrication
Zhang, C. Stretchable Metal-mesh Transparent Electrodes Fabricated through a Solution-processed Approach
Large-area Fabrication of Chirped Gratings
Zhang, L. Sub-5 nm electron-beam lithography and metrology of poly (methyl methacrylate) using an aberration-corrected scanning transmission electron microscope
Patterning of non-planar surfaces via electron beam lithography and its challenges
Aberration-Corrected Electron-Beam Lithography
Zhang, R. Suspended two-dimensional MoS2 transistor
Zhang, Shaoda An Optimized Dual-Axis Electrolytic Tilt Sensor
Zhang, Sichao Fabrication of Au lamellae nanostructure for high sensitive biosensor applications
The effect of the grating profile on the illumination uniformity of the X-ray condenser
A novel approach for the reduction and inspection of sidewall roughness of patterned resist
Nanofabrication of arch metal structures as gas/biosensors by grayscale electron beam lithography
Nanofabrication of metasurface with gold polycyclic radial apertures for optical vortex by EBL
Zhang, X. Optical Properties of “Air-Like” Ordered 3D Thin-Shell Nanolattice Materials
Three-Dimensional Colloidal Interference Lithography
Fabrication of Magnetically Tunable Periodic Nanostructures
Lightweight Ultrastrong Thin-Shell Nanolattice Material for Enhanced Energy Dissipation
Zhao, J. Optical Properties of “Air-Like” Ordered 3D Thin-Shell Nanolattice Materials
Lightweight Ultrastrong Thin-Shell Nanolattice Material for Enhanced Energy Dissipation
Zheng, Nan A New UV-curable Resist with Liquid Volume-Expanding Monomers
Zheng, S. Mixture of ZEP and PMMA with tunable sensitivity as a liftoff layer with controllable undercut
Zhu, Ruichao Metrology of Sub-65nm Resist Gratings on Flexible Substrate Made by Jet and Flash Nanoimprint Lithography
Zhu, Z. Lab-on-a-fiber Sensors with Nanoimprinted Nanostructures on Fiber Sidewall
Zmuidzinas, Jonas Exploring the Universe with Superconducting Detectors
Zonnevylle, A.C. Patterning of non-planar surfaces via electron beam lithography and its challenges
Zou, Q. Design and Implementation of Soft Polymer-Based Cantilever Probe for Atomic Force Microscopy

EIPBN Abstracts