EIPBN

EIPBN 2018 Authors Index


A | B | C | D | E | F | G | H | I | J | K | L | M | N | O | P | Q | R | S | T | U | V | W | X | Y | Z
Name Abstract

A

Abedzadeh, N.Influence of TMAH development on niobium nitride films
Diffractive Electron Mirrors as Lossless Beam Splitters
Electron mirror in MEMS technology for phase manipulation of the wave function
Accardo, A.Native and Surface-Modified Nanocellulosic Materials for 2.5D and 3D Fabrication
Renewable nanoparticles as additives for 3D printed hydrogels
Achal, RoshanLithography for Robust, Editable Atomic-scale Silicon Devices
Adam, G. C.Principles and Practice of Electron Beam Induced Current Microscopy of Resistive Nanodevices
A TiO2 – based Radio Frequency Resistive Switch
Adewumi, B.A Self Contained Portable Surface Enhanced Raman Scattering Needle Probe
Administration, DrugUltrasensitive Ebola virus antigen detection via a nanoantenna-array biosensing platform
Agarwal, A.Determination of aberration coefficients in an SEM using electron ptychographic imaging
Revisiting the Photon-Drag Effect in Thin Metal Films
Femtosecond Pulse Shaping Using Metasurfaces
Ahmad, A.High-throughput process chain for SET devices based on FE-SPL and SmartNIL (TM) technology
Sharp GaN Nanowires on the Si-Tip of active cantilevers for fast-AFM and Scanning Probe Lithography Applications
Field-Emission Scanning Probe Lithography with Diamond tips
Ahmad, AhmadField-Emission Scanning Probe Lithography, Low Energy EBID and Correlative Microscopy with AFMinSEM.
Field-emission scanning probe lithography tool for 150 mm wafer.
Ahmed, S.Integrated Flexible Solid-state Thin Film Supercapacitors
Ahmed, ZeeshanOn-chip integrated silicon photonic thermometers with sub-10 uK temperature resolution
Ahn, S. JVariation of field of view according to Si deflector shape in a microcolumn
Ai, W.Electrically-assisted nanoimprint of block-copolymers
Aiello, C.Opto-Thermionic Cathodes for SEM
Opto-Thermionic Cathodes for AweSEM
Akinwande, D.Intriguing Photoelectricity from 2D Bismuth Grown Directly on Si substrates
Aksyuk, V.The Collective Behavior of Large Ensembles of Coupled MEMS Cantilevers with Varying Natural Frequencies
Aksyuk, Vladimir A.Integrated Photonic and Plasmonic Signal Transduction for Micro- and Nanomechanical Sensing
Alalva, M.Fabrication of a Macroscopically Degenerate 3D Artificial Spin Ice
Alava, T.Interaction of graphene surfaces with protein: route for effective non-covalent biological functionalization
Alava, ThomasA versatile fabrication protocol for graphene gated field effect transistor-based smart biological sensors on arbitrary substrates
Alba,, R. DeAbsolute deflection measurements in a MEMS/NEMS Fabry-Perot interferometry system
Albisetti, EdoardoThermal and ThermoChemical Scanning Probe Lithographyfor mask-less and marker-less patterning of electronic materials
Allen, Frances I.Beam induced deposition of tungsten nanopillars using focused helium and neon ions
Aloni, S.Large area growth of transition metal dichalcogenides for photonics and optoelectronics
Alsharif, NourinPhoto-actuated Pens for Molecular Printing
Altoe, M. V.P.Polymer-Metal Coating for high contrast SEM cross sections towards single-digit nanoscale imaging
Amano, H.Analysis of Cs Layer on InGaN by Temperature-Programmed Desorption Method
Andriolo, J. M.Plasmonic Enhanced Burst Release from Electrospun Fibers Exposed to Light
Andriolo, Jessica M.Electrospun Charge Transport Structures for Hybrid Perovskite Solar Cells
Asakawa, K.Visualization of NL polymer distribution in NIL guides for DSA
Asapu, S.Diffusive memristor based artificial synapses and neurons
Aslam, A.A Nanofabricated Enzyme Biosensor
Audinot, J. -N.SIMS performed on the Helium Ion Microscope: new prospects for highest spatial resolution imaging and correlative microscopy
Aumayr, F.Damage formation in 2D materials due to slow ion irradiation
Austin, UTIntriguing Photoelectricity from 2D Bismuth Grown Directly on Si substrates
Awan, Kashif MGallium Nitride on Gallium Oxide Substrate for IntegraTED Nonlinear Optics
Aydinoglu, F.E-beam lithography using dry powder HSQ resist having long shelf life
Azadi, M.On the Trends and Application of Pattern Density Dependent Isofocal Dose of Positive Resists for 100keV Electron Beam Lithography
Azeredoa, BrunoElectrochemical nanoimprinting of silicon: A direct patterning approach

B

Baczewski, A. D.Designer Quantum Materials, Atom-by-Atom
Badi, M.3D Microfabricated scaffolds for the investigation of the mechanical forces exerted by living cells during migration
Badulescu, R.A TiO2 – based Radio Frequency Resistive Switch
Ballard, J.Single-Dopant Arrays using Tip-assisted Incorporation Process
Self-tuning PI Control for STM Tip Protection
Ballard, Joshua B.Highly Parallel Scanning Probe Lithography
Bank, S.Intriguing Photoelectricity from 2D Bismuth Grown Directly on Si substrates
Bargioni, A. W.Large area growth of transition metal dichalcogenides for photonics and optoelectronics
Barnell, M.Diffusive memristor based artificial synapses and neurons
Analog and Neuromorphic Computing with Memristor Arrays
Barner, L.Characterization of Helium-Ion Machined Fluidic Structures
Barrett, L. K.MEMS-stencil lithography for mechanically-tunable infrared metasurfaces on 3D-DLW printed scaffolds
Bashir, R.Tip-Based Fabrication of Single-Layer MoS2 Nanoribbon Transistors with 30-nm Channel Width
Bassim, N. D.Focused Ion Beam Fabrication of a Chiral Infrared Polarizer
Bastiaens, A. J.Increasing nanogroove height enhances neuron outgrowth alignment
Bastiaens, A.A start-up concept: Commercializing MESOTAS-SIEVE Brain-on-chip technology in neuropharmaceutical drug development
Basu, S.A Self Contained Portable Surface Enhanced Raman Scattering Needle Probe
Bauerdick, S.FIB based Sketch & Peel with various Ion Species for Fast and Precise Patterning of Large Structures
Bauerdick, SvenTechnology and Recent Improvements of LMIS for FIB Patterning and FIB Nanofabrication
Behzadirad, M.Sharp GaN Nanowires on the Si-Tip of active cantilevers for fast-AFM and Scanning Probe Lithography Applications
Belianinov, A.Electrostriction, capacitive susceptibility, and neuromorphic computing in droplet interface bilayers
The Importance of High-Level Simulation in the Co-Design of Neuromorphic Systems
Belianinov, AlexNanoscale Chemical Phenomena using HIM-SIMS
Dynamics of Graphene Milling Using the Helium Ion Beam
Belic, N.On the Trends and Application of Pattern Density Dependent Isofocal Dose of Positive Resists for 100keV Electron Beam Lithography
Belic, NikolaSoftware based optimization of gray scale laser lithography
Belissard, J.Limits of model-based CD-SEM metrology
Belkin, D.Analog and Neuromorphic Computing with Memristor Arrays
Bellan, L. M.Cold-Blooded Circuits: Transient Electronics that Require Constant Heat Input to Prevent Dissolution
Berggren, K. K.Influence of TMAH development on niobium nitride films
Source Shot Noise Mitigation in Scanned Beam Microscopy
Superconducting Nanowire-Based Processor for Training Deep Neural Networks
Diffractive Electron Mirrors as Lossless Beam Splitters
Electron mirror in MEMS technology for phase manipulation of the wave function
Fabrication of cryogenic resistors for on-chip shunting of superconducting NbN nanowire devices.
Berggren, Karl K.Determination of aberration coefficients in an SEM using electron ptychographic imaging
Bertani, F. R.Organs on Chip approach for evaluating drug-induced modulation of the immune response
Bertilson, M.Energy-momentum spectroscopy by wavelength- and angularly-resolved Cathodoluminescence
Beyer, A.Scanning Transmission Helium Ion Microscopy on 1nm Thick Carbon Nanomembranes
Bi, K.FIB based Sketch & Peel with various Ion Species for Fast and Precise Patterning of Large Structures
Bischoff, L.FIB alternative patterning schemes and non-classical Liquid Metal Ion Sources
Bishop, D. J.MEMS-stencil lithography for mechanically-tunable infrared metasurfaces on 3D-DLW printed scaffolds
Bishop, David J.DLW-MEMS Integration for Dynamic 3D Microstructures
Biswas, D.A Self Contained Portable Surface Enhanced Raman Scattering Needle Probe
Black, S.A multiplexed intracellular probing (IP) nano-chip for interrogation of myo-fibroblasts and cardiomyocytes gene in cardiac fibrosis
Blatché, C.A 3D microdevice for the in vivo trapping of cancer-associated circulating cells.
Blondeel, E. J. M.Fabrication of Hollow Silicon Microneedle Arrays for Transdermal Biological Fluid Extraction
Boldman, Walker LIonic Liquid and Amorphous Metal-Oxide Semiconductor Interactions: Towards a New Programmable Neuromorphic Platform
Bonmassar, G.Planar coils for Optimal Micromagnetic Brain Stimulation.
Booth, C.Towards SEM / broad ion-beam serial-sectioning of large scale biological tissues
Botman, A.In-situ femtosecond pulsed laser ablation for large volume 3D analysis in scanning electron microscope systems
Bou, E.A 3D microdevice for the in vivo trapping of cancer-associated circulating cells.
Bouchiat, VincentA versatile fabrication protocol for graphene gated field effect transistor-based smart biological sensors on arbitrary substrates
Bouissou, A.3D Microfabricated scaffolds for the investigation of the mechanical forces exerted by living cells during migration
Bracker, A. S.Acoustic Waves Coupled to Quantum Dots in Nanomechanical Structures
Braganca, PatrickPatterning of Dense Arrays for MRAM Applications
Braun, P. V.Optimization of Inverse Opal Structures for Application as Stable Field Emitters
Braunschweig, A. B.Massively Multiplexed Tip-Based Photochemical Lithography under Continuous Capillary Flow
Breaux, C. L.Microphase Separation of High-χ Poly(4-tertbutyl styrene) -block - Poly(2-hydroethyl methacrylate)
Limits of Asymmetric Homopolymer Addition in Block Copolymer-Homopolymer Blends in Modulating the Directed Self-Assembly Behavior of Block Copolymers
Effect of Homopolymer Additive Molecular Weight on the Patterning Behavior of Directed Self-Assembly of Block Copolymer-Homopolymer Blends
Briceno, Juan J. FariaMeasuring Liquid Properties on Nano-scale Photoresist 1D Patterned Structures
Brockway, M. C.Electrospun Charge Transport Structures for Hybrid Perovskite Solar Cells
Plasmonic Enhanced Burst Release from Electrospun Fibers Exposed to Light
Brown, K. A.Quantifying Liquid Transport and Patterning using Atomic Force Microscopy
Brown, Keith A.Photo-actuated Pens for Molecular Printing
Bruchhaus, L.FIB alternative patterning schemes and non-classical Liquid Metal Ion Sources
Brueck, S. R. JMeasuring Liquid Properties on Nano-scale Photoresist 1D Patterned Structures
Brugger, J.Performance of unique 3D devices fabricated using thermal Scanning Probe Lithography
Bullerjahn, F.Single-nanometer accurate 3D nanoimprint lithography with master templates fabricated by NanoFrazor lithography
Burch, Matthew J.Nanoscale Chemical Phenomena using HIM-SIMS
Dynamics of Graphene Milling Using the Helium Ion Beam
Busani, T.Sharp GaN Nanowires on the Si-Tip of active cantilevers for fast-AFM and Scanning Probe Lithography Applications
Businaro, L.Organs on Chip approach for evaluating drug-induced modulation of the immune response
Butters, B.Superconducting Nanowire-Based Processor for Training Deep Neural Networks

C

Cabrera, Carlos R.CREST Center for Innovation, Research and Education in Environmental Nanotechnology
Cabrini, S.Optoelectronic neural probes with passive light switching optical circuits: light control in deep brain tissue
Investigation of Plasmonic Enhancement of Molecular Fluorescence Using Collapsible Nano-Fingers
Large area growth of transition metal dichalcogenides for photonics and optoelectronics
Cabrini, StefanoFluorocarbon-based Atomic Layer Etching of Silicon Dioxide in Conventional Plasma Tools
Directed assembly of perovskite nanocrystals on topographically and chemically patterned surfaces
Cai, HaogangUltrathin Metasurfaces Based on Dielectric Nanoresonators for Visible Light
Cai, J.Selective electroless metallization of micro- and nanopatterns for flexible electronic application through imprint-transfer of palladium nanoparticles
Flexible photodetector using ambient-processed blade-coated perovskite film on nanostructured polymers
Solution-processed flexible plasmonic nanodisk arrays for biomolecular detection
Cai, JingxuanFlexible optoelectronic devices with metallic nanofiber transparent electrodes
Caldwell, J. D.Focused Ion Beam Fabrication of a Chiral Infrared Polarizer
Calò, AnnalisaThermal and ThermoChemical Scanning Probe Lithographyfor mask-less and marker-less patterning of electronic materials
Cameron, J.Materials Innovation to Address the Challenges of Advanced Implant Lithography
Camino, F.Electrical Biasing Transmission Electron Microscope Sample Holder Compatible with Focused Ion Beam Sample Cleaning
Campbell, D. M.Designer Quantum Materials, Atom-by-Atom
Cao, E.Process condition dependence of liquid-phase focused electron beam induced etching of copper
Carter, S. G.Acoustic Waves Coupled to Quantum Dots in Nanomechanical Structures
Cerf, A.A 3D microdevice for the in vivo trapping of cancer-associated circulating cells.
Native and Surface-Modified Nanocellulosic Materials for 2.5D and 3D Fabrication
Chamakos, N. T.Amphiphobic nanoimprinted surfaces showing reversible contact angle modification in electrowetting
Chang, C.Systematic Study of Roll-to-Roll Colloidal 3D Nanolithography System and Its Applications
Fabrication of magnetic nanostructures for real-time manipulation of ferrofluid
Chang, H.Wearable flexible nano-transfection device for on-skin gene editing with CRISPR-Cas9
Chang, L.A multiplexed intracellular probing (IP) nano-chip for interrogation of myo-fibroblasts and cardiomyocytes gene in cardiac fibrosis
Wearable flexible nano-transfection device for on-skin gene editing with CRISPR-Cas9
Chang, M.Opto-Thermionic Cathodes for SEM
Opto-Thermionic Cathodes for AweSEM
Chen, B.Investigation of Plasmonic Enhancement of Molecular Fluorescence Using Collapsible Nano-Fingers
Sub-nanometer gap fabrication using transfer printing by flexible Polydimethylsiloxane (PDMS) substrates
Chen, BuyunEffects of Crystallinity of Switching Layer Material on Memristive Device
Chen, C.Large area growth of transition metal dichalcogenides for photonics and optoelectronics
Chen, I.Systematic Study of Roll-to-Roll Colloidal 3D Nanolithography System and Its Applications
Chen, J.Double-Side Masking and Stress-Released Etching for Fabrication of High Aspect Ratio Graphene Micro-Cantilever
Chen, JiayiSeamless and Scalable Nanofabrication of Silicene Field-Effect Transistors with Prolonged Lifetime
Chen, L.Flexible photodetector using ambient-processed blade-coated perovskite film on nanostructured polymers
Chen, QianRapid thermal nanoimprint through induction heating of nickel mold
Chen, RifeiActive-matrix driven digital microfluidic system built on printed circuit boards
Design and Optimization of High-throughput Cell Pairing Chip for Cell Fusions
Chen, S.Tip-Based Fabrication of Single-Layer MoS2 Nanoribbon Transistors with 30-nm Channel Width
Chen, W.Tip-Based Fabrication of Single-Layer MoS2 Nanoribbon Transistors with 30-nm Channel Width
Chen, XiPlasmonic Roller Lithography
Plasmonic Lithography Utilizing Epsilon Near Zero Hyperbolic Metamaterial
Chen, Y.FIB based Sketch & Peel with various Ion Species for Fast and Precise Patterning of Large Structures
Chen, YifangEnhancing the optical activity of chiral metasurface by a transmitted Electron Beam Lithography
Chen, Z.Fabrication of a Macroscopically Degenerate 3D Artificial Spin Ice
Cheng, X.Surfaces with Deterministically Fabricated Gradient Nanostructures for Spatially Varying Wettability
Cheng, XingActive-matrix driven digital microfluidic system built on printed circuit boards
Quantitative characterization of mechanical properties and residual stress in nanoimprinted polymer films at the microscale
Flexible Nanoimprint Template from Amorphous Metals
Design and Optimization of High-throughput Cell Pairing Chip for Cell Fusions
Cheng, XiyuanPhoto-actuated Pens for Molecular Printing
Chernyashevskyy, M.High Sensitivity Magnetometers and Gradiometers Based on Nano-Josephson Junction SQUIDs
Chitrikar, C.Wearable flexible nano-transfection device for on-skin gene editing with CRISPR-Cas9
Cho, E.High Sensitivity Magnetometers and Gradiometers Based on Nano-Josephson Junction SQUIDs
Cho, Y. K. RyuPerformance of unique 3D devices fabricated using thermal Scanning Probe Lithography
Cho, Young TaeA Study on the Fine pattern generation Using Elastic Restoration of Blankets
Fabrication of Nano-micro hybrid pattern using Anodic Aluminum Oxide template and resins
Choi, Hyun MinA Study on the Fine pattern generation Using Elastic Restoration of Blankets
Fabrication of Nano-micro hybrid pattern using Anodic Aluminum Oxide template and resins
CHoi, J.Estimation of Critical Dimension and Line Edge Roughness using Artificial Neural Networks
Effects of Lithographic Parameters in Massively-Parallel Electron-beam Systems
Choi, Young-sukPatterning of Dense Arrays for MRAM Applications
Chojnacky, Michal J.On-chip integrated silicon photonic thermometers with sub-10 uK temperature resolution
Chouiki, M.High-throughput process chain for SET devices based on FE-SPL and SmartNIL (TM) technology
Christensen, L.Roll-to-roll Nano-patterning of Packaging Films: Analysis Using AFM, SEM and FIB SEM
Cian, A.Electrically-assisted nanoimprint of block-copolymers
Cloutier, MartinLithography for Robust, Editable Atomic-scale Silicon Devices
Colangelo, M.Influence of TMAH development on niobium nitride films
Fabrication of cryogenic resistors for on-chip shunting of superconducting NbN nanowire devices.
Colle, DominiqueSoftware based optimization of gray scale laser lithography
Collier, P.The Importance of High-Level Simulation in the Co-Design of Neuromorphic Systems
Components, Center for SemiconductorThe Definition of Semiconductors Nanowires using Ga+ Focused Ion Beam Lithography with Mask of Hydrogenated Amorphous Silicon Film
Cooke, MikeFluorocarbon-based Atomic Layer Etching of Silicon Dioxide in Conventional Plasma Tools
Córdoba, R.Electron and Ion Beam Induced Deposition of Nanosuperconductors and Nanomagnets
Cornin, S. B.Plasmonic-enhanced Photo-catalysis using Collapsible Nano-fingers
Coyle, S.Towards SEM / broad ion-beam serial-sectioning of large scale biological tissues
Craighead, H.Interaction of graphene surfaces with protein: route for effective non-covalent biological functionalization
Cronin, S.Investigation of Plasmonic Enhancement of Molecular Fluorescence Using Collapsible Nano-Fingers
Cui, B.Fabrication of Hollow Silicon Microneedle Arrays for Transdermal Biological Fluid Extraction
E-beam lithography using dry powder HSQ resist having long shelf life
Cui, BoMoth-eye antireflection nano-structure on glass for CubeSats
Cui, DehuDecrease nanoimprint lift-off force by poly(ethylene glycol) doping
Fabricating nanolens arrays by nanoimprint lithography
Fabricating multilayer channel by double nanoimprint lithography
Cui, YushuangRapid thermal nanoimprint through induction heating of nickel mold
Cullen, D. A.The Challenge of Contamination in Atomically Precise Manipulation and Processing of Graphene and 2D Materials
Cybart, S.High Sensitivity Magnetometers and Gradiometers Based on Nano-Josephson Junction SQUIDs
Czaplewski, David A.Ultrathin Metasurfaces Based on Dielectric Nanoresonators for Visible Light

D

D.Schuman, C.Electrostriction, capacitive susceptibility, and neuromorphic computing in droplet interface bilayers
D'Arpa, E.Optoelectronic neural probes with passive light switching optical circuits: light control in deep brain tissue
Daley, James M.Applying Helium Ion Microscopy to Study Alport Syndrome in Mice
Dallorto, StefanoFluorocarbon-based Atomic Layer Etching of Silicon Dioxide in Conventional Plasma Tools
Daniels-Race, T.A Self Contained Portable Surface Enhanced Raman Scattering Needle Probe
Dávila, N.Analog and Neuromorphic Computing with Memristor Arrays
DE Marneffe, J. -F.High-throughput process chain for SET devices based on FE-SPL and SmartNIL (TM) technology
De Simone, DaniloCharacterization of EUV resist performance: introducing new metrics
de Villafranca, G.On the Trends and Application of Pattern Density Dependent Isofocal Dose of Positive Resists for 100keV Electron Beam Lithography
DeAlba, R.The Collective Behavior of Large Ensembles of Coupled MEMS Cantilevers with Varying Natural Frequencies
Delft, TUDiffractive Electron Mirrors as Lossless Beam Splitters
Delgado, G.High Brightness Metal Coated Silicon Field Emission Electron Sources
Delobbe, A.Latest development for failure analysis – When ions meet chemistry
Delobbe, AnneCold Ion Source Technologies: History and Outlook
Delony, J. B.Effect of Homopolymer Additive Molecular Weight on the Patterning Behavior of Directed Self-Assembly of Block Copolymer-Homopolymer Blends
Deng, J.Planar coils for Optimal Micromagnetic Brain Stimulation.
Deng, JiananEnhancing the optical activity of chiral metasurface by a transmitted Electron Beam Lithography
Deng, S. Z.Double-Side Masking and Stress-Released Etching for Fabrication of High Aspect Ratio Graphene Micro-Cantilever
Desvignes, E.3D Microfabricated scaffolds for the investigation of the mechanical forces exerted by living cells during migration
Dhuey, S. D.Chromium hard mask patterning of sub-20 nm films for single-digit nanofabrication
Dhuey, S.Optoelectronic neural probes with passive light switching optical circuits: light control in deep brain tissue
Fabrication of a Macroscopically Degenerate 3D Artificial Spin Ice
Dhuey, ScottFluorocarbon-based Atomic Layer Etching of Silicon Dioxide in Conventional Plasma Tools
Díaz, AndrésEmerging Opportunities for Research in Optics and Photonics at the Puerto Rico Photonics Institute
Dichtel, W.Interaction of graphene surfaces with protein: route for effective non-covalent biological functionalization
Dick, N.The Collective Behavior of Large Ensembles of Coupled MEMS Cantilevers with Varying Natural Frequencies
Dienel, ThomasLithography for Robust, Editable Atomic-scale Silicon Devices
Dijken, S. vanFabrication of a Macroscopically Degenerate 3D Artificial Spin Ice
Diniz, J. A.The Definition of Semiconductors Nanowires using Ga+ Focused Ion Beam Lithography with Mask of Hydrogenated Amorphous Silicon Film
Self Aligned Double Pattern Method for the Definition Silicon Nanowires Using Ga+ Focused Ion Beam Milling Technique with Mask of Hydrogenated Amorphous Silicon Film
Divan, R.High-aspect-ratio gold electroplating for microelectronic, optoelectronic, and microsystem applications
Reduced contact resistance by surface treatment in Ga2O3 film-based devices
Divan, RaluSelectivity through Optimization of Metal Oxide Morphology: Towards Selective Chemiresistive MWCNT/TiO2 VOC Sensors
Divan, R.Towards 5-nm-Focus-Size Fresnel Zone Plates for Hard X-rays
Divitt, S.Femtosecond Pulse Shaping Using Metasurfaces
Dolgaleva, KseniaGallium Nitride on Gallium Oxide Substrate for IntegraTED Nonlinear Optics
Douglass, Kevin O.On-chip integrated silicon photonic thermometers with sub-10 uK temperature resolution
Duan, H.FIB based Sketch & Peel with various Ion Species for Fast and Precise Patterning of Large Structures
Dyck, O.Single Atom Scale Manipulation of Matter by Scanning Transmission Electron Microscopy
Dyck, Ondrej E.Dynamics of Graphene Milling Using the Helium Ion Beam

E

Echlin, M. P.In-situ femtosecond pulsed laser ablation for large volume 3D analysis in scanning electron microscope systems
Edri, AvishaiRegulation of the immune synapse and cytotoxic activity of natural killer (NK) cells by nanolithographic ligand patterning
Eibelhuber, M.High-throughput process chain for SET devices based on FE-SPL and SmartNIL (TM) technology
Ekinci, Y.EUV Achromatic Talbot Lithography: A Method for High-Resolution Patterning of Nanostructures over Large Areas
EL Otell, B. T. Chan Z.High-throughput process chain for SET devices based on FE-SPL and SmartNIL (TM) technology
Elsukova, A.Electron-beam patterning organic ice resists
Emmrich, D.Scanning Transmission Helium Ion Microscopy on 1nm Thick Carbon Nanomembranes
Englund, DirkStatistical Computing with Photonic Integrated Circuits
Es, Maarten vanHigh Throughput SPM for Nanopatterning and Nanometrology
Esch, Mandy B.Design and Operation of a Body-in-a-Cube Platform
Esfandiarpour, S.Control of Liquid Film Thickness and Concentration in-situ for Focused Electron Beam Induced Deposition from Aqueous Solutions
Estève, A.A 3D microdevice for the in vivo trapping of cancer-associated circulating cells.
Esteve, A.Native and Surface-Modified Nanocellulosic Materials for 2.5D and 3D Fabrication
Esteve, ARenewable nanoparticles as additives for 3D printed hydrogels
Evans, B.Fabrication of magnetic nanostructures for real-time manipulation of ferrofluid

F

Fan, MingwuIrradiation Synthesis of Nanostructured FeSn2-carbon Nanofiber Composites as Highly Stable Anodes for Super-capacitor
Fan, Y.Optimization of Inverse Opal Structures for Application as Stable Field Emitters
Fang, DanNanogap Electrochemical Cell for Methanol-Contained Ethanol Solution Detection
Farhan, A.Fabrication of a Macroscopically Degenerate 3D Artificial Spin Ice
Farmakidis, N.Quantifying Liquid Transport and Patterning using Atomic Force Microscopy
Farrow, R. C.A Nanofabricated Enzyme Biosensor
Fatona, A.Native and Surface-Modified Nanocellulosic Materials for 2.5D and 3D Fabrication
Feezell, D.Sharp GaN Nanowires on the Si-Tip of active cantilevers for fast-AFM and Scanning Probe Lithography Applications
Feldman, M.A Self Contained Portable Surface Enhanced Raman Scattering Needle Probe
Felix, N.Exploring the limits of single-expose EUV patterning at IBM
Feng, S. P.Selective electroless metallization of micro- and nanopatterns for flexible electronic application through imprint-transfer of palladium nanoparticles
Fernandez, A.Amphiphobic nanoimprinted surfaces showing reversible contact angle modification in electrowetting
Filevich, J.In-situ femtosecond pulsed laser ablation for large volume 3D analysis in scanning electron microscope systems
Finardi, C. A.Direct Laser Writing of Photonic Devices on a SU-8 Platform
Fischer, P.Fabrication of a Macroscopically Degenerate 3D Artificial Spin Ice
Folland, T.Focused Ion Beam Fabrication of a Chiral Infrared Polarizer
FoodUltrasensitive Ebola virus antigen detection via a nanoantenna-array biosensing platform
Fowlkes, J. D.Fundamental focus beam-solid interactions and applications for rapid prototyping
3D-Nanoprinting of Functional and Freestanding Structures via Electron Beams: an Application Perspective
Fine-Tuning Nanowire Shape Using 3D Focused Electron Beam Induced Deposition
Francone, A.Amphiphobic nanoimprinted surfaces showing reversible contact angle modification in electrowetting
Franklin, A. D.Scaling, Stacking, and Printing: How Nanomaterials Still Hold Promise for Electronics
Franklin, T.Energy-momentum spectroscopy by wavelength- and angularly-resolved Cathodoluminescence
Fringes, S.Performance of unique 3D devices fabricated using thermal Scanning Probe Lithography
Fröhlich, T.Tip-based Nano-Manufacturing and -Metrology
Fu, XinxinRapid thermal nanoimprint through induction heating of nickel mold
Fuchs, E.Single-Dopant Arrays using Tip-assisted Incorporation Process
Fuchs, EhudHighly Parallel Scanning Probe Lithography
Fuchs, HaraldScanning Probe Lithography for Bioactive Surface Functionalization
Fuessl, R.Tip-based Nano-Manufacturing and -Metrology
Fukuzoe, R.Preparation of Ga-terminated NEA-GaAs (100) surface by HCl-isopropanol treatment for nano-analysis by STM
Fuschs, E.Self-tuning PI Control for STM Tip Protection

G

Gabriele, L.Organs on Chip approach for evaluating drug-induced modulation of the immune response
Gammon, D.Acoustic Waves Coupled to Quantum Dots in Nanomechanical Structures
Gannon, R.In-situ femtosecond pulsed laser ablation for large volume 3D analysis in scanning electron microscope systems
Gao, B.Optimized Filamentary RRAM for Neuromorphic Hardware
Garcia, R.High Brightness Metal Coated Silicon Field Emission Electron Sources
Gardner, J. R.Applications of a Cold-Atom Lithium Focused Ion Beam
Laser-cooled lithium as a bright source for focused ion beam microscopy
Ge , N.Analog and Neuromorphic Computing with Memristor Arrays
Ge, HaixiongRapid thermal nanoimprint through induction heating of nickel mold
Ge, N.Diffusive memristor based artificial synapses and neurons
Gerardino, A.Organs on Chip approach for evaluating drug-induced modulation of the immune response
Gerngroß, MaikAtlas 46 – novel negative tone photoresist which combines the good properties of the established SU-8 and CAR 44
Giannelis, E. P.Novel nanoparticle photoresists development for EUV lithography
Progress in Metal Organic Cluster EUV Photoresists
Giannitelliand, S.Organs on Chip approach for evaluating drug-induced modulation of the immune response
Gierak, J.FIB alternative patterning schemes and non-classical Liquid Metal Ion Sources
Gierak, JacquesTechnology and Recent Improvements of LMIS for FIB Patterning and FIB Nanofabrication
Glinsner, T.High-throughput process chain for SET devices based on FE-SPL and SmartNIL (TM) technology
Single-nanometer accurate 3D nanoimprint lithography with master templates fabricated by NanoFrazor lithography
Golestanirad, L.Planar coils for Optimal Micromagnetic Brain Stimulation.
Gölzhäuser, A.Scanning Transmission Helium Ion Microscopy on 1nm Thick Carbon Nanomembranes
Gomes, V. P.Towards Maskless Production of Custom Neuronal Recording Graphene Microelectrode Arrays
Gomes, Vanessa P.Direct Laser Writing of Photonic Devices on a SU-8 Platform
Goodyear, AndyFluorocarbon-based Atomic Layer Etching of Silicon Dioxide in Conventional Plasma Tools
Gotszalk, T.Tip-based Nano-Manufacturing and -Metrology
Array of electromagnetically cantilevers for force-distance spectroscopy metrological investigations
Goupil, G.Latest development for failure analysis – When ions meet chemistry
Goyal, V. K.Source Shot Noise Mitigation in Scanned Beam Microscopy
Graves, C.Integration of Memristor on CMOS Chips for Hardware Accelerators
Analog and Neuromorphic Computing with Memristor Arrays
Greenzweig, Y.Investigating Focused Ion Beam Processing With Simulations: Etching and Deposition with a Precursor Gas
Greer, H.Optimization of Inverse Opal Structures for Application as Stable Field Emitters
Grierson, ConnorNanofabrication of photonic crystal structures with complex geometries using ALD-enabled imprint lithography
Grote, R.On the Fabrication and Performance of a Diamond Metalens for Imaging Quantum Emitters
Groves, Timothy R.Beam Exposure Strategies matter – System Complexity and Application Space Trade-offs
Grutzik, S.The Collective Behavior of Large Ensembles of Coupled MEMS Cantilevers with Varying Natural Frequencies
Guan, W.Nanofluidic Ionic Devices
Guliyev, E.Experimental study of Field Emission from sharp Silicon, Diamond and Tungsten tips used for Field Emission Scanning Probe Lithography
Guliyev, ElshadField-Emission Scanning Probe Lithography, Low Energy EBID and Correlative Microscopy with AFMinSEM.
Field-emission scanning probe lithography tool for 150 mm wafer.
Guo, L. JayRecent development of nanoimprint and nanoreplication and applications
Plasmonic Roller Lithography
Plasmonic Lithography Utilizing Epsilon Near Zero Hyperbolic Metamaterial
Fabrication of high aspect ratio Si nanowires by metal-assisted chemical imprint
Guttman, M.Amphiphobic nanoimprinted surfaces showing reversible contact angle modification in electrowetting
Guzenko, V. A.Fabrication of freestanding initial patterns for polymer actuated 3D micro-origami structures

H

Hadad, UziRegulation of the immune synapse and cytotoxic activity of natural killer (NK) cells by nanolithographic ligand patterning
Hagmann, J. A.Hole density and mobility measurements of two-dimensional hole gasses (2DHG) due to aluminum delta layers in silicon
Hagmann, JoeScanning Tunneling Microscope Fabrication of Atomically Precise Devices
Han, A.Electron-beam patterning organic ice resists
Han, AnpanHigh-temperature development of thick hydrogen silsesquioxane resist for fabricating dense and high aspect ratio nanostructures
Han, M-GElectrical Biasing Transmission Electron Microscope Sample Holder Compatible with Focused Ion Beam Sample Cleaning
Han, X.Detrimental Nanoscale Gas Defects in Manufacturing- Nanoimprint Processes
Han, ZhengA versatile fabrication protocol for graphene gated field effect transistor-based smart biological sensors on arbitrary substrates
Hansen, P.Adjoint Optimization for Electrostatic Charged Particle Lens in 3D
Achieving highly accurate adjoint sensitivities for charged particle optics: design, optimization and tolerancing
Hao, Y.Wearable flexible nano-transfection device for on-skin gene editing with CRISPR-Cas9
Hasan, S.Electrostriction, capacitive susceptibility, and neuromorphic computing in droplet interface bilayers
Hassel-Shearer, M.Towards SEM / broad ion-beam serial-sectioning of large scale biological tissues
Hastings, J. T.Control of Liquid Film Thickness and Concentration in-situ for Focused Electron Beam Induced Deposition from Aqueous Solutions
Process condition dependence of liquid-phase focused electron beam induced etching of copper
Hazart, J.Limits of model-based CD-SEM metrology
He, ZeyuFabricating nanolens arrays by nanoimprint lithography
Fabricating multilayer channel by double nanoimprint lithography
Heerkens, C. T.H.Electron mirror in MEMS technology for phase manipulation of the wave function
Henderson , C. L.Phenol Functionalized Polymerization Control Additives for Negative Tone Cationic Molecular Resists
Henderson, C. L.Microphase Separation of High-χ Poly(4-tertbutyl styrene) -block - Poly(2-hydroethyl methacrylate)
Limits of Asymmetric Homopolymer Addition in Block Copolymer-Homopolymer Blends in Modulating the Directed Self-Assembly Behavior of Block Copolymers
Effect of Homopolymer Additive Molecular Weight on the Patterning Behavior of Directed Self-Assembly of Block Copolymer-Homopolymer Blends
Henderson, Clifford L.Effect of Molecular Structure on Lithographic Performance of Aqueous Base Soluble Epoxide Molecular Resists
Herfst, RodolfHigh Throughput SPM for Nanopatterning and Nanometrology
Herman, TobiasOn-chip integrated silicon photonic thermometers with sub-10 uK temperature resolution
Hesselink, L.Adjoint Optimization for Electrostatic Charged Particle Lens in 3D
Achieving highly accurate adjoint sensitivities for charged particle optics: design, optimization and tolerancing
Heyl, Dr. PeterSoftware based optimization of gray scale laser lithography
Hiess, A.Fabrication of freestanding initial patterns for polymer actuated 3D micro-origami structures
Higashiki, T.Nano Imprint Lithography for Next Generation Devices
Hill, F. A.High Brightness Metal Coated Silicon Field Emission Electron Sources
Hirai, Y.Stochastic simulation of pattern formation in electron beam lithography
Study on resist profile estimation due to shrinkage and mold profile correction in nanoimprint lithography
Fabrication of Self-standing Thin Polystyrene Films with Through Holes by use of Casting Process
Hirao, M.Preparation of Ga-terminated NEA-GaAs (100) surface by HCl-isopropanol treatment for nano-analysis by STM
Hiroshiba, N.Improved etching resistance of UV-cured films with/without hydroxy groups by organic/inorganic hybridization through sequential infiltration synthesis and sequential vapor infiltration
Hirsch, G.Frozen Refractory-Metal Taylor Cones as Potential Regenerable Electron and Ion Point-Sources for Nanofabrication and Lithography
Hirtz, MichaelScanning Probe Lithography for Bioactive Surface Functionalization
Hobbs, R.Diffractive Electron Mirrors as Lossless Beam Splitters
Hofmann, M.High-throughput process chain for SET devices based on FE-SPL and SmartNIL (TM) technology
Sharp GaN Nanowires on the Si-Tip of active cantilevers for fast-AFM and Scanning Probe Lithography Applications
Experimental study of Field Emission from sharp Silicon, Diamond and Tungsten tips used for Field Emission Scanning Probe Lithography
Field-Emission Scanning Probe Lithography with Diamond tips
Hofmann, MartinField-Emission Scanning Probe Lithography, Low Energy EBID and Correlative Microscopy with AFMinSEM.
Field-emission scanning probe lithography tool for 150 mm wafer.
Hofmann, U.On the Trends and Application of Pattern Density Dependent Isofocal Dose of Positive Resists for 100keV Electron Beam Lithography
Hofmann, UlrichBeam Exposure Strategies matter – System Complexity and Application Space Trade-offs
Holland, G.Revisiting the Photon-Drag Effect in Thin Metal Films
Holz, M.High-throughput process chain for SET devices based on FE-SPL and SmartNIL (TM) technology
Sharp GaN Nanowires on the Si-Tip of active cantilevers for fast-AFM and Scanning Probe Lithography Applications
Experimental study of Field Emission from sharp Silicon, Diamond and Tungsten tips used for Field Emission Scanning Probe Lithography
Field-Emission Scanning Probe Lithography with Diamond tips
Holz, MathiasField-emission scanning probe lithography tool for 150 mm wafer.
Holz,, MathiasField-Emission Scanning Probe Lithography, Low Energy EBID and Correlative Microscopy with AFMinSEM.
Holzner, F.Single-nanometer accurate 3D nanoimprint lithography with master templates fabricated by NanoFrazor lithography
Hon, P.Non-linear Optical Metamaterials over Multiple Wavelength Ranges for Ultrafast and Secure Communication
Honda, Y.Analysis of Cs Layer on InGaN by Temperature-Programmed Desorption Method
Hong, Ji HwanAnode effect of carbon nanotube cold cathode electron beam (C-beam) for high resolution x-ray tube
Hoogenboom, J. P.Imaging with a 196 beam SEM
Hoskins, B. D.Principles and Practice of Electron Beam Induced Current Microscopy of Resistive Nanodevices
Hosman, T.Towards SEM / broad ion-beam serial-sectioning of large scale biological tissues
Houston, B. H.Acoustic Waves Coupled to Quantum Dots in Nanomechanical Structures
Hsueh, M.Energy-momentum spectroscopy by wavelength- and angularly-resolved Cathodoluminescence
Hu , M.Analog and Neuromorphic Computing with Memristor Arrays
Hu, P.Investigation of Plasmonic Enhancement of Molecular Fluorescence Using Collapsible Nano-Fingers
Sub-nanometer gap fabrication using transfer printing by flexible Polydimethylsiloxane (PDMS) substrates
Non-linear Optical Metamaterials over Multiple Wavelength Ranges for Ultrafast and Secure Communication
Hu, PanEffects of Crystallinity of Switching Layer Material on Memristive Device
Huang, J.Synthesis and supercapacitor performance of polyanilinenitrogen-doped ordered mesoporous carbon composites
Huang, JiangIrradiation Synthesis of Nanostructured FeSn2-carbon Nanofiber Composites as Highly Stable Anodes for Super-capacitor
Huang, RuIon Gated Synaptic Transistors Based on Two-dimensional van der Waals Crystals with Tunable Diffusive Dynamics
Huang, W.Two-photon polymerization of 3D structures for open-air microfluidics and untethered microrobotic systems
Huang, XinglongQuantitative characterization of mechanical properties and residual stress in nanoimprinted polymer films at the microscale
Flexible Nanoimprint Template from Amorphous Metals
Huang, Y. F.Double-Side Masking and Stress-Released Etching for Fabrication of High Aspect Ratio Graphene Micro-Cantilever
Huang, ZhongjiePhoto-actuated Pens for Molecular Printing
Hübner, JörgHigh-temperature development of thick hydrogen silsesquioxane resist for fabricating dense and high aspect ratio nanostructures
Huff, Taleana R.Lithography for Robust, Editable Atomic-scale Silicon Devices
Hugo, A.Interaction of graphene surfaces with protein: route for effective non-covalent biological functionalization
A versatile fabrication protocol for graphene gated field effect transistor-based smart biological sensors on arbitrary substrates
Hui, J. N.Cell Migration on Microposts with Surface Coating and Confinements
Humphrey, D.Surface Roughness Improvement in EUV Mask Materials
Hunt, J. AEnergy-momentum spectroscopy by wavelength- and angularly-resolved Cathodoluminescence
Towards SEM / broad ion-beam serial-sectioning of large scale biological tissues
Hunt, M.Fabrication of High Inductance Nano Coils with a Neon Focused Ion Beam
Hussein, ZakareyaThin-Film Characterization on a Novel Wrinkled Elastomeric Substrate with Applications in Force Sensing
Nanskin - Novel electronic skin for robotics application

I

Ievlev, Anton V.Dynamics of Graphene Milling Using the Helium Ion Beam
Iglesias, HectorDetermination of aberration coefficients in an SEM using electron ptychographic imaging
Iida, S.Impact of image contrast on pattern inspection using electron microscopes
Iida, T.Study on resist profile estimation due to shrinkage and mold profile correction in nanoimprint lithography
Iijima, H.Control of photoemission properties from NEA-GaAs surfaces by repetitive thermal pretreatments
Preparation of Ga-terminated NEA-GaAs (100) surface by HCl-isopropanol treatment for nano-analysis by STM
Analysis of Cs Layer on InGaN by Temperature-Programmed Desorption Method
Ilic, B. R.Revisiting the Photon-Drag Effect in Thin Metal Films
Absolute deflection measurements in a MEMS/NEMS Fabry-Perot interferometry system
The Collective Behavior of Large Ensembles of Coupled MEMS Cantilevers with Varying Natural Frequencies
Ilic, B. RobertA Cleaner Approach to Ion Milling
Ilic, B.Fabrication of stoichiometric silicon nitride devices for nonlinear photonics
Ilmenau, TUHigh-throughput process chain for SET devices based on FE-SPL and SmartNIL (TM) technology
Tip-based Nano-Manufacturing and -Metrology
Tip-based Nano-Manufacturing and -Metrology
IMECThe Definition of Semiconductors Nanowires using Ga+ Focused Ion Beam Lithography with Mask of Hydrogenated Amorphous Silicon Film
Inagaki, Y.Control of photoemission properties from NEA-GaAs surfaces by repetitive thermal pretreatments
Ioakeimidi, K.High Brightness Metal Coated Silicon Field Emission Electron Sources
Iordanescu, S.A TiO2 – based Radio Frequency Resistive Switch
Ito, S.Improved etching resistance of UV-cured films with/without hydroxy groups by organic/inorganic hybridization through sequential infiltration synthesis and sequential vapor infiltration
Fluidity of an oleophilic monomer in nano-gap between reactive adhesive monolayers for UV nanoimprinting
Ivanov, T.High-throughput process chain for SET devices based on FE-SPL and SmartNIL (TM) technology
Sharp GaN Nanowires on the Si-Tip of active cantilevers for fast-AFM and Scanning Probe Lithography Applications
Experimental study of Field Emission from sharp Silicon, Diamond and Tungsten tips used for Field Emission Scanning Probe Lithography
Ivanov, TzvetanField-Emission Scanning Probe Lithography, Low Energy EBID and Correlative Microscopy with AFMinSEM.
Field-emission scanning probe lithography tool for 150 mm wafer.
Iwabuchi, Y.Preparation of Ga-terminated NEA-GaAs (100) surface by HCl-isopropanol treatment for nano-analysis by STM

J

J.Taylor, G.Electrostriction, capacitive susceptibility, and neuromorphic computing in droplet interface bilayers
J.Weiss, R.Electrostriction, capacitive susceptibility, and neuromorphic computing in droplet interface bilayers
Jahn, A.Fabrication of freestanding initial patterns for polymer actuated 3D micro-origami structures
Jaiswal, S. L.Surface Roughness Improvement in EUV Mask Materials
Jankovic, V.Non-linear Optical Metamaterials over Multiple Wavelength Ranges for Ultrafast and Secure Communication
Janus, P.Array of electromagnetically cantilevers for force-distance spectroscopy metrological investigations
Jayne, R. K.MEMS-stencil lithography for mechanically-tunable infrared metasurfaces on 3D-DLW printed scaffolds
Jayne, Rachael K.DLW-MEMS Integration for Dynamic 3D Microstructures
Jede, R.FIB alternative patterning schemes and non-classical Liquid Metal Ion Sources
Jensen, FlemmingHigh-temperature development of thick hydrogen silsesquioxane resist for fabricating dense and high aspect ratio nanostructures
Jeon, C. -U.Estimation of Critical Dimension and Line Edge Roughness using Artificial Neural Networks
Jeon, C.-U.Effects of Lithographic Parameters in Massively-Parallel Electron-beam Systems
Jeong, YeonhoA Study on the Fine pattern generation Using Elastic Restoration of Blankets
Fabrication of Nano-micro hybrid pattern using Anodic Aluminum Oxide template and resins
Jesse, S.Single Atom Scale Manipulation of Matter by Scanning Transmission Electron Microscopy
Jesseand, StephenDynamics of Graphene Milling Using the Helium Ion Beam
Jessen, K.Opto-Thermionic Cathodes for SEM
Opto-Thermionic Cathodes for AweSEM
Ji, H.Design of an Anisotropic Noise Filter for Measuring Critical Dimension and Line Edge Roughness from SEM Images
Jia, RundongIon Gated Synaptic Transistors Based on Two-dimensional van der Waals Crystals with Tunable Diffusive Dynamics
Jiang, H.Diffusive memristor based artificial synapses and neurons
Analog and Neuromorphic Computing with Memristor Arrays
Jiang, L.Integrated Flexible Solid-state Thin Film Supercapacitors
Jiang, YouweiActive-matrix driven digital microfluidic system built on printed circuit boards
Quantitative characterization of mechanical properties and residual stress in nanoimprinted polymer films at the microscale
Flexible Nanoimprint Template from Amorphous Metals
Design and Optimization of High-throughput Cell Pairing Chip for Cell Fusions
Jing, LiStatistical Computing with Photonic Integrated Circuits
Johansen, P.Roll-to-roll Nano-patterning of Packaging Films: Analysis Using AFM, SEM and FIB SEM
Jones, M.Optimization of Inverse Opal Structures for Application as Stable Field Emitters
Joseph, M. L.Plasmonic Enhanced Burst Release from Electrospun Fibers Exposed to Light
Joshi, S.Diffusive memristor based artificial synapses and neurons
Jr., M. D. StewartHole density and mobility measurements of two-dimensional hole gasses (2DHG) due to aluminum delta layers in silicon
Scanning Tunneling Microscope Fabrication of Atomically Precise Devices
JSRProgress in Metal Organic Cluster EUV Photoresists
Jugessur, A. S.Nanofabrication of photonic crystal structures with complex geometries using ALD-enabled imprint lithography
Jung, Yoon-GyoA Study on the Fine pattern generation Using Elastic Restoration of Blankets
Fabrication of Nano-micro hybrid pattern using Anodic Aluminum Oxide template and resins
Jurow, MatthewDirected assembly of perovskite nanocrystals on topographically and chemically patterned surfaces

K

Kaddoura, M.Fabrication of Hollow Silicon Microneedle Arrays for Transdermal Biological Fluid Extraction
Kaestner, M.Field-Emission Scanning Probe Lithography with Diamond tips
Kaestner, MarcusField-Emission Scanning Probe Lithography, Low Energy EBID and Correlative Microscopy with AFMinSEM.
Field-emission scanning probe lithography tool for 150 mm wafer.
Kahl, M.FIB based Sketch & Peel with various Ion Species for Fast and Precise Patterning of Large Structures
Kaiser, ChristianAtlas 46 – novel negative tone photoresist which combines the good properties of the established SU-8 and CAR 44
Kalinin, S. V.Single Atom Scale Manipulation of Matter by Scanning Transmission Electron Microscopy
Kalinin, Sergei V.Dynamics of Graphene Milling Using the Helium Ion Beam
Kanwal, A.A Nanofabricated Enzyme Biosensor
Kaplan, G.Ultrasensitive Ebola virus antigen detection via a nanoantenna-array biosensing platform
Kashid, RanjitScanning Tunneling Microscope Fabrication of Atomically Precise Devices
Kashima, M.Analysis of Cs Layer on InGaN by Temperature-Programmed Desorption Method
Kastl, C.Large area growth of transition metal dichalcogenides for photonics and optoelectronics
Kasuya, M.Fluidity of an oleophilic monomer in nano-gap between reactive adhesive monolayers for UV nanoimprinting
Katine, JordanPatterning of Dense Arrays for MRAM Applications
Kawata, H.Stochastic simulation of pattern formation in electron beam lithography
Study on resist profile estimation due to shrinkage and mold profile correction in nanoimprint lithography
Fabrication of Self-standing Thin Polystyrene Films with Through Holes by use of Casting Process
Kazazis, D.Fabrication of freestanding initial patterns for polymer actuated 3D micro-origami structures
EUV Achromatic Talbot Lithography: A Method for High-Resolution Patterning of Nanostructures over Large Areas
Kehagias, N.Amphiphobic nanoimprinted surfaces showing reversible contact angle modification in electrowetting
Keijzer, P.Imaging with a 196 beam SEM
Kellogg, SeanNano Aperture Ion Source
Kent, N.Fabrication of a Macroscopically Degenerate 3D Artificial Spin Ice
Keydar, YossiRegulation of the immune synapse and cytotoxic activity of natural killer (NK) cells by nanolithographic ligand patterning
Khanom, FouziaLateral Resolution Images on the BAM-L200 Standard from NanoFab with SIMS
Kim, C-S.Diffractive Electron Mirrors as Lossless Beam Splitters
Kim, C. S.Acoustic Waves Coupled to Quantum Dots in Nanomechanical Structures
Kim, Chung-SooDetermination of aberration coefficients in an SEM using electron ptychographic imaging
Kim, D. W.Variation of field of view according to Si deflector shape in a microcolumn
Kim, H. -S.Hole density and mobility measurements of two-dimensional hole gasses (2DHG) due to aluminum delta layers in silicon
Kim, H. SVariation of field of view according to Si deflector shape in a microcolumn
Kim, H. W.Variation of field of view according to Si deflector shape in a microcolumn
Kim, M.Acoustic Waves Coupled to Quantum Dots in Nanomechanical Structures
Kim, S. -B.Estimation of Critical Dimension and Line Edge Roughness using Artificial Neural Networks
Effects of Lithographic Parameters in Massively-Parallel Electron-beam Systems
Kim, S.Tip-Based Fabrication of Single-Layer MoS2 Nanoribbon Transistors with 30-nm Channel Width
Single Atom Scale Manipulation of Matter by Scanning Transmission Electron Microscopy
Kim, SeonjunA Study on the Fine pattern generation Using Elastic Restoration of Blankets
Fabrication of Nano-micro hybrid pattern using Anodic Aluminum Oxide template and resins
Kim, SongkilNanoscale Chemical Phenomena using HIM-SIMS
Dynamics of Graphene Milling Using the Helium Ion Beam
King, W. P.Tip-Based Fabrication of Single-Layer MoS2 Nanoribbon Transistors with 30-nm Channel Width
Kirchner, R.Fabrication of freestanding initial patterns for polymer actuated 3D micro-origami structures
Kirillova, E.Surface Roughness Improvement in EUV Mask Materials
Klein, K. L.Characterization of Helium-Ion Machined Fluidic Structures
Klimov, Nikolai N.On-chip integrated silicon photonic thermometers with sub-10 uK temperature resolution
Klyachko, D.Microfabricated Registration Marks for Automated Location Calibration
Knight, M.Non-linear Optical Metamaterials over Multiple Wavelength Ranges for Ultrafast and Secure Communication
Knoll, A. W.Performance of unique 3D devices fabricated using thermal Scanning Probe Lithography
Knuffman, B.Focused Ion Beam System Employing a Low Temperature Ion Source
Koizumi, A.Analysis of Cs Layer on InGaN by Temperature-Programmed Desorption Method
Kolmakov, A.Principles and Practice of Electron Beam Induced Current Microscopy of Resistive Nanodevices
Kometani, R.Evaluation of processing characteristics of anisotropic aluminum-assisted chemical vapor etching
Fabrication of nanomechanical resonators elastically coupled in series for sensitive thermal stress detection
Koratkar, N.Effect of 120 MeV Ti ion irradiation induced modifications in structural, optical, morphological and electrical properties of titanium dioxide and tin oxide nanocomposite thin films
Korivi, N. S.Integrated Flexible Solid-state Thin Film Supercapacitors
Koshelev, A.Optoelectronic neural probes with passive light switching optical circuits: light control in deep brain tissue
Kosma, V.Novel nanoparticle photoresists development for EUV lithography
Progress in Metal Organic Cluster EUV Photoresists
Koutsos, VasileiosThin-Film Characterization on a Novel Wrinkled Elastomeric Substrate with Applications in Force Sensing
Wetting Properties of Hybrid Zinc Oxide Nanostructures
Kouwen, Leon vanNano Aperture Ion Source
Koyama, M.Stochastic simulation of pattern formation in electron beam lithography
Krielaart, M. A.R.Diffractive Electron Mirrors as Lossless Beam Splitters
Electron mirror in MEMS technology for phase manipulation of the wave function
Krivoshapkina, Y.High-throughput process chain for SET devices based on FE-SPL and SmartNIL (TM) technology
Kruit, P.Imaging with a 196 beam SEM
Diffractive Electron Mirrors as Lossless Beam Splitters
Electron mirror in MEMS technology for phase manipulation of the wave function
Kruit, PieterNano Aperture Ion Source
Krylov, S.Absolute deflection measurements in a MEMS/NEMS Fabry-Perot interferometry system
The Collective Behavior of Large Ensembles of Coupled MEMS Cantilevers with Varying Natural Frequencies
Kuehn, WilhelmRapid failure analysis for metal connection using voltage contrast images in helium ion microscopy
Kulmala, T. S.Single-nanometer accurate 3D nanoimprint lithography with master templates fabricated by NanoFrazor lithography
Kumar, RajeshEffect of 120 MeV Ti ion irradiation induced modifications in structural, optical, morphological and electrical properties of titanium dioxide and tin oxide nanocomposite thin films
Kumar, VikasEffect of 120 MeV Ti ion irradiation induced modifications in structural, optical, morphological and electrical properties of titanium dioxide and tin oxide nanocomposite thin films
Kurihara, K.Fluidity of an oleophilic monomer in nano-gap between reactive adhesive monolayers for UV nanoimprinting
Kuzyk, C.Opto-Thermionic Cathodes for SEM
Opto-Thermionic Cathodes for AweSEM
Kvatinsky, S.A TiO2 – based Radio Frequency Resistive Switch

L

Labbé, S.Limits of model-based CD-SEM metrology
Laboratory, Brookhaven NationalElectrical Biasing Transmission Electron Microscope Sample Holder Compatible with Focused Ion Beam Sample Cleaning
Laffitte, Y.Fabrication of Hollow Silicon Microneedle Arrays for Transdermal Biological Fluid Extraction
Lai, HuangDecrease nanoimprint lift-off force by poly(ethylene glycol) doping
Lake, JosephHighly Parallel Scanning Probe Lithography
Lami, S. K.Process condition dependence of liquid-phase focused electron beam induced etching of copper
Lamprakou, Z.Amphiphobic nanoimprinted surfaces showing reversible contact angle modification in electrowetting
Lanzio, V.Optoelectronic neural probes with passive light switching optical circuits: light control in deep brain tissue
Lavrik, N.Two-photon polymerization of 3D structures for open-air microfluidics and untethered microrobotic systems
Lee, K. Y.Chromium hard mask patterning of sub-20 nm films for single-digit nanofabrication
Lee, ReginaMoth-eye antireflection nano-structure on glass for CubeSats
Lee, S. -Y.Design of an Anisotropic Noise Filter for Measuring Critical Dimension and Line Edge Roughness from SEM Images
Estimation of Critical Dimension and Line Edge Roughness using Artificial Neural Networks
Effects of Lithographic Parameters in Massively-Parallel Electron-beam Systems
Lee, SunghoPlasmonic Roller Lithography
Lee, Y. B.Variation of field of view according to Si deflector shape in a microcolumn
Lehmann, KatrinAtlas 46 – novel negative tone photoresist which combines the good properties of the established SU-8 and CAR 44
Lenk, C.High-throughput process chain for SET devices based on FE-SPL and SmartNIL (TM) technology
Sharp GaN Nanowires on the Si-Tip of active cantilevers for fast-AFM and Scanning Probe Lithography Applications
Analytic and numeric model for field-emission scanning probe lithography
Experimental study of Field Emission from sharp Silicon, Diamond and Tungsten tips used for Field Emission Scanning Probe Lithography
Field-Emission Scanning Probe Lithography with Diamond tips
Lenk, ClaudiaField-Emission Scanning Probe Lithography, Low Energy EBID and Correlative Microscopy with AFMinSEM.
Field-emission scanning probe lithography tool for 150 mm wafer.
Lenk, S.High-throughput process chain for SET devices based on FE-SPL and SmartNIL (TM) technology
Sharp GaN Nanowires on the Si-Tip of active cantilevers for fast-AFM and Scanning Probe Lithography Applications
Analytic and numeric model for field-emission scanning probe lithography
Experimental study of Field Emission from sharp Silicon, Diamond and Tungsten tips used for Field Emission Scanning Probe Lithography
Lenk, SteveField-Emission Scanning Probe Lithography, Low Energy EBID and Correlative Microscopy with AFMinSEM.
Field-emission scanning probe lithography tool for 150 mm wafer.
Leonhardt, A.The Definition of Semiconductors Nanowires using Ga+ Focused Ion Beam Lithography with Mask of Hydrogenated Amorphous Silicon Film
Self Aligned Double Pattern Method for the Definition Silicon Nanowires Using Ga+ Focused Ion Beam Milling Technique with Mask of Hydrogenated Amorphous Silicon Film
Levlev, A.Ion Migration Studies in 2D Molybdenum Trioxide Thin Flake through Ionic Liquid Gating
Lewis, B. BFundamental focus beam-solid interactions and applications for rapid prototyping
Lewis, BrettLateral Resolution Images on the BAM-L200 Standard from NanoFab with SIMS
Lezec, H. J.Revisiting the Photon-Drag Effect in Thin Metal Films
Femtosecond Pulse Shaping Using Metasurfaces
Li, B.Microphase Separation of High-χ Poly(4-tertbutyl styrene) -block - Poly(2-hydroethyl methacrylate)
Li, C.Diffusive memristor based artificial synapses and neurons
Analog and Neuromorphic Computing with Memristor Arrays
Li, D.Detrimental Nanoscale Gas Defects in Manufacturing- Nanoimprint Processes
Rubbing-Induced Site-Selective Growth (RISS) of MoS2 Device Patterns
Fabrication of 2D MoS2 Memristors with Analog and Discrete Memory States
Estimation of Critical Dimension and Line Edge Roughness using Artificial Neural Networks
Li, H.Asymmetrical Three Dimensional Plasmonic Nanostructures with Multiple Resonance Modes
Microphase Separation of High-χ Poly(4-tertbutyl styrene) -block - Poly(2-hydroethyl methacrylate)
Li, LePhoto-actuated Pens for Molecular Printing
Li, Q.Fabrication of stoichiometric silicon nitride devices for nonlinear photonics
Li, QiaochuPlasmonic Roller Lithography
Plasmonic Lithography Utilizing Epsilon Near Zero Hyperbolic Metamaterial
Li, RongrongFocused He+ Ion Beam Induced Implantation and Damage in Si - A Preliminary Study
Li, S. S.Double-Side Masking and Stress-Released Etching for Fabrication of High Aspect Ratio Graphene Micro-Cantilever
Li, S. X.Nanofluidic Ionic Devices
Li, S.Surfaces with Deterministically Fabricated Gradient Nanostructures for Spatially Varying Wettability
Li, W. D.Selective electroless metallization of micro- and nanopatterns for flexible electronic application through imprint-transfer of palladium nanoparticles
Solution-processed flexible plasmonic nanodisk arrays for biomolecular detection
Li, W.Surfaces with Deterministically Fabricated Gradient Nanostructures for Spatially Varying Wettability
Flexible photodetector using ambient-processed blade-coated perovskite film on nanostructured polymers
Li, Wen-DiFlexible optoelectronic devices with metallic nanofiber transparent electrodes
Li, X.Single Atom Scale Manipulation of Matter by Scanning Transmission Electron Microscopy
Integration of Memristor on CMOS Chips for Hardware Accelerators
Etching Process for Producing Variable Sloping Sidewall of III-V Antimonide-based Materials for LED/PD Applications
Li, Y.Investigation of Plasmonic Enhancement of Molecular Fluorescence Using Collapsible Nano-Fingers
Fabrication of Hollow Silicon Microneedle Arrays for Transdermal Biological Fluid Extraction
Diffusive memristor based artificial synapses and neurons
Analog and Neuromorphic Computing with Memristor Arrays
Sub-nanometer gap fabrication using transfer printing by flexible Polydimethylsiloxane (PDMS) substrates
Non-linear Optical Metamaterials over Multiple Wavelength Ranges for Ultrafast and Secure Communication
Li, YangRapid thermal nanoimprint through induction heating of nickel mold
Li, YuanruiEffects of Crystallinity of Switching Layer Material on Memristive Device
Li, Z.Diffusive memristor based artificial synapses and neurons
Analog and Neuromorphic Computing with Memristor Arrays
Liang, C.Surfaces with Deterministically Fabricated Gradient Nanostructures for Spatially Varying Wettability
Selective electroless metallization of micro- and nanopatterns for flexible electronic application through imprint-transfer of palladium nanoparticles
Solution-processed flexible plasmonic nanodisk arrays for biomolecular detection
Liang, GaofengPlasmonic Lithography Utilizing Epsilon Near Zero Hyperbolic Metamaterial
Liang, X.Detrimental Nanoscale Gas Defects in Manufacturing- Nanoimprint Processes
Rubbing-Induced Site-Selective Growth (RISS) of MoS2 Device Patterns
Fabrication of 2D MoS2 Memristors with Analog and Discrete Memory States
Liao , K. -T.Analytical separation of colloidal nanoparticles by size exclusion in nanofluidic replicas
Liddle, J. AlexanderA Cleaner Approach to Ion Milling
Lin, HaoranTwo-Dimensional Organic-Inorganic Metal Halide Perovskites: Structures, Properties, and Applications
Lin, J.Using FIB/SEMs to Investigate Biological Samples
Lin, P.Diffusive memristor based artificial synapses and neurons
Analog and Neuromorphic Computing with Memristor Arrays
Lister, K.On the Trends and Application of Pattern Density Dependent Isofocal Dose of Positive Resists for 100keV Electron Beam Lithography
Lisunova, Y.Performance of unique 3D devices fabricated using thermal Scanning Probe Lithography
Liu, F.Investigation of Plasmonic Enhancement of Molecular Fluorescence Using Collapsible Nano-Fingers
Liu, LintaoHigh-temperature development of thick hydrogen silsesquioxane resist for fabricating dense and high aspect ratio nanostructures
Liu, XianmingActive-matrix driven digital microfluidic system built on printed circuit boards
Liu, YaozeMoth-eye antireflection nano-structure on glass for CubeSats
Liu, YuActive-matrix driven digital microfluidic system built on printed circuit boards
Design and Optimization of High-throughput Cell Pairing Chip for Cell Fusions
Liu, YuziSelectivity through Optimization of Metal Oxide Morphology: Towards Selective Chemiresistive MWCNT/TiO2 VOC Sensors
Liu, Z. Y.Tumor Cell Traversing Behavior in Three-Dimensional Platform with Porous Topography
Effects of Dimensions, Topography, and Layers for Nasopharyngeal Carcinoma Cell Migration on Three-Dimensional Scaffold Platform
Liu, Z.Investigation of Plasmonic Enhancement of Molecular Fluorescence Using Collapsible Nano-Fingers
High Sensitivity Optical Biosensor based on silicon dimer arrays
Polarization Independent Perfect Reflection metasurface via Mie resonances in Dielectric Nanoclusters
Livadaru, LucianLithography for Robust, Editable Atomic-scale Silicon Devices
Logan, J.Towards 5-nm-Focus-Size Fresnel Zone Plates for Hard X-rays
López, DanielUltrathin Metasurfaces Based on Dielectric Nanoresonators for Visible Light
Lopez, G. V.High Brightness Metal Coated Silicon Field Emission Electron Sources
Lopez, G.On the Trends and Application of Pattern Density Dependent Isofocal Dose of Positive Resists for 100keV Electron Beam Lithography
On the Fabrication and Performance of a Diamond Metalens for Imaging Quantum Emitters
Lorusso, Gian F.Unbiased Roughness Measurements: Subtracting out SEM Effects, part 2
Lou, J.Tip-Based Fabrication of Single-Layer MoS2 Nanoribbon Transistors with 30-nm Channel Width
Ltd, NetrologixWetting Properties of Hybrid Zinc Oxide Nanostructures
Lu, Bing-RuiEnhancing the optical activity of chiral metasurface by a transmitted Electron Beam Lithography
Lu, Hua A. JennyApplying Helium Ion Microscopy to Study Alport Syndrome in Mice
Lu, M.Drying Developed Electron-beam Resists Using Supercritical Carbon Dioxide: Compatibility Issues
Electrical Biasing Transmission Electron Microscope Sample Holder Compatible with Focused Ion Beam Sample Cleaning
Lu, T. M.Designer Quantum Materials, Atom-by-Atom
Lu, W.Rubbing-Induced Site-Selective Growth (RISS) of MoS2 Device Patterns
Fabrication of 2D MoS2 Memristors with Analog and Discrete Memory States
Lu, X.Fabrication of stoichiometric silicon nitride devices for nonlinear photonics
Lucarini, V.Organs on Chip approach for evaluating drug-induced modulation of the immune response
Ludovice, P. J.Limits of Asymmetric Homopolymer Addition in Block Copolymer-Homopolymer Blends in Modulating the Directed Self-Assembly Behavior of Block Copolymers
Effect of Homopolymer Additive Molecular Weight on the Patterning Behavior of Directed Self-Assembly of Block Copolymer-Homopolymer Blends
Ludwig, AndreasSoftware based optimization of gray scale laser lithography
Luo, BingqingFlexible Nanoimprint Template from Amorphous Metals
Luo, Z.Fabrication of magnetic nanostructures for real-time manipulation of ferrofluid
Lusk, D.Etching Process for Producing Variable Sloping Sidewall of III-V Antimonide-based Materials for LED/PD Applications
Luttge, R.Increasing nanogroove height enhances neuron outgrowth alignment
A start-up concept: Commercializing MESOTAS-SIEVE Brain-on-chip technology in neuropharmaceutical drug development

M

Ma, BiwuTwo-Dimensional Organic-Inorganic Metal Halide Perovskites: Structures, Properties, and Applications
Ma, LijunPolymer filling and mold durability for different shape cavities in nanoimprint lithography
Ma, XiaohaoFabricating nanolens arrays by nanoimprint lithography
Fabricating multilayer channel by double nanoimprint lithography
MacGregor, C.Etching Process for Producing Variable Sloping Sidewall of III-V Antimonide-based Materials for LED/PD Applications
Mack, Chris A.Unbiased Roughness Measurements: Subtracting out SEM Effects, part 2
Maeda, E.Fabrication of nanomechanical resonators elastically coupled in series for sensitive thermal stress detection
Mahady, K.Investigating Focused Ion Beam Processing With Simulations: Etching and Deposition with a Precursor Gas
Mahrt, R.Performance of unique 3D devices fabricated using thermal Scanning Probe Lithography
Mai, TobiasAtlas 46 – novel negative tone photoresist which combines the good properties of the established SU-8 and CAR 44
Mailley, PascalA versatile fabrication protocol for graphene gated field effect transistor-based smart biological sensors on arbitrary substrates
Majstrzyk, W.Array of electromagnetically cantilevers for force-distance spectroscopy metrological investigations
Makarova, O. V.High-aspect-ratio gold electroplating for microelectronic, optoelectronic, and microsystem applications
Malaquin, L.Native and Surface-Modified Nanocellulosic Materials for 2.5D and 3D Fabrication
Malaquin, LRenewable nanoparticles as additives for 3D printed hydrogels
Malavaud, B.A 3D microdevice for the in vivo trapping of cancer-associated circulating cells.
Mamalis, DimitriosWetting Properties of Hybrid Zinc Oxide Nanostructures
Mandrus, D.Ion Migration Studies in 2D Molybdenum Trioxide Thin Flake through Ionic Liquid Gating
Manera, L. T.The Definition of Semiconductors Nanowires using Ga+ Focused Ion Beam Lithography with Mask of Hydrogenated Amorphous Silicon Film
Self Aligned Double Pattern Method for the Definition Silicon Nanowires Using Ga+ Focused Ion Beam Milling Technique with Mask of Hydrogenated Amorphous Silicon Film
Mann, J.Interaction of graphene surfaces with protein: route for effective non-covalent biological functionalization
Manohara, H.Optimization of Inverse Opal Structures for Application as Stable Field Emitters
Manske, E.Tip-based Nano-Manufacturing and -Metrology
Field-Emission Scanning Probe Lithography with Diamond tips
Maridonneau-Parini3D Microfabricated scaffolds for the investigation of the mechanical forces exerted by living cells during migration
Marks, Z.High Brightness Metal Coated Silicon Field Emission Electron Sources
Marshall, M. T.Designer Quantum Materials, Atom-by-Atom
Martinelli , E.Organs on Chip approach for evaluating drug-induced modulation of the immune response
Martinson, Alex B.Ultrathin Metasurfaces Based on Dielectric Nanoresonators for Visible Light
Mason, J.Advance Lithography I-Line Resist Profile for Difficult Liftoffs in Compound Semiconductor Technologies
Matsumoto, H.Multi-beam mask writer MBM-1000 for advanced mask making
Mattei, F.Organs on Chip approach for evaluating drug-induced modulation of the immune response
Maturova, KlaraHigh Throughput SPM for Nanopatterning and Nanometrology
Mayer, A.Electrically-assisted nanoimprint of block-copolymers
Guiding chart for initial layer choice with nanoimprint
Mazarov, P.FIB alternative patterning schemes and non-classical Liquid Metal Ion Sources
McClelland, J. J.Principles and Practice of Electron Beam Induced Current Microscopy of Resistive Nanodevices
Applications of a Cold-Atom Lithium Focused Ion Beam
Focused Ion Beam System Employing a Low Temperature Ion Source
Laser-cooled lithium as a bright source for focused ion beam microscopy
McCoy, J.Fabrication of astronomical X-ray reflection gratings using thermally activated selective topography equilibration (TASTE)
McEntaffer, R.Fabrication of astronomical X-ray reflection gratings using thermally activated selective topography equilibration (TASTE)
McGehee, W. M.Laser-cooled lithium as a bright source for focused ion beam microscopy
McGehee, W. R.Applications of a Cold-Atom Lithium Focused Ion Beam
McKown, C.Two-photon polymerization of 3D structures for open-air microfluidics and untethered microrobotic systems
McVey, ShawnRapid failure analysis for metal connection using voltage contrast images in helium ion microscopy
Meguro, T.Control of photoemission properties from NEA-GaAs surfaces by repetitive thermal pretreatments
Preparation of Ga-terminated NEA-GaAs (100) surface by HCl-isopropanol treatment for nano-analysis by STM
Analysis of Cs Layer on InGaN by Temperature-Programmed Desorption Method
Mencattini , A.Organs on Chip approach for evaluating drug-induced modulation of the immune response
Meng, D.Investigation of Plasmonic Enhancement of Molecular Fluorescence Using Collapsible Nano-Fingers
Sub-nanometer gap fabrication using transfer printing by flexible Polydimethylsiloxane (PDMS) substrates
Meng, DemingEffects of Crystallinity of Switching Layer Material on Memristive Device
Meng, H.Statistical Computing with Photonic Integrated Circuits
Metzler, M.On the Trends and Application of Pattern Density Dependent Isofocal Dose of Positive Resists for 100keV Electron Beam Lithography
Micheletti, P.Optoelectronic neural probes with passive light switching optical circuits: light control in deep brain tissue
Midya, R.Diffusive memristor based artificial synapses and neurons
Mihajlovic, GoranPatterning of Dense Arrays for MRAM Applications
Min, S.Surfaces with Deterministically Fabricated Gradient Nanostructures for Spatially Varying Wettability
Solution-processed flexible plasmonic nanodisk arrays for biomolecular detection
Miner, Jeffrey H.Applying Helium Ion Microscopy to Study Alport Syndrome in Mice
Misra, S.Designer Quantum Materials, Atom-by-Atom
Moheimani, S. O.R.Self-tuning PI Control for STM Tip Protection
Mohtashami, AbbasHigh Throughput SPM for Nanopatterning and Nanometrology
Moille, G.Fabrication of stoichiometric silicon nitride devices for nonlinear photonics
Mol, J. A.The Challenge of Contamination in Atomically Precise Manipulation and Processing of Graphene and 2D Materials
Towards a Graphene Nanoelectrode Single-Molecule Biosensor Utilizing Quantum Tunneling
Moldovan, N.Towards 5-nm-Focus-Size Fresnel Zone Plates for Hard X-rays
Molfett, R.Organs on Chip approach for evaluating drug-induced modulation of the immune response
Molle, Hasibul Alam AlessandroSeamless and Scalable Nanofabrication of Silicene Field-Effect Transistors with Prolonged Lifetime
Montemayor, L. C.Optimization of Inverse Opal Structures for Application as Stable Field Emitters
Montgomery, E.Analog and Neuromorphic Computing with Memristor Arrays
Moon, S.Effects of Lithographic Parameters in Massively-Parallel Electron-beam Systems
Moran-Mirabal, J.3D Microfabricated scaffolds for the investigation of the mechanical forces exerted by living cells during migration
Native and Surface-Modified Nanocellulosic Materials for 2.5D and 3D Fabrication
Muelders, ThomasSimulation assisted separation of stochastic effects in EUV lithography and their contribution to line edge roughness and defectivity
Muhammad, Mufasila MGallium Nitride on Gallium Oxide Substrate for IntegraTED Nonlinear Optics
Mukhtar, M.Assessing Electron-Optical Uniformity in Multi-electron Beam Arrays
Muray, L.Energy-momentum spectroscopy by wavelength- and angularly-resolved Cathodoluminescence
Microfabricated Registration Marks for Automated Location Calibration
Murphy, J. P.Electrospun Charge Transport Structures for Hybrid Perovskite Solar Cells
Plasmonic Enhanced Burst Release from Electrospun Fibers Exposed to Light
Murray-Bruce, J.Source Shot Noise Mitigation in Scanned Beam Microscopy
Murray, R.Hole density and mobility measurements of two-dimensional hole gasses (2DHG) due to aluminum delta layers in silicon
Murty, E.Optimization of Inverse Opal Structures for Application as Stable Field Emitters
Mutunga, E.Fine-Tuning Nanowire Shape Using 3D Focused Electron Beam Induced Deposition

N

Nadzeyka, A.FIB based Sketch & Peel with various Ion Species for Fast and Precise Patterning of Large Structures
Nakagawa, M.Improved etching resistance of UV-cured films with/without hydroxy groups by organic/inorganic hybridization through sequential infiltration synthesis and sequential vapor infiltration
Fluidity of an oleophilic monomer in nano-gap between reactive adhesive monolayers for UV nanoimprinting
Nakamura, T.Improved etching resistance of UV-cured films with/without hydroxy groups by organic/inorganic hybridization through sequential infiltration synthesis and sequential vapor infiltration
Nakayamada, N.Multi-beam mask writer MBM-1000 for advanced mask making
Namboodiri, PradeepScanning Tunneling Microscope Fabrication of Atomically Precise Devices
Narayan, S. R.Nanogap Electrochemical Cell for Methanol-Contained Ethanol Solution Detection
Narcross, H.Phenol Functionalized Polymerization Control Additives for Negative Tone Cationic Molecular Resists
Narcross, Hannah L.Effect of Molecular Structure on Lithographic Performance of Aqueous Base Soluble Epoxide Molecular Resists
Navarro, VioletaHigh Throughput SPM for Nanopatterning and Nanometrology
Neisser, M.Microphase Separation of High-χ Poly(4-tertbutyl styrene) -block - Poly(2-hydroethyl methacrylate)
Neumann, AlexanderMeasuring Liquid Properties on Nano-scale Photoresist 1D Patterned Structures
Neustock, L. T.Adjoint Optimization for Electrostatic Charged Particle Lens in 3D
Achieving highly accurate adjoint sensitivities for charged particle optics: design, optimization and tolerancing
Niauzoraua, StanislauElectrochemical nanoimprinting of silicon: A direct patterning approach
Niemeyer, Christof M.Scanning Probe Lithography for Bioactive Surface Functionalization
Ninno, A. DeOrgans on Chip approach for evaluating drug-induced modulation of the immune response
Nishitani, T.Analysis of Cs Layer on InGaN by Temperature-Programmed Desorption Method
Niu, J.High Sensitivity Optical Biosensor based on silicon dimer arrays
Polarization Independent Perfect Reflection metasurface via Mie resonances in Dielectric Nanoclusters
Niu, JiebinHigh-temperature development of thick hydrogen silsesquioxane resist for fabricating dense and high aspect ratio nanostructures
Nojeh, A.Opto-Thermionic Cathodes for SEM
Opto-Thermionic Cathodes for AweSEM
Norris, S.Focused Ion Beam Fabrication of a Chiral Infrared Polarizer
Notte, J.SIMS performed on the Helium Ion Microscope: new prospects for highest spatial resolution imaging and correlative microscopy
Notte, JohnLateral Resolution Images on the BAM-L200 Standard from NanoFab with SIMS
Applying Helium Ion Microscopy to Study Alport Syndrome in Mice
GFIS Technology and Applications
Nova, K.Selective hydrogen ion beams from nano-structured emitters

O

Ober, C. K.Progress in Metal Organic Cluster EUV Photoresists
Ocola, Leonidas E.Electron Beam Lithography in a new nano world
Oh, T. SVariation of field of view according to Si deflector shape in a microcolumn
Ojeda, A. J.A Nanofabricated Enzyme Biosensor
Okulova, N.Roll-to-roll Nano-patterning of Packaging Films: Analysis Using AFM, SEM and FIB SEM
Okuno, M.Evaluation of processing characteristics of anisotropic aluminum-assisted chemical vapor etching
Oldham, C.Towards 5-nm-Focus-Size Fresnel Zone Plates for Hard X-rays
Oleshko, V. P.Applications of a Cold-Atom Lithium Focused Ion Beam
Olynick, D. L.Chromium hard mask patterning of sub-20 nm films for single-digit nanofabrication
Polymer-Metal Coating for high contrast SEM cross sections towards single-digit nanoscale imaging
Onen, M.Superconducting Nanowire-Based Processor for Training Deep Neural Networks
Oni, B.Integrated Flexible Solid-state Thin Film Supercapacitors
Orłowska, K.Array of electromagnetically cantilevers for force-distance spectroscopy metrological investigations
Ou, T.Investigation of Plasmonic Enhancement of Molecular Fluorescence Using Collapsible Nano-Fingers
Ou, Tse-HsienNanogap Electrochemical Cell for Methanol-Contained Ethanol Solution Detection
Ovchinnikova, O.Ion Migration Studies in 2D Molybdenum Trioxide Thin Flake through Ionic Liquid Gating
Ovchinnikova, Olga S.Dynamics of Graphene Milling Using the Helium Ion Beam
Owen, J. H. G.Single-Dopant Arrays using Tip-assisted Incorporation Process
Owen, J.Self-tuning PI Control for STM Tip Protection
Owen, James H. G.Highly Parallel Scanning Probe Lithography
Ozaki, Y.Improved etching resistance of UV-cured films with/without hydroxy groups by organic/inorganic hybridization through sequential infiltration synthesis and sequential vapor infiltration

P

P.Collier, C.Electrostriction, capacitive susceptibility, and neuromorphic computing in droplet interface bilayers
Păunescu, Teodor G.Applying Helium Ion Microscopy to Study Alport Syndrome in Mice
Pacifici, D.Revisiting the Photon-Drag Effect in Thin Metal Films
Painter, O.Fabrication of High Inductance Nano Coils with a Neon Focused Ion Beam
Pan, YueEffects of Crystallinity of Switching Layer Material on Memristive Device
Panepucci, R. R.Towards Maskless Production of Custom Neuronal Recording Graphene Microelectrode Arrays
Direct Laser Writing of Photonic Devices on a SU-8 Platform
Pang, S. W.Asymmetrical Three Dimensional Plasmonic Nanostructures with Multiple Resonance Modes
Tumor Cell Traversing Behavior in Three-Dimensional Platform with Porous Topography
Effects of Dimensions, Topography, and Layers for Nasopharyngeal Carcinoma Cell Migration on Three-Dimensional Scaffold Platform
Cell Migration on Microposts with Surface Coating and Confinements
Papathanasiou, A.Amphiphobic nanoimprinted surfaces showing reversible contact angle modification in electrowetting
Papenheim, M.Electrically-assisted nanoimprint of block-copolymers
Guiding chart for initial layer choice with nanoimprint
Paprotny, IgorSelectivity through Optimization of Metal Oxide Morphology: Towards Selective Chemiresistive MWCNT/TiO2 VOC Sensors
Park, Ch.Rubbing-Induced Site-Selective Growth (RISS) of MoS2 Device Patterns
Park, Kyu ChangAnode effect of carbon nanotube cold cathode electron beam (C-beam) for high resolution x-ray tube
Fabrication of high performance far ultraviolet(UV) light sources with carbon nanotube cold cathode pumping
Parlato, S.Organs on Chip approach for evaluating drug-induced modulation of the immune response
Parpia, J.Interaction of graphene surfaces with protein: route for effective non-covalent biological functionalization
Pascon, A. M.Towards Maskless Production of Custom Neuronal Recording Graphene Microelectrode Arrays
Patel, K. C.Patterning of Dense Arrays for MRAM Applications
Pease, F.Opto-Thermionic Cathodes for SEM
Opto-Thermionic Cathodes for AweSEM
Peng, M.Source Shot Noise Mitigation in Scanned Beam Microscopy
Peng, Y.Using FIB/SEMs to Investigate Biological Samples
Peng, ZhiweiPhoto-actuated Pens for Molecular Printing
Penzo, ErikaDirected assembly of perovskite nanocrystals on topographically and chemically patterned surfaces
Petersen, C.Fabrication of a Macroscopically Degenerate 3D Artificial Spin Ice
Photiadis, D. M.Acoustic Waves Coupled to Quantum Dots in Nanomechanical Structures
Piras, DanieleHigh Throughput SPM for Nanopatterning and Nanometrology
Pitters, J.Selective hydrogen ion beams from nano-structured emitters
Pitters, JasonLithography for Robust, Editable Atomic-scale Silicon Devices
Plank, H.3D-Nanoprinting of Functional and Freestanding Structures via Electron Beams: an Application Perspective
Fine-Tuning Nanowire Shape Using 3D Focused Electron Beam Induced Deposition
Podmore, HughMoth-eye antireflection nano-structure on glass for CubeSats
Poincloux, R.3D Microfabricated scaffolds for the investigation of the mechanical forces exerted by living cells during migration
Pollanen, J.Quantum piezoacoustics: From low-dimensional electrons to qubits
Pomeroy, J. M.Hole density and mobility measurements of two-dimensional hole gasses (2DHG) due to aluminum delta layers in silicon
Porgador, AngelRegulation of the immune synapse and cytotoxic activity of natural killer (NK) cells by nanolithographic ligand patterning
Presti, G.Optoelectronic neural probes with passive light switching optical circuits: light control in deep brain tissue
Pruitt, Beth L.Engineering Microsystems and Biointerfaces for Quantitative Mechanobiology
Pu, T.High Sensitivity Optical Biosensor based on silicon dimer arrays
Polarization Independent Perfect Reflection metasurface via Mie resonances in Dielectric Nanoclusters
Pudasaini, P. R.Fundamental focus beam-solid interactions and applications for rapid prototyping
Pudasaini, P.Ion Migration Studies in 2D Molybdenum Trioxide Thin Flake through Ionic Liquid Gating

Q

Qi, W.Radiation synthesis of 2-aminomethyl pyridine functionalized adsorbent and its application for perrhenate removal
Qi, WeiIrradiation Synthesis of Nanostructured FeSn2-carbon Nanofiber Composites as Highly Stable Anodes for Super-capacitor
Qian, H.Optimized Filamentary RRAM for Neuromorphic Hardware

R

Rack, P. D.Fundamental focus beam-solid interactions and applications for rapid prototyping
3D-Nanoprinting of Functional and Freestanding Structures via Electron Beams: an Application Perspective
Fine-Tuning Nanowire Shape Using 3D Focused Electron Beam Induced Deposition
Rack, P.Investigating Focused Ion Beam Processing With Simulations: Etching and Deposition with a Precursor Gas
Ion Migration Studies in 2D Molybdenum Trioxide Thin Flake through Ionic Liquid Gating
Rack, Philip D.Ionic Liquid and Amorphous Metal-Oxide Semiconductor Interactions: Towards a New Programmable Neuromorphic Platform
Rahangdale, S.Imaging with a 196 beam SEM
Rainer, A.Organs on Chip approach for evaluating drug-induced modulation of the immune response
Ramanayaka, A. N.Hole density and mobility measurements of two-dimensional hole gasses (2DHG) due to aluminum delta layers in silicon
Ramirez, J. C.Direct Laser Writing of Photonic Devices on a SU-8 Platform
Ramshaw, J.Using FIB/SEMs to Investigate Biological Samples
Rand, R.The Collective Behavior of Large Ensembles of Coupled MEMS Cantilevers with Varying Natural Frequencies
Randall, J. N.Single-Dopant Arrays using Tip-assisted Incorporation Process
Self-tuning PI Control for STM Tip Protection
Randall, John N.Highly Parallel Scanning Probe Lithography
Randolph, S. J.In-situ femtosecond pulsed laser ablation for large volume 3D analysis in scanning electron microscope systems
Rangelow, I. W.High-throughput process chain for SET devices based on FE-SPL and SmartNIL (TM) technology
Sharp GaN Nanowires on the Si-Tip of active cantilevers for fast-AFM and Scanning Probe Lithography Applications
Analytic and numeric model for field-emission scanning probe lithography
Chromium hard mask patterning of sub-20 nm films for single-digit nanofabrication
Experimental study of Field Emission from sharp Silicon, Diamond and Tungsten tips used for Field Emission Scanning Probe Lithography
Polymer-Metal Coating for high contrast SEM cross sections towards single-digit nanoscale imaging
Rangelow, I.Field-Emission Scanning Probe Lithography with Diamond tips
Rangelow, Ivo W.Fluorocarbon-based Atomic Layer Etching of Silicon Dioxide in Conventional Plasma Tools
Field-Emission Scanning Probe Lithography, Low Energy EBID and Correlative Microscopy with AFMinSEM.
Field-emission scanning probe lithography tool for 150 mm wafer.
Scanning Probes in Nanostructure Fabrication
Rao, M.Diffusive memristor based artificial synapses and neurons
Rashidi, MohammadLithography for Robust, Editable Atomic-scale Silicon Devices
Raveh, A.Investigating Focused Ion Beam Processing With Simulations: Etching and Deposition with a Precursor Gas
Rawlings, C. D.Single-nanometer accurate 3D nanoimprint lithography with master templates fabricated by NanoFrazor lithography
Rawlings, C.Performance of unique 3D devices fabricated using thermal Scanning Probe Lithography
Ray, V.Continuous Achromatic Flat Subwavelength Grating Lens over whole Visible Bandwidths
Reed, M.Nanofluidic Ionic Devices
Reeves, J. B.MEMS-stencil lithography for mechanically-tunable infrared metasurfaces on 3D-DLW printed scaffolds
Reeves, Jeremy B.DLW-MEMS Integration for Dynamic 3D Microstructures
Reig, BRenewable nanoparticles as additives for 3D printed hydrogels
Renard, JulienA versatile fabrication protocol for graphene gated field effect transistor-based smart biological sensors on arbitrary substrates
Reum, A.High-throughput process chain for SET devices based on FE-SPL and SmartNIL (TM) technology
Sharp GaN Nanowires on the Si-Tip of active cantilevers for fast-AFM and Scanning Probe Lithography Applications
Reum, AlexanderField-Emission Scanning Probe Lithography, Low Energy EBID and Correlative Microscopy with AFMinSEM.
Reum,, AlexanderField-emission scanning probe lithography tool for 150 mm wafer.
Reuter, C.Sharp GaN Nanowires on the Si-Tip of active cantilevers for fast-AFM and Scanning Probe Lithography Applications
Reuter, ChristophField-Emission Scanning Probe Lithography, Low Energy EBID and Correlative Microscopy with AFMinSEM.
Field-emission scanning probe lithography tool for 150 mm wafer.
Reza, M.Etching Process for Producing Variable Sloping Sidewall of III-V Antimonide-based Materials for LED/PD Applications
Richter, C. A.Hole density and mobility measurements of two-dimensional hole gasses (2DHG) due to aluminum delta layers in silicon
Richter, CurtScanning Tunneling Microscope Fabrication of Atomically Precise Devices
Riedo, ElisaThermal and ThermoChemical Scanning Probe Lithographyfor mask-less and marker-less patterning of electronic materials
Riel, HeikeWhat’s Next in Information Technology?
Rishinaramangalam, A. K.Sharp GaN Nanowires on the Si-Tip of active cantilevers for fast-AFM and Scanning Probe Lithography Applications
Ritter, DanielSoftware based optimization of gray scale laser lithography
Roey, Frieda VanUnbiased Roughness Measurements: Subtracting out SEM Effects, part 2
Rokni, H.Rubbing-Induced Site-Selective Growth (RISS) of MoS2 Device Patterns
Romero, M.High Brightness Metal Coated Silicon Field Emission Electron Sources
Rond, J.Electrically-assisted nanoimprint of block-copolymers
Roqan, Iman S.Gallium Nitride on Gallium Oxide Substrate for IntegraTED Nonlinear Optics
Rosa, A. M.The Definition of Semiconductors Nanowires using Ga+ Focused Ion Beam Lithography with Mask of Hydrogenated Amorphous Silicon Film
Self Aligned Double Pattern Method for the Definition Silicon Nanowires Using Ga+ Focused Ion Beam Milling Technique with Mask of Hydrogenated Amorphous Silicon Film
Rosenmann, D.Reduced contact resistance by surface treatment in Ga2O3 film-based devices
Rue, C.In-situ femtosecond pulsed laser ablation for large volume 3D analysis in scanning electron microscope systems
Russell, Z. E.Adjoint Optimization for Electrostatic Charged Particle Lens in 3D
Achieving highly accurate adjoint sensitivities for charged particle optics: design, optimization and tolerancing
Rutigliani, VitoUnbiased Roughness Measurements: Subtracting out SEM Effects, part 2
Ryu, B.Rubbing-Induced Site-Selective Growth (RISS) of MoS2 Device Patterns
Ryu, BFabrication of 2D MoS2 Memristors with Analog and Discrete Memory States

S

S.Najem, J.Electrostriction, capacitive susceptibility, and neuromorphic computing in droplet interface bilayers
S.Rose, G.Electrostriction, capacitive susceptibility, and neuromorphic computing in droplet interface bilayers
Saccone, M.Fabrication of a Macroscopically Degenerate 3D Artificial Spin Ice
Sadeghian, HamedHigh Throughput SPM for Nanopatterning and Nanometrology
Saem, S.Native and Surface-Modified Nanocellulosic Materials for 2.5D and 3D Fabrication
Saem, SRenewable nanoparticles as additives for 3D printed hydrogels
Sainato, MichelaSelectivity through Optimization of Metal Oxide Morphology: Towards Selective Chemiresistive MWCNT/TiO2 VOC Sensors
Sakai, K.Novel nanoparticle photoresists development for EUV lithography
Progress in Metal Organic Cluster EUV Photoresists
Salomons, M.Selective hydrogen ion beams from nano-structured emitters
Salomons, Mark H.Lithography for Robust, Editable Atomic-scale Silicon Devices
Sang, XiahanDynamics of Graphene Milling Using the Helium Ion Beam
Sarles, S.A.Electrostriction, capacitive susceptibility, and neuromorphic computing in droplet interface bilayers
Sasao, N.Visualization of NL polymer distribution in NIL guides for DSA
Sassolini, S.Chromium hard mask patterning of sub-20 nm films for single-digit nanofabrication
Sato, D.Analysis of Cs Layer on InGaN by Temperature-Programmed Desorption Method
Sattelkow, J.3D-Nanoprinting of Functional and Freestanding Structures via Electron Beams: an Application Perspective
Saux, Guillaume LeRegulation of the immune synapse and cytotoxic activity of natural killer (NK) cells by nanolithographic ligand patterning
Savas, T.Diffractive Electron Mirrors as Lossless Beam Splitters
Savel'ev, S.Diffusive memristor based artificial synapses and neurons
Sawabe, T.Visualization of NL polymer distribution in NIL guides for DSA
Saygin, V.Quantifying Liquid Transport and Patterning using Atomic Force Microscopy
Schamberger, B.High-throughput process chain for SET devices based on FE-SPL and SmartNIL (TM) technology
Schappell, E.Systematic Study of Roll-to-Roll Colloidal 3D Nanolithography System and Its Applications
Scheer, H. -C.Electrically-assisted nanoimprint of block-copolymers
Guiding chart for initial layer choice with nanoimprint
Schiavoni, G.Organs on Chip approach for evaluating drug-induced modulation of the immune response
Schirmer, MatthiasAtlas 46 – novel negative tone photoresist which combines the good properties of the established SU-8 and CAR 44
Schleunitz, A.Single-nanometer accurate 3D nanoimprint lithography with master templates fabricated by NanoFrazor lithography
Schmidt, GeorgAtlas 46 – novel negative tone photoresist which combines the good properties of the established SU-8 and CAR 44
Schmill, U.Fabrication of Hollow Silicon Microneedle Arrays for Transdermal Biological Fluid Extraction
Schmucker, Scott W.Scanning Tunneling Microscope Fabrication of Atomically Precise Devices
Scholl, A.Fabrication of a Macroscopically Degenerate 3D Artificial Spin Ice
Schuman, C.The Importance of High-Level Simulation in the Co-Design of Neuromorphic Systems
Schunk, Randy P.Measuring Liquid Properties on Nano-scale Photoresist 1D Patterned Structures
Schvartzman, MarkRegulation of the immune synapse and cytotoxic activity of natural killer (NK) cells by nanolithographic ligand patterning
Schwartzberg, A. M.Large area growth of transition metal dichalcogenides for photonics and optoelectronics
Schwartzberg, A.Investigation of Plasmonic Enhancement of Molecular Fluorescence Using Collapsible Nano-Fingers
Schwartzberg, AdamFluorocarbon-based Atomic Layer Etching of Silicon Dioxide in Conventional Plasma Tools
Schwarzkopf, A.Focused Ion Beam System Employing a Low Temperature Ion Source
Schwemmer, C.Performance of unique 3D devices fabricated using thermal Scanning Probe Lithography
Sefiane, KhellilWetting Properties of Hybrid Zinc Oxide Nanostructures
Shah, P.Surface Roughness Improvement in EUV Mask Materials
Sharp, B. L.Microphase Separation of High-χ Poly(4-tertbutyl styrene) -block - Poly(2-hydroethyl methacrylate)
Sharp, B.Phenol Functionalized Polymerization Control Additives for Negative Tone Cationic Molecular Resists
Sharp, Brandon L.Effect of Molecular Structure on Lithographic Performance of Aqueous Base Soluble Epoxide Molecular Resists
Sharstnioua, AliaksandrElectrochemical nanoimprinting of silicon: A direct patterning approach
She, J. C.Double-Side Masking and Stress-Released Etching for Fabrication of High Aspect Ratio Graphene Micro-Cantilever
Shen, J.E-beam lithography using dry powder HSQ resist having long shelf life
Shen, YichenStatistical Computing with Photonic Integrated Circuits
Sheng, X.Integration of Memristor on CMOS Chips for Hardware Accelerators
Shi, H.Plasmonic-enhanced Photo-catalysis using Collapsible Nano-fingers
Shi, J.A multiplexed intracellular probing (IP) nano-chip for interrogation of myo-fibroblasts and cardiomyocytes gene in cardiac fibrosis
Reduced contact resistance by surface treatment in Ga2O3 film-based devices
Shi, L.High Sensitivity Optical Biosensor based on silicon dimer arrays
Polarization Independent Perfect Reflection metasurface via Mie resonances in Dielectric Nanoclusters
Shi, PeixiongHigh-temperature development of thick hydrogen silsesquioxane resist for fabricating dense and high aspect ratio nanostructures
Shin, I. -K.Estimation of Critical Dimension and Line Edge Roughness using Artificial Neural Networks
Effects of Lithographic Parameters in Massively-Parallel Electron-beam Systems
Shin, SeunghangA Study on the Fine pattern generation Using Elastic Restoration of Blankets
Fabrication of Nano-micro hybrid pattern using Anodic Aluminum Oxide template and resins
Shirai, M.Stochastic simulation of pattern formation in electron beam lithography
Siddiqi, IrfanThe Dawn of Superconducting Quantum Processors
Siddiqi, IrfanThe Challenge of Gregarious Qubits
Sierakowski, A.Array of electromagnetically cantilevers for force-distance spectroscopy metrological investigations
Silver, RichardScanning Tunneling Microscope Fabrication of Atomically Precise Devices
Skaug, M.Performance of unique 3D devices fabricated using thermal Scanning Probe Lithography
Skinner, J. L.Electrospun Charge Transport Structures for Hybrid Perovskite Solar Cells
Plasmonic Enhanced Burst Release from Electrospun Fibers Exposed to Light
Smith, G.Process condition dependence of liquid-phase focused electron beam induced etching of copper
Smith, NeilPatterning of Dense Arrays for MRAM Applications
Soles, C. L.Applications of a Cold-Atom Lithium Focused Ion Beam
Soljacic, MarinStatistical Computing with Photonic Integrated Circuits
Soltani, M.E-beam lithography using dry powder HSQ resist having long shelf life
Soltani, MohammadMoth-eye antireflection nano-structure on glass for CubeSats
Song, B.Investigation of Plasmonic Enhancement of Molecular Fluorescence Using Collapsible Nano-Fingers
Plasmonic-enhanced Photo-catalysis using Collapsible Nano-fingers
Sub-nanometer gap fabrication using transfer printing by flexible Polydimethylsiloxane (PDMS) substrates
Song, BoxiangEffects of Crystallinity of Switching Layer Material on Memristive Device
Song, LiIon Gated Synaptic Transistors Based on Two-dimensional van der Waals Crystals with Tunable Diffusive Dynamics
Song, W.Diffusive memristor based artificial synapses and neurons
Analog and Neuromorphic Computing with Memristor Arrays
Sorel, M.Etching Process for Producing Variable Sloping Sidewall of III-V Antimonide-based Materials for LED/PD Applications
Spallas, J.Microfabricated Registration Marks for Automated Location Calibration
Spence, C.Towards SEM / broad ion-beam serial-sectioning of large scale biological tissues
Spieser, M.Single-nanometer accurate 3D nanoimprint lithography with master templates fabricated by NanoFrazor lithography
Performance of unique 3D devices fabricated using thermal Scanning Probe Lithography
Srinivasan, KFabrication of stoichiometric silicon nitride devices for nonlinear photonics
Staaks', D.Chromium hard mask patterning of sub-20 nm films for single-digit nanofabrication
Polymer-Metal Coating for high contrast SEM cross sections towards single-digit nanoscale imaging
Stabs, J.Electrically-assisted nanoimprint of block-copolymers
Stan, LilianaSelectivity through Optimization of Metal Oxide Morphology: Towards Selective Chemiresistive MWCNT/TiO2 VOC Sensors
Stanford, M. G.Fundamental focus beam-solid interactions and applications for rapid prototyping
Stark, T. J.MEMS-stencil lithography for mechanically-tunable infrared metasurfaces on 3D-DLW printed scaffolds
Stark, Thomas J.DLW-MEMS Integration for Dynamic 3D Microstructures
Stavis, S. M.Analytical separation of colloidal nanoparticles by size exclusion in nanofluidic replicas
Steele, A. V.Focused Ion Beam System Employing a Low Temperature Ion Source
Steele, AdamCold Ion Source Technologies: History and Outlook
Steer, M.Etching Process for Producing Variable Sloping Sidewall of III-V Antimonide-based Materials for LED/PD Applications
Steinberg, C.Electrically-assisted nanoimprint of block-copolymers
Guiding chart for initial layer choice with nanoimprint
Stock, Hans-JurgenSimulation assisted separation of stochastic effects in EUV lithography and their contribution to line edge roughness and defectivity
Stoeferle, T.Performance of unique 3D devices fabricated using thermal Scanning Probe Lithography
Stowe, D. JEnergy-momentum spectroscopy by wavelength- and angularly-resolved Cathodoluminescence
Strachan, J. P.Integration of Memristor on CMOS Chips for Hardware Accelerators
Analog and Neuromorphic Computing with Memristor Arrays
Strachan, M. Hu J. P.Diffusive memristor based artificial synapses and neurons
Strait, J. .H.Revisiting the Photon-Drag Effect in Thin Metal Films
Straw, M.In-situ femtosecond pulsed laser ablation for large volume 3D analysis in scanning electron microscope systems
Strelcov, E.Principles and Practice of Electron Beam Induced Current Microscopy of Resistive Nanodevices
Applications of a Cold-Atom Lithium Focused Ion Beam
Strukov, D. B.Principles and Practice of Electron Beam Induced Current Microscopy of Resistive Nanodevices
Su, Z.Ultrasensitive Ebola virus antigen detection via a nanoantenna-array biosensing platform
Sugimura, S.Visualization of NL polymer distribution in NIL guides for DSA
Sul, Choon TaeFabrication of high performance far ultraviolet(UV) light sources with carbon nanotube cold cathode pumping
Sumitro, A.Surface Roughness Improvement in EUV Mask Materials
Sun, C.Interaction of graphene surfaces with protein: route for effective non-covalent biological functionalization
Sun, XiujieActive-matrix driven digital microfluidic system built on printed circuit boards
Suzuki, TakaakiDesign and Operation of a Body-in-a-Cube Platform
Swart, J. W.Towards Maskless Production of Custom Neuronal Recording Graphene Microelectrode Arrays
Swett, J. L.The Challenge of Contamination in Atomically Precise Manipulation and Processing of Graphene and 2D Materials
Towards a Graphene Nanoelectrode Single-Molecule Biosensor Utilizing Quantum Tunneling
Syed, AtifThin-Film Characterization on a Novel Wrinkled Elastomeric Substrate with Applications in Force Sensing
Wetting Properties of Hybrid Zinc Oxide Nanostructures
Nanskin - Novel electronic skin for robotics application
Syrowatka, FrankAtlas 46 – novel negative tone photoresist which combines the good properties of the established SU-8 and CAR 44

T

Taboryski, R. J.Roll-to-roll Nano-patterning of Packaging Films: Analysis Using AFM, SEM and FIB SEM
Tajaddodianfar, F.Self-tuning PI Control for STM Tip Protection
Talin, A. AlecThe Non-Volatile Redox Transistor for Neuromorphic Computing
Tan, S.Investigating Focused Ion Beam Processing With Simulations: Etching and Deposition with a Precursor Gas
Tanaka, K.Fabrication of nanomechanical resonators elastically coupled in series for sensitive thermal stress detection
Control of photoemission properties from NEA-GaAs surfaces by repetitive thermal pretreatments
Tang, K.Hole density and mobility measurements of two-dimensional hole gasses (2DHG) due to aluminum delta layers in silicon
Tao, ChaoranDesign and Optimization of High-throughput Cell Pairing Chip for Cell Fusions
Tao, LiIntriguing Photoelectricity from 2D Bismuth Grown Directly on Si substrates
Seamless and Scalable Nanofabrication of Silicene Field-Effect Transistors with Prolonged Lifetime
TBDPuerto Rico Science, Technology and Research Trust
Technology, M. Aramesh,Y. Mayame K. Ostrikov Queensland University of
Helium Ion Microscope (HIM) assisted atomic re-design makes brittle aluminium oxide plastic
Technology, New Jersey Institute ofA Nanofabricated Enzyme Biosensor
Tennant, DonElectron Beam Lithography
Tennant, Donald M.Beam Exposure Strategies matter – System Complexity and Application Space Trade-offs
Teresa, J. M. DeElectron and Ion Beam Induced Deposition of Nanosuperconductors and Nanomagnets
Textor, AndrewNanofabrication of photonic crystal structures with complex geometries using ALD-enabled imprint lithography
Thayne, I. G.Etching Process for Producing Variable Sloping Sidewall of III-V Antimonide-based Materials for LED/PD Applications
Thibault, C.3D Microfabricated scaffolds for the investigation of the mechanical forces exerted by living cells during migration
Thiel, B.Assessing Electron-Optical Uniformity in Multi-electron Beam Arrays
Thomas, G. A.A Nanofabricated Enzyme Biosensor
Tian, RuijunActive-matrix driven digital microfluidic system built on printed circuit boards
Tian, YeQuantitative characterization of mechanical properties and residual stress in nanoimprinted polymer films at the microscale
Flexible Nanoimprint Template from Amorphous Metals
Tian, YuTwo-Dimensional Organic-Inorganic Metal Halide Perovskites: Structures, Properties, and Applications
Tiddi, W.Electron-beam patterning organic ice resists
Tinazli, AliNanotechnology, MEMS, Microfluidics for Health 4.0 Hypermobility
Tolbert, Laren M.Effect of Molecular Structure on Lithographic Performance of Aqueous Base Soluble Epoxide Molecular Resists
Toomey, E.Influence of TMAH development on niobium nitride films
Fabrication of cryogenic resistors for on-chip shunting of superconducting NbN nanowire devices.
Torman , M.Electrically-assisted nanoimprint of block-copolymers
Torres-Lugo, MadelineNanomaterials for Cancer Research: Engineering the Road to Clinical Translation
Torres, C. M. SotomayorAmphiphobic nanoimprinted surfaces showing reversible contact angle modification in electrowetting
Tracy, L. A.Designer Quantum Materials, Atom-by-Atom
Tran, KhiemPatterning of Dense Arrays for MRAM Applications
Treiblmayr, D.High-throughput process chain for SET devices based on FE-SPL and SmartNIL (TM) technology
Triki, F.Limits of model-based CD-SEM metrology
Tseng, L. -T.EUV Achromatic Talbot Lithography: A Method for High-Resolution Patterning of Nanostructures over Large Areas
Tsuji, KenjiApplying Helium Ion Microscopy to Study Alport Syndrome in Mice
Turchetti, M.Diffractive Electron Mirrors as Lossless Beam Splitters

U

Uchida, K.Fabrication of Self-standing Thin Polystyrene Films with Through Holes by use of Casting Process
Uchiyama, T.Impact of image contrast on pattern inspection using electron microscopes
Uehara, T.Improved etching resistance of UV-cured films with/without hydroxy groups by organic/inorganic hybridization through sequential infiltration synthesis and sequential vapor infiltration
Ueno, HidetakaDesign and Operation of a Body-in-a-Cube Platform
University, CornellProgress in Metal Organic Cluster EUV Photoresists
University, PrincetonUltrasensitive Ebola virus antigen detection via a nanoantenna-array biosensing platform
University, YaleNanofluidic Ionic Devices
Unocic, Raymond R.Dynamics of Graphene Milling Using the Helium Ion Beam
Upadhyay, N. K.Diffusive memristor based artificial synapses and neurons
Urban, R.Selective hydrogen ion beams from nano-structured emitters
Urbonas, D.Performance of unique 3D devices fabricated using thermal Scanning Probe Lithography

v

van der Zande, A.M.Tip-Based Fabrication of Single-Layer MoS2 Nanoribbon Transistors with 30-nm Channel Width
Vandenberghe, GeertCharacterization of EUV resist performance: introducing new metrics
Vasudevan, A.A Nanofabricated Enzyme Biosensor
Vernik, I. V.High Sensitivity Magnetometers and Gradiometers Based on Nano-Josephson Junction SQUIDs
Viehoever, GeorgSimulation assisted separation of stochastic effects in EUV lithography and their contribution to line edge roughness and defectivity
Vieu, C.3D Microfabricated scaffolds for the investigation of the mechanical forces exerted by living cells during migration
A 3D microdevice for the in vivo trapping of cancer-associated circulating cells.
Vladár, A. E.Characterization of Helium-Ion Machined Fluidic Structures
Vlassiouk, Ivan V.Dynamics of Graphene Milling Using the Helium Ion Beam
Vo, S.NanoTechnology within a consumer product held in the palm of your hand
Vollmer, FrankOptoplasmonic Sensors
VON Ehr, J. R.Single-Dopant Arrays using Tip-assisted Incorporation Process

W

W.Rangelow, I.Tip-based Nano-Manufacturing and -Metrology
Wainstein, N.A TiO2 – based Radio Frequency Resistive Switch
Walker, EmilyIntriguing Photoelectricity from 2D Bismuth Grown Directly on Si substrates
Wallin, C.The Collective Behavior of Large Ensembles of Coupled MEMS Cantilevers with Varying Natural Frequencies
Wallin,, C. B.Absolute deflection measurements in a MEMS/NEMS Fabry-Perot interferometry system
Wan, LeiPatterning of Dense Arrays for MRAM Applications
Wang, HanEffects of Crystallinity of Switching Layer Material on Memristive Device
Wang, J.Fabrication of 2D MoS2 Memristors with Analog and Discrete Memory States
Wang, PengPhoto-actuated Pens for Molecular Printing
Wang, QingPolymer filling and mold durability for different shape cavities in nanoimprint lithography
Wang, X.Reduced contact resistance by surface treatment in Ga2O3 film-based devices
Wang, XiqiaoScanning Tunneling Microscope Fabrication of Atomically Precise Devices
Wang, Y.Investigation of Plasmonic Enhancement of Molecular Fluorescence Using Collapsible Nano-Fingers
Plasmonic-enhanced Photo-catalysis using Collapsible Nano-fingers
Sub-nanometer gap fabrication using transfer printing by flexible Polydimethylsiloxane (PDMS) substrates
Wang, YifeiEffects of Crystallinity of Switching Layer Material on Memristive Device
Nanogap Electrochemical Cell for Methanol-Contained Ethanol Solution Detection
Wang, YuHuangPhoto-actuated Pens for Molecular Printing
Wang, YunxiangEffects of Crystallinity of Switching Layer Material on Memristive Device
Wang, Z.Diffusive memristor based artificial synapses and neurons
Analog and Neuromorphic Computing with Memristor Arrays
Ward, CraigFluorocarbon-based Atomic Layer Etching of Silicon Dioxide in Conventional Plasma Tools
Ward, D. R.Designer Quantum Materials, Atom-by-Atom
Ward, Thomas Z.Ionic Liquid and Amorphous Metal-Oxide Semiconductor Interactions: Towards a New Programmable Neuromorphic Platform
Ward, Z.Ion Migration Studies in 2D Molybdenum Trioxide Thin Flake through Ionic Liquid Gating
Warisawa, S.Evaluation of processing characteristics of anisotropic aluminum-assisted chemical vapor etching
Fabrication of nanomechanical resonators elastically coupled in series for sensitive thermal stress detection
Wathuthanthri, I.Advance Lithography I-Line Resist Profile for Difficult Liftoffs in Compound Semiconductor Technologies
Webb, I.Planar coils for Optimal Micromagnetic Brain Stimulation.
Weber-Bargioni, AlexanderDirected assembly of perovskite nanocrystals on topographically and chemically patterned surfaces
Wei, W.Plasmonic-enhanced Photo-catalysis using Collapsible Nano-fingers
Welling, UlrichSimulation assisted separation of stochastic effects in EUV lithography and their contribution to line edge roughness and defectivity
West, M.Optoelectronic neural probes with passive light switching optical circuits: light control in deep brain tissue
Westly, D. A.Fabrication of stoichiometric silicon nitride devices for nonlinear photonics
Westly, D.The Collective Behavior of Large Ensembles of Coupled MEMS Cantilevers with Varying Natural Frequencies
White, A. E.MEMS-stencil lithography for mechanically-tunable infrared metasurfaces on 3D-DLW printed scaffolds
White, Alice E.DLW-MEMS Integration for Dynamic 3D Microstructures
Wien, TUDamage formation in 2D materials due to slow ion irradiation
Williams, R. S.Diffusive memristor based artificial synapses and neurons
Analog and Neuromorphic Computing with Memristor Arrays
Williams, S.Integration of Memristor on CMOS Chips for Hardware Accelerators
Wilson, J. R.Characterization of Helium-Ion Machined Fluidic Structures
Winkler, F.Fabrication of freestanding initial patterns for polymer actuated 3D micro-origami structures
Winkler, R.3D-Nanoprinting of Functional and Freestanding Structures via Electron Beams: an Application Perspective
Fine-Tuning Nanowire Shape Using 3D Focused Electron Beam Induced Deposition
Wirtz, T.SIMS performed on the Helium Ion Microscope: new prospects for highest spatial resolution imaging and correlative microscopy
Wolff, A.Scanning Transmission Helium Ion Microscopy on 1nm Thick Carbon Nanomembranes
Helium Ion Microscope (HIM) assisted atomic re-design makes brittle aluminium oxide plastic
Using FIB/SEMs to Investigate Biological Samples
Wolkow, R.Selective hydrogen ion beams from nano-structured emitters
Wolkow, Robert A.Lithography for Robust, Editable Atomic-scale Silicon Devices
Wood, S.Fabrication of High Inductance Nano Coils with a Neon Focused Ion Beam
Worsley, TEnergy-momentum spectroscopy by wavelength- and angularly-resolved Cathodoluminescence
Wu, ChunhuiDesign and Optimization of High-throughput Cell Pairing Chip for Cell Fusions
Wu, H.Diffusive memristor based artificial synapses and neurons
Optimized Filamentary RRAM for Neuromorphic Hardware
Wu, Q.Diffusive memristor based artificial synapses and neurons
Analog and Neuromorphic Computing with Memristor Arrays
Wu, Stephen M.Device Scale 2D Magnetothermal Transport from Maskless Direct-write Lithography
Wu, Tsai-WeiPatterning of Dense Arrays for MRAM Applications
Wu, W.Investigation of Plasmonic Enhancement of Molecular Fluorescence Using Collapsible Nano-Fingers
Optimized Filamentary RRAM for Neuromorphic Hardware
Non-linear Optical Metamaterials over Multiple Wavelength Ranges for Ultrafast and Secure Communication
Wu, WeiSub-nanometer gap fabrication using transfer printing by flexible Polydimethylsiloxane (PDMS) substrates
Effects of Crystallinity of Switching Layer Material on Memristive Device
Nanogap Electrochemical Cell for Methanol-Contained Ethanol Solution Detection
Wu, XiaojianPhoto-actuated Pens for Molecular Printing
Wyrick, JonScanning Tunneling Microscope Fabrication of Atomically Precise Devices

X

Xia, DeyingRapid failure analysis for metal connection using voltage contrast images in helium ion microscopy
Xia, Q.Diffusive memristor based artificial synapses and neurons
Analog and Neuromorphic Computing with Memristor Arrays
Xiao, Y.Using FIB/SEMs to Investigate Biological Samples
Xie, C.High Sensitivity Optical Biosensor based on silicon dimer arrays
Polarization Independent Perfect Reflection metasurface via Mie resonances in Dielectric Nanoclusters
Xie, ChandingHigh-temperature development of thick hydrogen silsesquioxane resist for fabricating dense and high aspect ratio nanostructures
Xie, KangjunIrradiation Synthesis of Nanostructured FeSn2-carbon Nanofiber Composites as Highly Stable Anodes for Super-capacitor
Xie, S.Increasing nanogroove height enhances neuron outgrowth alignment
Xin, H.Diffusive memristor based artificial synapses and neurons
Xing, YaruActive-matrix driven digital microfluidic system built on printed circuit boards
Xu, H.Novel nanoparticle photoresists development for EUV lithography
Progress in Metal Organic Cluster EUV Photoresists
Xu, JunFocused He+ Ion Beam Induced Implantation and Damage in Si - A Preliminary Study
Xu, N. S.Double-Side Masking and Stress-Released Etching for Fabrication of High Aspect Ratio Graphene Micro-Cantilever

Y

Y.Chou, S.Ultrasensitive Ebola virus antigen detection via a nanoantenna-array biosensing platform
Yadav, S.A Nanofabricated Enzyme Biosensor
Yamada, K.Fabrication of high aspect ratio Si nanowires by metal-assisted chemical imprint
Yamanaka, D.Preparation of Ga-terminated NEA-GaAs (100) surface by HCl-isopropanol treatment for nano-analysis by STM
Yamashita, HMulti-beam mask writer MBM-1000 for advanced mask making
Yan, P.Diffusive memristor based artificial synapses and neurons
Yan, XiaodongEffects of Crystallinity of Switching Layer Material on Memristive Device
Yang, AibingRapid thermal nanoimprint through induction heating of nickel mold
Yang, E. H.All-Solid-State Stretchable Supercapacitors Using Polypyrrole-dodecylbenzenesulfonate (PPy(DBS))-CNT Hybrid Partially Embedded in PDMS
A Stretching/Bending-Insensitive Flexible Pressure Sensor with Carbon Nanotube-PDMS
Yang, FanPlasmonic Lithography Utilizing Epsilon Near Zero Hyperbolic Metamaterial
Yang, H.Investigation of Plasmonic Enhancement of Molecular Fluorescence Using Collapsible Nano-Fingers
Sub-nanometer gap fabrication using transfer printing by flexible Polydimethylsiloxane (PDMS) substrates
Yang, HaoEffects of Crystallinity of Switching Layer Material on Memristive Device
Yang, J. J.Diffusive memristor based artificial synapses and neurons
Analog and Neuromorphic Computing with Memristor Arrays
Yang, J.Synthesis and supercapacitor performance of polyanilinenitrogen-doped ordered mesoporous carbon composites
Yang, JunIrradiation Synthesis of Nanostructured FeSn2-carbon Nanofiber Composites as Highly Stable Anodes for Super-capacitor
Yang, M.Asymmetrical Three Dimensional Plasmonic Nanostructures with Multiple Resonance Modes
Yang, R.Fabrication of Hollow Silicon Microneedle Arrays for Transdermal Biological Fluid Extraction
Yang, YuchaoIon Gated Synaptic Transistors Based on Two-dimensional van der Waals Crystals with Tunable Diffusive Dynamics
Yang, ZongyaoEnhancing the optical activity of chiral metasurface by a transmitted Electron Beam Lithography
Yao, Y.Investigation of Plasmonic Enhancement of Molecular Fluorescence Using Collapsible Nano-Fingers
Yasuda, K. Watanabe,.Study on resist profile estimation due to shrinkage and mold profile correction in nanoimprint lithography
Yasuda, M.Stochastic simulation of pattern formation in electron beam lithography
Fabrication of Self-standing Thin Polystyrene Films with Through Holes by use of Casting Process
Ye, M.Continuous Achromatic Flat Subwavelength Grating Lens over whole Visible Bandwidths
Yi, Y. S.Continuous Achromatic Flat Subwavelength Grating Lens over whole Visible Bandwidths
Yoo, Sung TaeFabrication of high performance far ultraviolet(UV) light sources with carbon nanotube cold cathode pumping
Yoon, J. H.Diffusive memristor based artificial synapses and neurons
Young, Michael P.Statistical Comparison of Field Distortion Correction by Z-Stage Movement vs Height-Correction Hardware in a Modern EBL Tool
Yu, TiaoqinIrradiation Synthesis of Nanostructured FeSn2-carbon Nanofiber Composites as Highly Stable Anodes for Super-capacitor
Yu, Yi YnAnode effect of carbon nanotube cold cathode electron beam (C-beam) for high resolution x-ray tube
Yu, Z.Detrimental Nanoscale Gas Defects in Manufacturing- Nanoimprint Processes
Chromium hard mask patterning of sub-20 nm films for single-digit nanofabrication
Yu, ZhenmingDesign and Optimization of High-throughput Cell Pairing Chip for Cell Fusions
Yuan, ChangshengRapid thermal nanoimprint through induction heating of nickel mold
Yuan, J.Tip-Based Fabrication of Single-Layer MoS2 Nanoribbon Transistors with 30-nm Channel Width

Z

Zajadacz, J.Electrically-assisted nanoimprint of block-copolymers
Zalalutdinov, M. K.Acoustic Waves Coupled to Quantum Dots in Nanomechanical Structures
Zang, F.Ultrasensitive Ebola virus antigen detection via a nanoantenna-array biosensing platform
Zehnder, A.The Collective Behavior of Large Ensembles of Coupled MEMS Cantilevers with Varying Natural Frequencies
Zeiss, CarlGFIS Technology and Applications
Zeng, M. X.Double-Side Masking and Stress-Released Etching for Fabrication of High Aspect Ratio Graphene Micro-Cantilever
Zenteno, A. K. JiménezA 3D microdevice for the in vivo trapping of cancer-associated circulating cells.
Renewable nanoparticles as additives for 3D printed hydrogels
Native and Surface-Modified Nanocellulosic Materials for 2.5D and 3D Fabrication
Zhan, R. Z.Double-Side Masking and Stress-Released Etching for Fabrication of High Aspect Ratio Graphene Micro-Cantilever
Zhang, C.Femtosecond Pulse Shaping Using Metasurfaces
Selective electroless metallization of micro- and nanopatterns for flexible electronic application through imprint-transfer of palladium nanoparticles
Ion Migration Studies in 2D Molybdenum Trioxide Thin Flake through Ionic Liquid Gating
Solution-processed flexible plasmonic nanodisk arrays for biomolecular detection
Zhang, ChengPlasmonic Roller Lithography
Ionic Liquid and Amorphous Metal-Oxide Semiconductor Interactions: Towards a New Programmable Neuromorphic Platform
Plasmonic Lithography Utilizing Epsilon Near Zero Hyperbolic Metamaterial
Zhang, CuipingFlexible optoelectronic devices with metallic nanofiber transparent electrodes
Zhang, H.Fabrication of Hollow Silicon Microneedle Arrays for Transdermal Biological Fluid Extraction
Zhang, HangDecrease nanoimprint lift-off force by poly(ethylene glycol) doping
Zhang, J.Diffusive memristor based artificial synapses and neurons
Analog and Neuromorphic Computing with Memristor Arrays
Zhang, L.Surface Roughness Improvement in EUV Mask Materials
Zhang, M.Selective electroless metallization of micro- and nanopatterns for flexible electronic application through imprint-transfer of palladium nanoparticles
Zhang, ManmanIrradiation Synthesis of Nanostructured FeSn2-carbon Nanofiber Composites as Highly Stable Anodes for Super-capacitor
Zhang, R.Optimization of Inverse Opal Structures for Application as Stable Field Emitters
Zhang, RunzhiAll-Solid-State Stretchable Supercapacitors Using Polypyrrole-dodecylbenzenesulfonate (PPy(DBS))-CNT Hybrid Partially Embedded in PDMS
A Stretching/Bending-Insensitive Flexible Pressure Sensor with Carbon Nanotube-PDMS
Zhang, SichaoEnhancing the optical activity of chiral metasurface by a transmitted Electron Beam Lithography
Zhang, W. G.Tumor Cell Traversing Behavior in Three-Dimensional Platform with Porous Topography
Zhang, W.Effects of Dimensions, Topography, and Layers for Nasopharyngeal Carcinoma Cell Migration on Three-Dimensional Scaffold Platform
Zhang, X.Cold-Blooded Circuits: Transient Electronics that Require Constant Heat Input to Prevent Dissolution
Systematic Study of Roll-to-Roll Colloidal 3D Nanolithography System and Its Applications
Zhang, Xu A.Photo-actuated Pens for Molecular Printing
Zhao, L.Radiation synthesis of 2-aminomethyl pyridine functionalized adsorbent and its application for perrhenate removal
Zhao, LongIrradiation Synthesis of Nanostructured FeSn2-carbon Nanofiber Composites as Highly Stable Anodes for Super-capacitor
Zheng, XiaoruiThermal and ThermoChemical Scanning Probe Lithographyfor mask-less and marker-less patterning of electronic materials
Zhitenev, N.Principles and Practice of Electron Beam Induced Current Microscopy of Resistive Nanodevices
Zhou, ChenkunTwo-Dimensional Organic-Inorganic Metal Halide Perovskites: Structures, Properties, and Applications
Zhou, L.Ultrasensitive Ebola virus antigen detection via a nanoantenna-array biosensing platform
Zhou, Y.High Sensitivity Magnetometers and Gradiometers Based on Nano-Josephson Junction SQUIDs
Using FIB/SEMs to Investigate Biological Samples
Zhu, D.A multiplexed intracellular probing (IP) nano-chip for interrogation of myo-fibroblasts and cardiomyocytes gene in cardiac fibrosis
Wearable flexible nano-transfection device for on-skin gene editing with CRISPR-Cas9
Zhu, JiadiIon Gated Synaptic Transistors Based on Two-dimensional van der Waals Crystals with Tunable Diffusive Dynamics
Zhu, RuiFocused He+ Ion Beam Induced Implantation and Damage in Si - A Preliminary Study
Zhu, S.Asymmetrical Three Dimensional Plasmonic Nanostructures with Multiple Resonance Modes
Zhu, W.Femtosecond Pulse Shaping Using Metasurfaces
Zhu, WeinanIntriguing Photoelectricity from 2D Bismuth Grown Directly on Si substrates
Zhu, X.Fabrication of 2D MoS2 Memristors with Analog and Discrete Memory States
Zhu, XiaoliHigh-temperature development of thick hydrogen silsesquioxane resist for fabricating dense and high aspect ratio nanostructures
Zhu, Z.Surfaces with Deterministically Fabricated Gradient Nanostructures for Spatially Varying Wettability
Zhuang, XinFlexible Nanoimprint Template from Amorphous Metals
Zhuo, Y.Diffusive memristor based artificial synapses and neurons
Zientek, M.Performance of unique 3D devices fabricated using thermal Scanning Probe Lithography
Zimmer, K.Electrically-assisted nanoimprint of block-copolymers
Zimmerman, Neil M.Hole density and mobility measurements of two-dimensional hole gasses (2DHG) due to aluminum delta layers in silicon
Zuidema, W.Imaging with a 196 beam SEM
Zwolak, MichaelA Cleaner Approach to Ion Milling

EIPBN Abstracts