EIPBN

EIPBN 2018 Table of Contents


Section Abstract and Authors
Plenary 1 What’s Next in Information Technology?, Heike Riel, IBM Fellow, Director IoT Technology & Solutions, IBM T.J. Watson Research Center
Plenary 2 Engineering Microsystems and Biointerfaces for Quantitative Mechanobiology, Beth L. Pruitt, Deparments of Bioengineering and Mechanical Engineering and, by courtesy, Molecular and Cellular Physiology at Stanford
Plenary 3 Nanotechnology, MEMS, Microfluidics for Health 4.0 Hypermobility, Ali Tinazli, Head of Healthcare & Life Sciences Strategy, HP Inc.
Short CourseScanning Probes in Nanostructure Fabrication, Ivo W. Rangelow, Institute of Micro- and Nanoelectronics, Ilmenau University of Technology
Short CourseThe Dawn of Superconducting Quantum Processors, Irfan Siddiqi, University of California at Berkley, Lawrence Berkeley National Laboratory
Short CourseElectron Beam Lithography, Don Tennant, Cornell NanoScale Science and Technology Facility (CNF)
Short CourseTechnology and Recent Improvements of LMIS for FIB Patterning and FIB Nanofabrication, Jacques Gierak, Centre de Nanosciences et de Nanotechnologies and Sven Bauerdick, Raith Gmb
Short CourseCold Ion Source Technologies: History and Outlook, Adam Steele, zeroK NanoTech Corporation and Anne Delobbe, Tescan-Orsay Holdings
Short CourseGFIS Technology and Applications, John Notte, Carl Zeiss
1A-1 (Invited)
Scanning Probe Lithography I
Thermal and ThermoChemical Scanning Probe Lithographyfor mask-less and marker-less patterning of electronic materials, Xiaorui Zheng, NYU and CUNY ASRC, Annalisa Calò, NYU and CUNY ASRC, Edoardo Albisetti, NYU and CUNY ASRC, Elisa Riedo, NYU and CUNY ASRC
1A-2
Scanning Probe Lithography I
Tip-Based Fabrication of Single-Layer MoS2 Nanoribbon Transistors with 30-nm Channel Width, S. Chen, S. Kim, R. Bashir, A.M. van der Zande, W.P. King, W. Chen, J. Yuan, J. Lou, University of Illinois at Urbana-Champaign, Rice University
1A-3
Scanning Probe Lithography I
High-throughput process chain for SET devices based on FE-SPL and SmartNIL (TM) technology, C. Lenk, Y. Krivoshapkina, M. Hofmann, S. Lenk, T. Ivanov, I. W. Rangelow, A. Ahmad1, A. Reum1, M. Holz1, B.T. Chan2 Z. el Otell2, J.-F. de Marneffe2, M. Eibelhuber3, D. Treiblmayr3, B. Schamberger3, M. Chouiki3, T. Glinsner3, TU Ilmenau, 1Nanoanalytik GmbH, 2IMEC Belgium, 3EV Group
1A-4
Scanning Probe Lithography I
Sharp GaN Nanowires on the Si-Tip of active cantilevers for fast-AFM and Scanning Probe Lithography Applications, M. Behzadirad, A. K. Rishinaramangalam, D. Feezell, T. Busani, T. Ivanov, A. Ahmad, C. Lenk, M. Hofmann, S. Lenk, I. W. Rangelow, A. Reum1, C. Reuter1, M. Holz1, University of New Mexico, Technische Universität Ilmenau, 1Nanoanalytik GmbH
1A-5 (Invited)
Scanning Probe Lithography I
Tip-based Nano-Manufacturing and -Metrology, T. Gotszalk, Wroclaw University of Science and Technology, T. Fröhlich, R. Fuessl, E. Manske, TU Ilmenau, I.W.Rangelow, TU Ilmenau, Gustav-Kirchhoff-Str.
1B-1 (Invited)
E Beam Lithography
Beam Exposure Strategies matter – System Complexity and Application Space Trade-offs, Ulrich Hofmann, Donald M. Tennant, Timothy R. Groves
1B-2
E Beam Lithography
>Electron-beam patterning organic ice resists, A. Elsukova, W. Tiddi, A. Han, M. Beleggia DTU Danchip/Cen, Technical University of Denmark, 2800 Kongens Lyngby, Denmark
1B-3
E Beam Lithography
Enhancing the optical activity of chiral metasurface by a transmitted Electron Beam Lithography, Bing-Rui Lu, Zongyao Yang, Jianan Deng, Sichao Zhang, Yifang Chen, Nanolithography and Application Research Group, State key lab of Asic and System, School of Information Science and Engineering, Fudan University
1B-4
E Beam Lithography
On the Trends and Application of Pattern Density Dependent Isofocal Dose of Positive Resists for 100keV Electron Beam Lithography, G. Lopez, G. de Villafranca, M. Azadi, M. Metzler, K. Lister, N. Belic1, U. Hofmann1, University of Pennsylvania, University of Delaware, 1GenISys
1B-5 (Invited)
E Beam Lithography
Electron Beam Lithography in a new nano world, Leonidas E. Ocola, IBM
1C-1 (Invited)
Materials for Advanced Patterning
Materials Innovation to Address the Challenges of Advanced Implant Lithography, J. Cameron, Dow Electronic Materials
1C-2
Materials for Advanced Patterning
Atlas 46 – novel negative tone photoresist which combines the good properties of the established SU-8 and CAR 44, Christian Kaiser,1 Tobias Mai1, Matthias Schirmer1, Maik Gerngroß1,Georg Schmidt2,3, Katrin Lehmann2, Frank Syrowatka3, 1ALLRESIST GmbH, Institut für Physik, Martin-Luther Universität Halle-Wittenberg, 2Interdisziplinäres Zentrum für Materialwissenschaften, 3Martin-Luther Universität Halle-Wittenberg
1C-3
Materials for Advanced Patterning
Influence of TMAH development on niobium nitride films, E. Toomey, M. Colangelo, N. Abedzadeh, K. K. Berggren, Massachusetts Institute of Technology
1C-4
Materials for Advanced Patterning
Novel nanoparticle photoresists development for EUV lithography, K. Sakai* H. Xu, V. Kosma, E. P. Giannelis, C. K. Ober *JSR Corp.
1C-5 (Invited)
Materials for Advanced Patterning
Characterization of EUV resist performance: introducing new metrics, Geert Vandenberghe, Danilo De Simone, IMEC
2A-1 (Invited)
Atomically Precise Nanofabrication
Single Atom Scale Manipulation of Matter by Scanning Transmission Electron Microscopy, S. Jesse, O. Dyck, S. Kim, X. Li, S.V. Kalinin, Center for Nanophase Materials Sciences, Oak Ridge National Laboratory
2A-2
Atomically Precise Nanofabrication
Lithography for Robust, Editable Atomic-scale Silicon Devices, Taleana R. Huff, Roshan Achal, Mohammad Rashidi, Mark H. Salomons, Martin Cloutier, Lucian Livadaru1, Thomas Dienel, Jason Pitters, Robert A. Wolkow, University of Alberta, National Research Council of Canada, 1Quantum Silicon Inc.
2A-3
Atomically Precise Nanofabrication
Single-Dopant Arrays using Tip-assisted Incorporation Process, J. H. G. Owen, J. Ballard, E. Fuchs, J. N. Randall, J. R. Von Ehr, Zyvex Labs
2A-4
Atomically Precise Nanofabrication
Self-tuning PI Control for STM Tip Protection, F. Tajaddodianfar, S.O.R. Moheimani, J. Owen1, J. Ballard1, E. Fuschs1, J. N. Randall1, The University of Texas at Dallas, 1Zyvex Labs llc
2A-5
Atomically Precise Nanofabrication
Fluorocarbon-based Atomic Layer Etching of Silicon Dioxide in Conventional Plasma Tools, Stefano Dallorto*,1,2, Andy Goodyear2, Mike Cooke2, Scott Dhuey*, Adam Schwartzberg*, Craig Ward2, Ivo W. Rangelow1, Stefano Cabrini*, *Molecular Foundry - LBNL, 1Ilmenau University of Technology, 2Oxford Instruments
2A-6
Atomically Precise Nanofabrication
The Challenge of Contamination in Atomically Precise Manipulation and Processing of Graphene and 2D Materials, J. L. Swett, J. A. Mol, D. A. Cullen*, University of Oxford, *Oak Ridge National Laboratory
2B-1 (Invited)
Imaging and Characterization
Assessing Electron-Optical Uniformity in Multi-electron Beam Arrays, B. Thiel, M. Mukhtar, SUNY Polytechnic Institute
2B-2
Imaging and Characterization
In-situ femtosecond pulsed laser ablation for large volume 3D analysis in scanning electron microscope systems, S. J. Randolph, J. Filevich, A. Botman, R. Gannon, C. Rue, M. Straw, M. P. Echlin*, Thermo Fisher Scientific, *University of California Santa Barba
2B-3
Imaging and Characterization
Imaging with a 196 beam SEM, W. Zuidema, S. Rahangdale, P. Keijzer, J.P. Hoogenboom, P. Kruit, Delft University of Technology
2B-4
Imaging and Characterization
Energy-momentum spectroscopy by wavelength- and angularly-resolved Cathodoluminescence, M. Bertilson, D.J Stowe, T Worsley, T. Franklin, L. Muray, M. Hsueh, J.A Hunt, Gatan Inc
2B-5
Imaging and Characterization
Towards SEM / broad ion-beam serial-sectioning of large scale biological tissues, T. Hosman, S. Coyle, C. Spence, M. Hassel-Shearer, C. Booth, J.A Hunt, Gatan Inc.
2B-6
Imaging and Characterization
Principles and Practice of Electron Beam Induced Current Microscopy of Resistive Nanodevices, B.D. Hoskins, G.C. Adam1, E. Strelcov2, A. Kolmakov, N. Zhitenev, D.B. Strukov3, J.J. McClelland, NIST, 1IMT Bucharest, 2University of Maryland, 3University of California
2C-1 (Invited)
NEMS / MEMS
Integrated Photonic and Plasmonic Signal Transduction for Micro- and Nanomechanical Sensing, Vladimir A. Aksyuk, National Institute of Standards and Technology
2C-2
NEMS / MEMS
Fabrication of freestanding initial patterns for polymer actuated 3D micro-origami structures, A. Hiess, A. Jahn, F. Winkler, R. Kirchner, V.A. Guzenko*, D. Kazazis*, Technische Universität Dresden (Germany), *Paul Scherrer Institute (Switzerland)
2C-3
NEMS / MEMS
<Acoustic Waves Coupled to Quantum Dots in Nanomechanical Structures, M. K. Zalalutdinov, D. M. Photiadis, S. G. Carter, A. S. Bracker, C. S. Kim, M. Kim*, D. Gammon, and B. H. Houston, Naval Research Laboratory, *KeyW Corporation
2C-4
NEMS / MEMS
3D Microfabricated scaffolds for the investigation of the mechanical forces exerted by living cells during migration, E. Desvignes, M. Badi1, A. Bouissou2, J. Moran-Mirabal1, R. Poincloux2, Maridonneau-Parini2, C. Thibault, C. Vieu, LAAS-CNRS, Université de Toulouse,France, 1McMaster University,Canada, 2Université de Toulouse, France
2C-5
NEMS / MEMS
DLW-MEMS Integration for Dynamic 3D Microstructures, Rachael K. Jayne, Jeremy B. Reeves, Thomas J. Stark, David J. Bishop, Alice E. White, Boston University
2C-6
NEMS / MEMS
Optoelectronic neural probes with passive light switching optical circuits: light control in deep brain tissue, V. Lanzio, A. Koshelev*, P. Micheletti, G. Presti, E. D'Arpa, M. West, S. Dhuey, S. Cabrini, Molecular Foundry (Lawrence Berkeley National Laboratory), *Abeam Technologies
3A-1 (Invited)
Nanophotonics I
Optoplasmonic Sensors, Frank Vollmer, University of Exeter, U.K.
3A-2
Nanophotonics I
Investigation of Plasmonic Enhancement of Molecular Fluorescence Using Collapsible Nano-Fingers, B. Song, Z. Liu, Y. Wang, Y. Yao, F. Liu, Y. Li, H. Yang, D. Meng, B. Chen, P. Hu, T. Ou, S. Cronin, W. Wu, A. Schwartzberg*, S. Cabrini*, University of Southern California, *Lawrence Berkeley National Laboratory
3A-3
Nanophotonics I
Ultrathin Metasurfaces Based on Dielectric Nanoresonators for Visible Light, Haogang Cai, David A. Czaplewski, Alex B. Martinson, Daniel López, Argonne National Laboratory
3A-4
Nanophotonics I
Large area growth of transition metal dichalcogenides for photonics and optoelectronics, A. M. Schwartzberg, C. Chen, C. Kastl, S. Aloni, S. Cabrini, A. W. Bargioni, The Molecular Foundry, Lawrence Berkeley National Labs
3A-5
Nanophotonics I
On-chip integrated silicon photonic thermometers with sub-10 uK temperature resolution, Nikolai N. Klimov, Tobias Herman, Kevin O. Douglass, Michal J. Chojnacky, Zeeshan Ahmed, National Institute of Standards and Technology
3B-1 (Invited)
Advanced Pattern Transfer
A Cleaner Approach to Ion Milling, Michael Zwolak, B. Robert Ilic, J. Alexander Liddle, Center for Nanoscale Science and Technology, National Institute of Standards
3B-2
Advanced Pattern Transfer
Towards 5-nm-Focus-Size Fresnel Zone Plates for Hard X-rays, N. Moldovan, J. Logan, C. Oldham*, R. Divan**, Alcorix Co., *VaporPulse Technologies, Inc., **Center of Nanoscale Materials, Argonne National Laboratory
3B-3
Advanced Pattern Transfer
Moth-eye antireflection nano-structure on glass for CubeSats, Yaoze Liu, Mohammad Soltani, Bo Cui, Hugh Podmore*, Regina Lee*, University of Waterloo, *York University
3B-4
Advanced Pattern Transfer
High-temperature development of thick hydrogen silsesquioxane resist for fabricating dense and high aspect ratio nanostructures, Xiaoli Zhu, Lintao Liu, Jiebin Niu, Chanding Xie, Peixiong Shi*, Flemming Jensen*, Jörg Hübner*, Anpan Han*, Institute of Microelectronics of Chinese Academy of Sciences,*Technical University of Denmark
3B-5
Advanced Pattern Transfer
Surfaces with Deterministically Fabricated Gradient Nanostructures for Spatially Varying Wettability, S.Min*,**, S.Li*, Z.Zhu*, C.Liang*, X.Cheng**, W.Li*, *The University of Hong Kong, **Southern University of Science and Technology
3C-1 (Invited)
Advanced Ion Beam I
Source Shot Noise Mitigation in Scanned Beam Microscopy, M. Peng, J. Murray-Bruce, K. K. Berggren*, V. K. Goyal, Boston University, *Massachusetts Institute of Technology, Boston University
3C-2
Advanced Ion Beam I
FIB alternative patterning schemes and non-classical Liquid Metal Ion Sources, J. Gierak, L. Bruchhaus*, P. Mazarov*, R. Jede*, L. Bischoff**, CNRS - Université Paris-Sud, *Raith GmbH, **Institute of Ion Beam Physics and Materials Research
3C-3
Advanced Ion Beam I
Lateral Resolution Images on the BAM-L200 Standard from NanoFab with SIMS, Fouzia Khanom, Brett Lewis, and John Notte, Carl Zeiss Microscopy
3C-4
Advanced Ion Beam I
Rapid failure analysis for metal connection using voltage contrast images in helium ion microscopy, Deying Xia, Shawn McVey, Wilhelm Kuehn, Carl Zeiss Microscopy
3C-5
Advanced Ion Beam I
Nanoscale Chemical Phenomena using HIM-SIMS, Alex Belianinov, Songkil Kim, Matthew J. Burch
4A-1 (Invited)
Nanophotonics II
Revisiting the Photon-Drag Effect in Thin Metal Films, J..H. Strait, G. Holland, B. R. Ilic, A. Agrawal*, D. Pacifici1, H.J. Lezec, NIST, *NIST and University of Maryland, 1NIST and Brown University
4A-2
Nanophotonics II
Evaluation of processing characteristics of anisotropic aluminum-assisted chemical vapor etching, R. Kometani1,2, M. Okuno2, S. Warisawa1,2, 1Graduate School of Frontier Sciences, The University of Tokyo, 2Graduate School of Engineering, The University of Tokyo
4A-3
Nanophotonics II
Directed assembly of perovskite nanocrystals on topographically and chemically patterned surfaces, Erika Penzo, Matthew Jurow, Alexander Weber-Bargioni, and Stefano Cabrini, The Molecular Foundry — Lawrence Berkeley National Laboratory
4A-4
Nanophotonics II
Femtosecond Pulse Shaping Using Metasurfaces, W. Zhu, S. Divitt, C. Zhang, H. J. Lezec, Amit Agrawal, National Institute of Standards and Technology
4A-5
Nanophotonics II
Asymmetrical Three Dimensional Plasmonic Nanostructures with Multiple Resonance Modes, S. Zhu, H. Li, M. Yang, S. W. Pang, City University of Hong Kong
4B-1 (Invited)
Nanoimprint Lithography I
Nano Imprint Lithography for Next Generation Devices, T.Higashiki, Toshiba Memory Corporation
4B-2
Nanoimprint Lithography I
Electrically-assisted nanoimprint of block-copolymers, A. Mayer, W. Ai, J. Rond, J. Stabs, C. Steinberg, M. Papenheim, H.-C. Scheer, M. Torman * 1, A. Cian*, J. Zajadacz2, K. Zimmer2, University of Wuppertal, *Thundernil, 1IOM-CNR, 2Leibniz-Institute of Surface Modification
4B-3
Nanoimprint Lithography I
Amphiphobic nanoimprinted surfaces showing reversible contact angle modification in electrowetting, N. Kehagias1, Z. Lamprakou2, M. Guttman3, A. Fernandez1,4, A. Francone1, N. T. Chamakos, C. M. Sotomayor Torres1,5, A. Papathanasiou2, 1Catalan Institute of Nanoscience and Nanotechnology, 2National Technical University of Athens, 3Karlsruhe Institute of Technology, 4Iberian National Laboratory, 5ICREA, Institució Catalana de Recerca i Estudis Avançats
4B-4
Nanoimprint Lithography I
Detrimental Nanoscale Gas Defects in Manufacturing- Nanoimprint Processes, D. Li, X. Han, Z. Yu*, X. Liang, University of Michigan, *Seagate Technology PLC
4B-5 (Invited)
Nanoimprint Lithography I
Recent development of nanoimprint and nanoreplication and applications, L. Jay Guo, The University of Michigan
4C-1 (Invited)
Advanced Ion Beam II
Damage formation in 2D materials due to slow ion irradiation, F. Aumayr, TU Wien
4C-2
Advanced Ion Beam II
FIB based Sketch & Peel with various Ion Species for Fast and Precise Patterning of Large Structures, A. Nadzeyka, S. Bauerdick, M. Kahl, H. Duan*, Y. Chen*, K. Bi*, Raith GmbH, *Hunan University
4C-3
Advanced Ion Beam II
Beam induced deposition of tungsten nanopillars using focused helium and neon ions, Frances I. Allen, UC Berkeley
4C-4
Advanced Ion Beam II
Scanning Transmission Helium Ion Microscopy on 1nm Thick Carbon Nanomembranes, D. Emmrich, A. Wolff*, A. Beyer, A. Gölzhäuser, Bielefeld University, *Queensland University of Technology
4C-5 (Invited)
Advanced Ion Beam II
Investigating Focused Ion Beam Processing With Simulations: Etching and Deposition with a Precursor Gas, K. Mahady, S. Tan1, Y. Greenzweig1, A. Raveh1, P. Rack, University of Tennessee, 1Intel Corp.
5A-1 (Invited)
Quantum Electronics
The Challenge of Gregarious Qubits, Irfan Siddiqi, Lawrence Berkely National Laboratory
5A-2
Quantum Electronics
Hole density and mobility measurements of two-dimensional hole gasses (2DHG) due to aluminum delta layers in silicon, J.M. Pomeroy*, C.A. Richter*, J.A. Hagmann*, Neil M. Zimmerman*, M.D. Stewart*, Jr., H.-S. Kim1, A.N. Ramanayaka1, K. Tang1 and R. Murray1, *National Institute of Standards and Technology, 1University of Maryland
5A-3
Quantum Electronics
Fabrication of High Inductance Nano Coils with a Neon Focused Ion Beam, S. Wood, M. Hunt*, O. Painter*, Temple University, *California Institute of Technology
5A-4 (Invited)
Quantum Electronics
Device Scale 2D Magnetothermal Transport from Maskless Direct-write Lithography, Stephen M. Wu, University of Rochester
5A-5 (Invited)
Quantum Electronics
Quantum piezoacoustics: From low-dimensional electrons to qubits, J. Pollanen, Michigan State University, Laboratory for Hybrid Quantum Systems
5B-1 (Invited)
Nanoimprint Lithography II
NanoTechnology within a consumer product held in the palm of your hand, S. Vo, LEIA Inc.
5B-2
Nanoimprint Lithography II
Flexible optoelectronic devices with metallic nanofiber transparent electrodes, Cuiping Zhang, Jingxuan Cai, and Wen-Di Li, The University of Hong Kong
5B-3
Nanoimprint Lithography II
Plasmonic-enhanced Photo-catalysis using Collapsible Nano-fingers, Y. Wang, B. Song, H. Shi, S. B. Cornin, W. Wei, University Of Southern California
5B-4 (Invited)
Nanoimprint Lithography II
Electrochemical nanoimprinting of silicon: A direct patterning approach, Aliaksandr Sharstnioua, Stanislau Niauzoraua, Bruno Azeredoa, Arizona State University
5B-5 (Invited)
Nanoimprint Lithography II
Single-nanometer accurate 3D nanoimprint lithography with master templates fabricated by NanoFrazor lithography, T. S. Kulmala, C. D. Rawlings, M. Spieser, T. Glinsner1, A. Schleunitz2, F. Bullerjahn2, F. Holzner, SwissLitho AG,1 EV Group E. Thallner GmbH2, Micro Resist Technology GmbH
5C-1 (Invited)
Advanced Ion Beam III
Applications of a Cold-Atom Lithium Focused Ion Beam, J. J. McClelland, W. R. McGehee, E. Strelcov*, J. R. Gardner, V. P. Oleshko1, C. L. Soles1, Center for Nanoscale Science and Technology, NIST, *Institute for Research in Electronics and Applied Physics, University of Maryland, College Park, 1Material Measurement Laboratory, NIST
5C-2
Advanced Ion Beam III
Focused Ion Beam System Employing a Low Temperature Ion Source, A.V. Steele, B. Knuffman, A. Schwarzkopf, J.J. McClelland*, zeroK NanoTech, *NIST
5C-3
Advanced Ion Beam III
Helium Ion Microscope (HIM) assisted atomic re-design makes brittle aluminium oxide plastic, A. Wolff1, M.Aramesh1234,Y. Mayame4 K. Ostrikov1 1Queensland University of Technology, 2Commonwealth Scientific and Industrial Research Organization, 3ETH Zuerich, 4University of Science and Technology, Republic of Korea
5C-4 (Invited)
Advanced Ion Beam III
Fundamental focus beam-solid interactions and applications for rapid prototyping, M.G. Stanford*, B.B Lewis*, P.R. Pudasaini*, J.D. Fowlkes*,1, P.D. Rack*,1, *The University of Tennessee, 1The Center for Nanophase Material Science, Oak Ridge National Laboratory
5C-5 (Invited)
Advanced Ion Beam III
Electron and Ion Beam Induced Deposition of Nanosuperconductors and Nanomagnets, R. Córdoba1,2,3 and J. M. De Teresa1, 2, 1Universidad Autónoma de Madrid, 2Universidad de Zaragoza, 3Universidad de Zaragoza
6A-1 (Invited)
Novel 2D materials
Two-Dimensional Organic-Inorganic Metal Halide Perovskites: Structures, Properties, and Applications, Biwu Ma, Yu Tian, Chenkun Zhou, Haoran Lin, Florida State University
6A-2
Novel 2D materials
Rubbing-Induced Site-Selective Growth (RISS) of MoS2 Device Patterns, B. Ryu, D. Li, Ch. Park, H. Rokni, W. Lu, X. Liang, University of Michigan
6A-3
Novel 2D materials
Intriguing Photoelectricity from 2D Bismuth Grown Directly on Si substrates, Li Tao, Southeast University, Nanjing, China, Weinan Zhu, Emily Walker,S. Bank, D. Akinwande, UT Austin
6A-4
Novel 2D materials
Seamless and Scalable Nanofabrication of Silicene Field-Effect Transistors with Prolonged Lifetime, Li Tao1,2, Jiayi Chen1, Hasibul Alam2, Alessandro Molle3, Deji Akinwande2,1Southeast University, 2The University of Texas at Austin, 3IMM-CNR
6A-5
Novel 2D materials
Fabrication of 2D MoS2 Memristors with Analog and Discrete Memory States, D. Li, J. Wang, B, Ryu, X. Zhu, W. Lu, X. Liang, University of Michigan
6B-1 (Invited)
Scanning Probe Lithography II
High Throughput SPM for Nanopatterning and Nanometrology, Hamed Sadeghian*, Rodolf Herfst, Klara Maturova, Abbas Mohtashami, Violeta Navarro, Maarten van Es, Daniele Piras, Department of Optomechatronics, TNO, Delft, The Netherlands, *Eindhoven University of Technology, Eindhoven, The Netherlands
6B-2
Scanning Probe Lithography II
Analytic and numeric model for field-emission scanning probe lithography, S. Lenk, C. Lenk, I. W. Rangelow, TU Ilmenau
6B-3
Scanning Probe Lithography II
Highly Parallel Scanning Probe Lithography, John N. Randall, Joshua B. Ballard, Ehud Fuchs, James H.G. Owen, Joseph Lake, Zyvex Labs
6B-4
Scanning Probe Lithography II
Performance of unique 3D devices fabricated using thermal Scanning Probe Lithography, A. W. Knoll1, C. Schwemmer1, C. Rawlings1, Y. K. Ryu Cho1, M. Skaug1, S. Fringes1, M. Zientek1, D. Urbonas1, T. Stoeferle1, R. Mahrt1, M. Spieser2, Y. Lisunova3, J. Brugger3, 1IBM Research – Zurich, 2SwissLitho AG, 3EPFL
6B-5
Scanning Probe Lithography II
Plasmonic Roller Lithography, Xi Chen, Sungho Lee, Qiaochu Li, Cheng Zhang and L. Jay Guo, The University of Michigan
6C-1 (Invited)
Biomedical Devices I
Organs on Chip approach for evaluating drug-induced modulation of the immune response, L. Businaro1, A. De Ninno1, F. R. Bertani, F. Mattei2, V. Lucarini2, G. Schiavoni2, S. Parlato2, L. Gabriele2, R. Molfett3, E. Martinelli 4, A. Mencattini 4, A. Rainer5, S. Giannitelli5 and A. Gerardino1, 1CNR-Institute for Photonics and Nanotechnologies, 2Istituto Superiore di Sanità, 3Department of Molecular Medicine “Sapienza” University of Rome, 4Dept. Electronic Engineering, University of Rome Tor Vergata, 5Università Campus Bio-Medico di Roma
6C-2
Biomedical Devices I
A 3D microdevice for the in vivo trapping of cancer-associated circulating cells., A. K. Jiménez Zenteno, A. Estève, E. Bou, C. Blatché, C. Vieu, B. Malavaud*, A. Cerf, LAAS-CNRS, Université de Toulouse, CNRS, INSA, UPS, Toulouse, France, *Department of Urology, Hôpital de Rangueil, Toulouse, France
6C-3
Biomedical Devices I
Ultrasensitive Ebola virus antigen detection via a nanoantenna-array biosensing platform, F.Zang, Z.Su, L.Zhou, G.Kaplan1, S.Y.Chou, Princeton University, 1Food and Drug Administration
6C-4
Biomedical Devices I
Fabrication of Hollow Silicon Microneedle Arrays for Transdermal Biological Fluid Extraction, H. Zhang1, Y. Li1,2, R. Yang1, Y. Laffitte1,2, U. Schmill1,2, E. J. M. Blondeel2, M. Kaddoura2, B. Cui1, 1Department of Electrical and Computer Engineering, University of Waterloo, Canada, 2 ExVivo Labs Inc., Canada
6C-5
Biomedical Devices I
Planar coils for Optimal Micromagnetic Brain Stimulation., G. Bonmassar*, I. Webb2, L. Golestanirad, J. Deng2, Harvard Medical School, 1Harvard College, 2Harvard University
7A-1 (Invited)
Nanoelectronics
Scaling, Stacking, and Printing: How Nanomaterials Still Hold Promise for Electronics, A. D. Franklin, Duke University
7A-2
Nanoelectronics
Integrated Flexible Solid-state Thin Film Supercapacitors, S. Ahmed, L. Jiang, B. Oni, N.S. Korivi, Tuskegee University
7A-3
Nanoelectronics
A TiO2 – based Radio Frequency Resistive Switch, G.C. Adam, R. Badulescu, S. Iordanescu, N. Wainstein*, S. Kvatinsky*, National Institute for R&D in Microtechnologies (IMT), *Technion - Israel Institute of Technology
7A-4
Nanoelectronics
Cold-Blooded Circuits: Transient Electronics that Require Constant Heat Input to Prevent Dissolution, X. Zhang, L. M. Bellan, Vanderbilt University
7A-5
Nanoelectronics
All-Solid-State Stretchable Supercapacitors Using Polypyrrole-dodecylbenzenesulfonate (PPy(DBS))-CNT Hybrid Partially Embedded in PDMS, Runzhi Zhang, E. H. Yang, Stevens Institute of Technology
7B-1 (Invited)
3D Lithography
Nano Aperture Ion Source, Leon van Kouwen, Pieter Kruit, Delft University of Technology Greg Schwind Aurelien Botman , Sean Kellogg, Thermo Fisher Scientific.
7B-2
3D Lithography
Native and Surface-Modified Nanocellulosic Materials for 2.5D and 3D Fabrication, S. Saem, A. Esteve, K.1 Jiménez Zenteno,1 A. Fatona, A. Accardo,1 L. Malaquin,1 A. Cerf,1 J. Moran-Mirabal, Department of Chemistry and Chemical Biology, McMaster University, Canada, 1LAAS-CNRS, Université de Toulouse, CNRS, INSA, Toulouse, France
7B-3
3D Lithography
Fabrication of a Macroscopically Degenerate 3D Artificial Spin Ice, S. Dhuey, A. Farhan, C. Petersen1, M. Saccone2, N. Kent3, Z. Chen4, M. Alalva1, P. Fischer3, A. Scholl*, S. van Dijken5, Molecular Foundry, Lawrence Berkeley Nat. Lab; ALS, Lawrence Berkeley Nat. Lab; 1Dept of Applied Physics, Aalto Univ.; 2Physics Dept, Univ. of California Santa Cruz; 3MSD, Lawrence Berkeley Nat. Lab; 4Mat. Sci. and Eng., Univ. of California Berkeley; 5Dept of Applied Physics, Aalto Univ.
7B-4
3D Lithography
Systematic Study of Roll-to-Roll Colloidal 3D Nanolithography System and Its Applications, I. Chen, E. Schappell, X. Zhang, C. Chang, North Carolina State University
7B-5
3D Lithography
Control of Liquid Film Thickness and Concentration in-situ for Focused Electron Beam Induced Deposition from Aqueous Solutions, S. Esfandiarpour, J. T. Hastings, Electrical and Computer Engineering, University of Kentucky
7C-1 (Invited)
Biomedical Devices II
Interaction of graphene surfaces with protein: route for effective non-covalent biological functionalization, T. Alava1,2, C. Sun4, A. Hugo1,2, J. Mann4, J. Parpia3, H. Craighead3, W. Dichtel4, 1Univ. Grenoble, 2CEA, LETI, 3 Cornell University, 4Northwestern University
7C-2
Biomedical Devices II
A versatile fabrication protocol for graphene gated field effect transistor-based smart biological sensors on arbitrary substrates, A. Hugo, Zheng Han, Julien Renard, Vincent Bouchiat, Pascal Mailley, Thomas Alava, Univ. Grenoble Alpe, France, CEA, LETI, Minatec Campus, France, Institut Néel, Université Grenoble Alpes – CNRS:, France. C.A de Coulomb
7C-3
Biomedical Devices II
Increasing nanogroove height enhances neuron outgrowth alignment, A.J. Bastiaens, S. Xie*, R. Luttge, Eindhoven University of Technology, *University of Twente
7C-4
Biomedical Devices II
Towards a Graphene Nanoelectrode Single-Molecule Biosensor Utilizing Quantum Tunneling, J. L. Swett, J. A. Mol, University of Oxford
7C-5
Biomedical Devices II
A multiplexed intracellular probing (IP) nano-chip for interrogation of myo-fibroblasts and cardiomyocytes gene in cardiac fibrosis, S. Black, D. Zhu, L. Chang, J. Shi*, University of North Texas, * Ohio State University
8A-1
Neuromorphic Hardware I
Statistical Computing with Photonic Integrated Circuits, Yichen Shen1,2, H. Meng1, Li Jing1, Dirk Englund1, Marin Soljacic1, MIT Lightelligence Inc., MIT
8A-2
Neuromorphic Hardware I
Ionic Liquid and Amorphous Metal-Oxide Semiconductor Interactions: Towards a New Programmable Neuromorphic Platform, Walker L Boldman1, Thomas Z. Ward2, Cheng Zhang1, Philip D. Rack1,3, 1University of Tennessee, 2Materials Science and Technology Division, Oak Ridge National Laboratory, 3Center for Nanophase Materials Science, Oak Ridge National Laboratory
8A-3
Neuromorphic Hardware I
Ion Gated Synaptic Transistors Based on Two-dimensional van der Waals Crystals with Tunable Diffusive Dynamics, Jiadi Zhu1, Yuchao Yang1, Ru Huang, Li Song2, Rundong Jia1, 1Peking University, 2University of Science and Technology China
8A-4
Neuromorphic Hardware I
Electrostriction, capacitive susceptibility, and neuromorphic computing in droplet interface bilayers, C.P.Collier, C.D.Schuman, A.Belianinov, J.S.Najem*, G.J.Taylor*, R.J.Weiss*, S.Hasan*, G.S.Rose*, S.A.Sarles*, Oak Ridge National Laboratory, *University of Tennessee, Knoxville
8A-5
Neuromorphic Hardware I
Superconducting Nanowire-Based Processor for Training Deep Neural Networks, M. Onen, B. Butters, K. K. Berggren, Research Laboratory of Electronics, MIT
8B-1 (Invited)
Advanced Lithography I
Exploring the limits of single-expose EUV patterning at IBM, N. Felix, IBM Research
8B-2
Advanced Lithography I
EUV Achromatic Talbot Lithography: A Method for High-Resolution Patterning of Nanostructures over Large Areas, D. Kazazis, L.-T. Tseng, Y. Ekinci, Paul Scherrer Institute
8B-3
Advanced Lithography I
Unbiased Roughness Measurements: Subtracting out SEM Effects, part 2, Gian F. Lorusso, Vito Rutigliani, Frieda Van Roey, Chris A. Mack*, IMEC, *Fractilia LLC
8B-4
Advanced Lithography I
Plasmonic Lithography Utilizing Epsilon Near Zero Hyperbolic Metamaterial, Xi Chen, Cheng Zhang, Fan Yang, Gaofeng Liang, Qiaochu Li and L. Jay Guo, The University of Michigan
8B-5
Advanced Lithography I
Progress in Metal Organic Cluster EUV Photoresists, H. Xu, V. Kosma, K. Sakai*, E. P. Giannelis, C. K. Ober, Cornell University, *JSR
8C-1 (Invited)
Charged Particle Optics
Diffractive Electron Mirrors as Lossless Beam Splitters, N. Abedzadeh, C-S. Kim, M. Turchetti, R. Hobbs, T. Savas, K.K. Berggren, MIT, M.A.R. Krielaart*, P. Kruit*, *TU Delft
8C-2
Charged Particle Optics
Frozen Refractory-Metal Taylor Cones as Potential Regenerable Electron and Ion Point-Sources for Nanofabrication and Lithography, G. Hirsch, Hirsch Scientific
8C-3
Charged Particle Optics
High Brightness Metal Coated Silicon Field Emission Electron Sources, F. A. Hill, R. Garcia, G. V. Lopez, M. Romero, K. Ioakeimidi, Z. Marks, G. Delgado, KLA-Tencor
8C-4
Charged Particle Optics
Electron mirror in MEMS technology for phase manipulation of the wave function, M.A.R. Krielaart*, C.T.H. Heerkens*, P. Kruit*, N. Abedzadeh1, K.K. Berggren1, *Delft University of Technology, Dept. of Imaging Physics, 1Massachusetts Institute of Technology, Research Laboratory of Electronics
8C-5
Charged Particle Optics
Adjoint Optimization for Electrostatic Charged Particle Lens in 3D, L.T. Neustock, P. Hansen, L. Hesselink, Z.E. Russell*, Stanford University, *Ion Innovations
9A-1 (Invited)
Neuromorphic Hardware II
The Non-Volatile Redox Transistor for Neuromorphic Computing, A. Alec Talin, Sandia National Laboratories
9A-2
Neuromorphic Hardware II
Diffusive memristor based artificial synapses and neurons, Z. Wang, S. Joshi, S. Savel'ev, R. Midya, H. Jiang, W. Song, Y. Li, M. Rao, P. Yan, S. Asapu, Y. Zhuo, P. Lin, C. Li, J. H. Yoon, N. K. Upadhyay, M. Hu1 J. P. Strachan1, N. Ge1, Z. Li1, J. Zhang1, Q. Wu2, M. Barnell2, H. Xin3, H. Wu4, R. S. Williams1, Q. Xia, J. J. Yang, University of Massachusetts Amherst, Loughborough University, 1Hewlett Packard Labs, 2Air Force Research Lab, 3Brookhaven National Laboratory, 4Tsinghua University
9A-3
Neuromorphic Hardware II
Optimized Filamentary RRAM for Neuromorphic Hardware, W. Wu, B. Gao, H. Wu and H. Qian, Tsinghua University
9A-4
Neuromorphic Hardware II
Integration of Memristor on CMOS Chips for Hardware Accelerators, X. Sheng, C. Graves, X. Li, S. Williams, J. P. Strachan, Hewlett Packard
9A-5 (Invited)
Neuromorphic Hardware II
Analog and Neuromorphic Computing with Memristor Arrays, C. Li1, M. Hu2 , Y. Li1, D. Belkin1,5, H. Jiang1, N. Ge3 , E. Montgomery2, J. Zhang2, W. Song1, N. Dávila2, C. Graves2, Z. Li2, J. P. Strachan2, P. Lin1, Z. Wang1, M. Barnell4, Q. Wu4, R. S. Williams2, J. J. Yang1, Q. Xia1, 1Department of ECE, University of Massachusetts, 2Hewlett Packard Labs, Hewlett Packard Enterprise, 3HP Labs, HP Inc., 4Information Directorate, Air Force Research Laboratory, 5Swarthmore College
9B-1 (Invited)
Advanced Lithography II
Multi-beam mask writer MBM-1000 for advanced mask making, H. Matsumoto, H Yamashita, H. Matsumoto, N. Nakayamada, Mask Lithography Engineering Depatment, Nulare Technology, Inc.
9B-2
Advanced Lithography II
Optimization of Inverse Opal Structures for Application as Stable Field Emitters, L. C. Montemayor, M. Jones, H. Greer, E. Murty, H. Manohara, R. Zhang*, Y. Fan*, P. V. Braun*, Jet Propulsion Laboratory California Institute of Technology, *University of Illinois at Urbana-Champaign
9B-3
Advanced Lithography II
Fabrication of cryogenic resistors for on-chip shunting of superconducting NbN nanowire devices., M. Colangelo, E. Toomey, K. K. Berggren, Department of Electrical Engineering and Computer Science, Massachusetts Institute of Technology
9B-4
Advanced Lithography II
Patterning of Dense Arrays for MRAM Applications, Tsai-Wei Wu, Lei Wan, Patrick Braganca, Khiem Tran, Neil Smith, K.C. Patel, Goran Mihajlovic, Young-suk Choi, Jordan Katine, Western Digital Research
9B-5 (Invited)
Advanced Lithography II
3D-Nanoprinting of Functional and Freestanding Structures via Electron Beams: an Application Perspective, R. Winkler, J. Sattelkow, H. Plank, J.D. Fowlkes*, P.D. Rack*, Institute of Electron Microscopy, Graz University of Technology; Graz Centre for Electron Microscopy; *Center for Nanophase Materials Sciences, Oak Ridge National Laboratory; The University of Tennessee
9C-1 (Invited)
Nanofluidics
Nanofluidic Ionic Devices, M. Reed, S.X. Li, Yale University, W. Guan, Penn State University
9C-2
Nanofluidics
Active-matrix driven digital microfluidic system built on printed circuit boards, Yaru Xing, Xianming Liu*, Yu Liu, Rifei Chen, Xiujie Sun, Ruijun Tian, Youwei Jiang, Xing Cheng, Southern University of Science and Technology, *Dalian Institute of Chemical Physics
9C-3
Nanofluidics
Measuring Liquid Properties on Nano-scale Photoresist 1D Patterned Structures, Juan J. Faria Briceno, University of New Mexico, S. R. J Brueck, University of New Mexico, Randy P. Schunk, University of New Mexico, Alexander Neumann, University of New Mexico
9C-4
Nanofluidics
Design and Operation of a Body-in-a-Cube Platform, Hidetaka Ueno, Takaaki Suzuki*, Mandy B. Esch, National Institute of Standards and Technology, *Gunma University, Japan
9C-5 (Invited)
Nanofluidics
Analytical separation of colloidal nanoparticles by size exclusion in nanofluidic replicas, K.-T. Liao* 1, S. M. Stavis*, *National Institute of Standards and Technology (NIST), 1University of Maryland (UMD)
P1-01 (Invited)
3D Micro and Nanolithography
Renewable nanoparticles as additives for 3D printed hydrogels, Saem S,† Jiménez Zenteno AK,§ Esteve A,§ Reig§ B, Accardo A,§ Malaquin L,§ Cerf A,§ Moran-Mirabal JM†,* †Department of Chemistry and Chemical Biology, McMaster University, Hamilton, ON, Canada §LAAS-CNRS, Université de Toulouse, CNRS, INSA, Toulouse, France
P1-02 (Invited)
3D Micro and Nanolithography
Software based optimization of gray scale laser lithography, Andreas Ludwig, Dominique Colle, Dr. Peter Heyl*, Daniel Ritter, Nikola Belic**, Dr. Holger Sailer*** *Heidelberg Instruments **GenISys ***Institut für Mikroelektronik Stuttgart
P1-03
3D Micro and Nanolithography
Two-photon polymerization of 3D structures for open-air microfluidics and untethered microrobotic systems, N. Lavrik, C. McKown*, W. Huang**, Oak Ridge National Laboratory, *University of Tennessee, **ORISE
P1-04
3D Micro and Nanolithography
Fabrication of astronomical X-ray reflection gratings using thermally activated selective topography equilibration (TASTE), J. McCoy, R. McEntaffer, Penn State University
P1-05
Advanced Pattern Transfer Concepts
Advance Lithography I-Line Resist Profile for Difficult Liftoffs in Compound Semiconductor Technologies, J. Mason, I. Wathuthanthri, Northrop Grumman Mission Systems
P1-06
Advanced Pattern Transfer Concepts
A Study on the Fine pattern generation Using Elastic Restoration of Blankets, Seunghang Shin, Yeonho Jeong, Hyun Min Choi, Seonjun Kim, Yoon-Gyo Jung, Young Tae Cho, Changwon National University
P1-07
Advanced Pattern Transfer Concepts
Chromium hard mask patterning of sub-20 nm films for single-digit nanofabrication, D. Staaks*'**, Z. Yu***, S. Sassolini*, S. D. Dhuey*, K. Y. Lee***, I. W. Rangelow**, D. L. Olynick*, *LBNL - Molecular Foundry, **Ilmenau University, *** Seagate Technology LLC
P1-08
Advanced Pattern Transfer Concepts
Etching Process for Producing Variable Sloping Sidewall of III-V Antimonide-based Materials for LED/PD Applications, X. Li, M. Reza, M. Steer, M. Sorel, I.G. Thayne, D. Lusk*, C. MacGregor*, University of Glasgow, *Gas Sensing Solutions Ltd
P1-09
Advanced Pattern Transfer Concepts
Roll-to-roll Nano-patterning of Packaging Films: Analysis Using AFM, SEM and FIB SEM, N. Okulova1,2, P. Johansen1, L. Christensen1 and R.J. Taboryski2, 1Danapak Flexibles, 2DTU Nanotech
P1-10
Atomically Precise Fabrication
Designer Quantum Materials, Atom-by-Atom, L. A. Tracy, T. M. Lu, M. T. Marshall, D. M. Campbell, D. R. Ward, A. D. Baczewski and S. Misra, Sandia National Labs
P1-11
Atomically Precise Fabrication
Scanning Tunneling Microscope Fabrication of Atomically Precise Devices, Richard Silver*, Xiqiao Wang**, Pradeep Namboodiri*, Ranjit Kashid*, Joe Hagmann*, Jon Wyrick*, Scott W. Schmucker***, M. D. Stewart Jr.*, and Curt Richter*, * National Institute of Standards and Technology, **University of Maryland, ***University of Maryland
P1-12 (Invited)
Electron and Ion Beam Lithography
Design of an Anisotropic Noise Filter for Measuring Critical Dimension and Line Edge Roughness from SEM Images, H. Ji, S.-Y. Lee, Auburn University
P1-13 (Invited)
Electron and Ion Beam Lithography
Estimation of Critical Dimension and Line Edge Roughness using Artificial Neural Networks, D. Li, S.-Y. Lee, J. CHoi*, S.-B. Kim*, I.-K. Shin*, C.-U. Jeon*, Auburn University, *Samsung Electronics
P1-14
Electron and Ion Beam Lithography
The Definition of Semiconductors Nanowires using Ga+ Focused Ion Beam Lithography with Mask of Hydrogenated Amorphous Silicon Film, A. M. Rosa, L. T. Manera, J. A. Diniz, A. Leonhardt*, School of Electrical and Computer Engineering (FEEC) and Center for Semiconductor Components and Nanotechnologies (CCSNano), University of Campinas (UNICAMP), *IMEC
P1-15
Electron and Ion Beam Lithography
Self Aligned Double Pattern Method for the Definition Silicon Nanowires Using Ga+ Focused Ion Beam Milling Technique with Mask of Hydrogenated Amorphous Silicon Film, A. M. Rosa, L. T. Manera, A. Leonhardt*, J. A. Diniz, School of Electrical and Computer Engineering (FEEC) and Center for Semiconductor Components and Nanotechnologies (CCSNano), University of Campinas (UNICAMP), *IMEC
P1-16
Electron and Ion Beam Lithography
Statistical Comparison of Field Distortion Correction by Z-Stage Movement vs Height-Correction Hardware in a Modern EBL Tool, Michael P. Young, University of Notre Dame
P1-17
Electron and Ion Beam Lithography
Stochastic simulation of pattern formation in electron beam lithography, M. Yasuda, M. Koyama, M. Shirai, H. Kawata, Y. Hirai, Osaka Prefecture University
P1-18
Electron and Ion Beam Lithography
E-beam lithography using dry powder HSQ resist having long shelf life, M.Soltani, J.Shen, F.Aydinoglu, B.Cui, University of Waterloo
P1-19
Electron and Ion Beam Lithography
Drying Developed Electron-beam Resists Using Supercritical Carbon Dioxide: Compatibility Issues, M. Lu, Brookhaven National Laboratory
P1-20 (Invited)
Maskless and High Throughput Direct Write Lithography
Double-Side Masking and Stress-Released Etching for Fabrication of High Aspect Ratio Graphene Micro-Cantilever, S.S. Li, M.X. Zeng, Y.F. Huang, R.Z. Zhan, J. Chen, N.S. Xu, J.C. She, S.Z. Deng, State Key Laboratory of Optoelectronic Materials and Technologies, Guangdong Province Key Laboratory of Display Material and Technology, School of Electronics and Information Technology, Sun Yat-sen University
P1-21
Maskless and High Throughput Direct Write Lithography
Towards Maskless Production of Custom Neuronal Recording Graphene Microelectrode Arrays, V. P. Gomes, A. M. Pascon, J. W. Swart, R. R. Panepucci*, State University of Campinnos, *Center of Information Technology Renato Archer
P1-22
Nanoimprint Lithography
Visualization of NL polymer distribution in NIL guides for DSA, K. Asakawa, N. Sasao, T. Sawabe, S. Sugimura, Toshiba Memory
P1-23 (Invited)
Nanoimprint Lithography
Improved etching resistance of UV-cured films with/without hydroxy groups by organic/inorganic hybridization through sequential infiltration synthesis and sequential vapor infiltration, T. Uehara, Y. Ozaki, S. Ito, N. Hiroshiba, T. Nakamura, M. Nakagawa, IMRAM, Tohoku University
P1-24 (Invited)
Nanoimprint Lithography
Fluidity of an oleophilic monomer in nano-gap between reactive adhesive monolayers for UV nanoimprinting, S. Ito, M. Kasuya, K. Kurihara*, M. Nakagawa, IMRAM, Tohoku University, *NICHe, Tohoku University
P1-25 (Invited)
Nanoimprint Lithography
Quantitative characterization of mechanical properties and residual stress in nanoimprinted polymer films at the microscale, Ye Tian, Youwei Jiang, Xinglong Huang, Xing Cheng, Southern University of Science and Technology of China
P1-26
Nanoimprint Lithography
Nanofabrication of photonic crystal structures with complex geometries using ALD-enabled imprint lithography, A. S. Jugessur, Connor Grierson and Andrew Textor, University of Iowa
P1-27
Nanoimprint Lithography
Rapid thermal nanoimprint through induction heating of nickel mold, Yang Li, Xinxin Fu, Qian Chen, Aibing Yang, Yushuang Cui, Changsheng Yuan, Haixiong Ge, Nanjing University
P1-28
Nanoimprint Lithography
Flexible Nanoimprint Template from Amorphous Metals, Xinglong Huang, Xin Zhuang, Ye Tian, Youwei Jiang, Bingqing Luo, Xing Cheng, Southern University of Science and Technology
P1-29
Nanoimprint Lithography
Fabrication of high aspect ratio Si nanowires by metal-assisted chemical imprint, K. Yamada, L. Jay Guo, University of Michigan
P1-30
Nanoimprint Lithography
Decrease nanoimprint lift-off force by poly(ethylene glycol) doping, Huang Lai, Hang Zhang, Dehu Cui, Southern University of Science and Technology of China
P1-31
Nanoimprint Lithography
Fabricating nanolens arrays by nanoimprint lithography, Xiaohao Ma, Zeyu He, Dehu Cui, Southern University of Science and Technology of China
P1-32
Nanoimprint Lithography
Fabricating multilayer channel by double nanoimprint lithography, Zeyu He, Xiaohao Ma, Dehu Cui, Southern University of Science and Technology of China
P1-33
Nanoimprint Lithography
Study on resist profile estimation due to shrinkage and mold profile correction in nanoimprint lithography, T. Iida, K. Watanabe,. Yasuda, H. Kawata, Y. Hirai, Osaka Prefectuer Univ.
P1-34
Nanoimprint Lithography
Guiding chart for initial layer choice with nanoimprint, A. Mayer, M. Papenheim, C. Steinberg, H.-C. Scheer, University of Wuppertal
P1-35
Nanoimprint Lithography
Sub-nanometer gap fabrication using transfer printing by flexible Polydimethylsiloxane (PDMS) substrates, P. Hu, B. Song, Y. Wang, Y. Li, D. Meng, H. Yang, B. Chen,Wei Wu, University of Southern California
P1-36
Nanoimprint Lithography
Fabrication of Self-standing Thin Polystyrene Films with Through Holes by use of Casting Process, K. Uchida, H. Kawata, M. Yasuda, Y. Hirai, Osaka Prefecture University
P1-37
Nanoimprint Lithography
Selective electroless metallization of micro- and nanopatterns for flexible electronic application through imprint-transfer of palladium nanoparticles, J. Cai, M. Zhang, C. Zhang, C. Liang, S. P. Feng, W. D. Li, The University of Hong Kong
P1-38 (Invited)
Probe based Lithography
Field-Emission Scanning Probe Lithography, Low Energy EBID and Correlative Microscopy with AFMinSEM., Ivo W. Rangelow*, Mathias Holz*,**, Claudia Lenk*, Marcus Kaestner*, Martin Hofmann*, Ahmad Ahmad*,**, Tzvetan Ivanov*, Steve Lenk*, Christoph Reuter**, Alexander Reum*,** Elshad Guliyev*, *Technische Universität Ilmenau, **nano analytik GmbH,
P1-39
Probe based Lithography
Experimental study of Field Emission from sharp Silicon, Diamond and Tungsten tips used for Field Emission Scanning Probe Lithography, C. Lenk*, S. Lenk*, M. Holz**, E. Guliyev*, M. Hofmann*, T. Ivanov*, I. W. Rangelow**, *TU Ilmenau, **Nanoanalytik GmbH
P1-40
Probe based Lithography
Field-emission scanning probe lithography tool for 150 mm wafer., Mathias Holz*, Elshad Guliyev**, Ahmad Ahmad*,**, Martin Hofmann**, Claudia Lenk**, Marcus Kaestner**, Tzvetan Ivanov**, Steve Lenk**, Christoph Reuter*, Alexander Reum*,**, and Ivo W. Rangelow**, *nano analytik GmbH, **TU Ilmenau
P1-41
Probe based Lithography
Field-Emission Scanning Probe Lithography with Diamond tips, M. Hofmann, M. Kaestner, C. Lenk, A. Ahmad, E. Manske, I. Rangelow*, M. Holz**, *Ilmenau University of Technology, **Nanoanalytik GmbH,
P1-42
Probe based Lithography
Scanning Probe Lithography for Bioactive Surface Functionalization, Michael Hirtz,* Christof M. Niemeyer,** Harald Fuchs*,*** * Institute of Nanotechnology (INT) and Karlsruhe Nano Micro Facility (KNMF), Karlsruhe Institute of Technology (KIT), Germany ** Institute for Biological Interfaces (IBG 1), Karlsruhe Institute of Technology (KIT), Germany ***Physical Institute and Center for Nanotechnology (CeNTech), University of Münster, Germany
P1-43
Probe based Lithography
Quantifying Liquid Transport and Patterning using Atomic Force Microscopy, N. Farmakidis, V. Saygin, K. A. Brown, Boston University
P1-44
Probe based Lithography
Photo-actuated Pens for Molecular Printing, Zhongjie Huang1, Le Li2, Xu A. Zhang1, Nourin Alsharif2, Xiaojian Wu1, Zhiwei Peng1, Xiyuan Cheng1, Peng Wang1, Keith A. Brown2, and YuHuang Wang1, 1University of Maryland, 2Boston University
P1-45
Probe based Lithography
Massively Multiplexed Tip-Based Photochemical Lithography under Continuous Capillary Flow, A. B. Braunschweig, Advanced Science Research Center, City University of New York
P1-46
Optical/EUV
Effect of Molecular Structure on Lithographic Performance of Aqueous Base Soluble Epoxide Molecular Resists, Brandon L. Sharp*, Hannah L. Narcross*, Laren M. Tolbert**, and Clifford L. Henderson*,***, *School of Chemical and Biomolecular Engineering, Georgia Institute of Technology, **School of Chemistry and Biochemistry, Georgia Institute of Technology, ***Department of Chemical and Biomedical Engineering, University of South Florida
P1-47
Optical/EUV
Phenol Functionalized Polymerization Control Additives for Negative Tone Cationic Molecular Resists, H. Narcross*, B. Sharp*, C.L. Henderson** , *Georgia Institute of Technology, **University of South Florida
P1-48
Directed Self Assembly
Microphase Separation of High-χ Poly(4-tertbutyl styrene) -block - Poly(2-hydroethyl methacrylate), C.L. Breaux*, B. L. Sharp*, H. Li**, B. Li**, M. Neisser**, C.L. Henderson***, *Georgia Institute of Technology, **Kempur Microelectronics, Inc., ***University of South Florida
P1-49
Directed Self Assembly
Limits of Asymmetric Homopolymer Addition in Block Copolymer-Homopolymer Blends in Modulating the Directed Self-Assembly Behavior of Block Copolymers, C.L. Breaux*, P. J. Ludovice*, C.L. Henderson**, *Georgia Institute of Technology, **University of South Florida
P2-01
Biomedical Devices
Wearable flexible nano-transfection device for on-skin gene editing with CRISPR-Cas9, C. Chitrikar, D. Zhu, L. Chang, Y. Hao*, H. Chang*, Department of Biomedical Engineering, University of North Texas, *Northwestern Polytechnical University
P2-02
Biomedical Devices
Tumor Cell Traversing Behavior in Three-Dimensional Platform with Porous Topography, Z. Y. Liu, W. G. Zhang, S. W. Pang, City University of Hong Kong
P2-03
Biomedical Devices
Effects of Dimensions, Topography, and Layers for Nasopharyngeal Carcinoma Cell Migration on Three-Dimensional Scaffold Platform, W. Zhang, Z. Y. Liu, S. W. Pang, City University of Hong Kong
P2-04
Biomedical Devices
Cell Migration on Microposts with Surface Coating and Confinements, J. N. Hui, S. W. Pang, City University of Hong Kong
P2-05
Biomedical Devices
A Nanofabricated Enzyme Biosensor, S. Yadav, A. Vasudevan, A. J. Ojeda, A. Aslam, A. Kanwal, G. A. Thomas, R. C. Farrow, New Jersey Institute of Technology
P2-06
Biomedical Devices
Design and Optimization of High-throughput Cell Pairing Chip for Cell Fusions, Chaoran Tao, Chunhui Wu, Rifei Chen, Yu Liu, Zhenming Yu, Youwei Jiang, Xing Cheng, Southern University of Science and Technology
P2-07
Biomedical Devices
Regulation of the immune synapse and cytotoxic activity of natural killer (NK) cells by nanolithographic ligand patterning, Yossi Keydar, Guillaume Le Saux, Avishai Edri, Uzi Hadad, Angel Porgador, Mark Schvartzman, Gurion University of the Negev
P2-08 (Invited)
Carbon Based Nano Devices (CNTs & Graphene)
Opto-Thermionic Cathodes for SEM, C. Kuzyk, C. Aiello*, F. Pease*, M. Chang, K. Jessen, A. Nojeh, University of British Columbia, *Stanford University
P2-09
Carbon Based Nano Devices (CNTs & Graphene)
A Stretching/Bending-Insensitive Flexible Pressure Sensor with Carbon Nanotube-PDMS, Runzhi Zhang, E. H. Yang, Stevnes Institute of Technology
P2-10
Carbon Based Nano Devices (CNTs & Graphene)
Anode effect of carbon nanotube cold cathode electron beam (C-beam) for high resolution x-ray tube, Yi Yn Yu, Ji Hwan Hong and Kyu Chang Park, Kyung Hee University, Tae Sul* * World Beam Solution Co. Ltd
P2-11
Carbon Based Nano Devices (CNTs & Graphene)
Selectivity through Optimization of Metal Oxide Morphology: Towards Selective Chemiresistive MWCNT/TiO2 VOC Sensors, Igor Paprotny, Michela Sainato, Ralu Divan*, Liliana Stan*, and Yuzi Liu*, University of Illinois, Argonne National Laboratory
P2-12 (Invited)
Micro- and Nanoscale Mechanical Devices
Absolute deflection measurements in a MEMS/NEMS Fabry-Perot interferometry system, R. De Alba*,**, C. B. Wallin*,**, S. Krylov***, B. R. Ilic*, *National Institute of Standards and Technology, **University of Maryland, ***Tel Aviv University
P2-13
Micro- and Nanoscale Mechanical Devices
High-aspect-ratio gold electroplating for microelectronic, optoelectronic, and microsystem applications, O.V. Makarova, Creatv MicroTech Inc, R. Divan, ANL, CNM N. Moldovan, Alcorix Co. C.-M. Tang, Creatv MicroTech Inc
P2-14
Micro- and Nanoscale Mechanical Devices
The Collective Behavior of Large Ensembles of Coupled MEMS Cantilevers with Varying Natural Frequencies, C. Wallin1,2, N. Dick3, R. DeAlba1.2, D. Westly1, S. Grutzik4 A. Zehnder5, R. Rand5, V. Aksyuk1, S. Krylov3, B.R. Ilic1, 1National Institute of Standards and Technology, 2University of Maryland, 3Tel Aviv University, 4Sandia National Laboratories, 5Cornell University
P2-15
Micro- and Nanoscale Mechanical Devices
Fabrication of nanomechanical resonators elastically coupled in series for sensitive thermal stress detection, K. Tanaka, E. Maeda, S. Warisawa, R. Kometani, University of Tokyo
P2-16
Micro- and Nanoscale Mechanical Devices
Fabrication of Nano-micro hybrid pattern using Anodic Aluminum Oxide template and resins, Seonjun Kim, Yeonho Jeong, Seunghang Shin, Hyun Min Choi, Yoon-Gyo Jung, Young Tae Cho, Changwon National University
P2-17
Micro- and Nanoscale Mechanical Devices
Array of electromagnetically cantilevers for force-distance spectroscopy metrological investigations, W. Majstrzyk, K. Orłowska and T. Gotszalk, Wroclaw University of Science and Technology, P. Janus, A. Sierakowski, P. Grabiec Institute of Electron Technology
P2-18 (Invited)
Nanoelectronics
Fabrication of high performance far ultraviolet(UV) light sources with carbon nanotube cold cathode pumping, Sung Tae Yoo and Kyu Chang Park, Kyung Hee University, Choon Tae Sul, World Beam Solution Co. Ltd.
P2-19
Nanoelectronics
Reduced contact resistance by surface treatment in Ga2O3 film-based devices, X. Wang, J. Shi, R. Divan*, D. Rosenmann*, University of Illinois at Chicago, *Argonne National Laboratory
P2-20
Nanoelectronics
Effects of Crystallinity of Switching Layer Material on Memristive Device, Hao Yang*, Boxiang Song*, Buyun Chen*, Yifei Wang*, Pan Hu*, Yunxiang Wang*, Yuanrui Li*, Deming Meng*, Xiaodong Yan*, Yue Pan**, Han Wang* and Wei Wu*, *University of Southern California, Los Angeles, **Huawei Technologies CO., LTD.
P2-21
Nanoelectronics
Ion Migration Studies in 2D Molybdenum Trioxide Thin Flake through Ionic Liquid Gating, C. Zhang, P. Pudasaini, A. Levlev*, Z. Ward*, D. Mandrus, O. Ovchinnikova*, P. Rack, University of Tennessee, *Oak Ridge National Laboratory
P2-22
Nanoelectronics
High Sensitivity Magnetometers and Gradiometers Based on Nano-Josephson Junction SQUIDs, Y. Zhou*, E. Cho*, S. Cybart*, M. Chernyashevskyy**, and I. V. Vernik**, *University of California Riverside, **HYPRES Inc.
P2-23 (Invited)
Nanofabrication for Energy Sources
Irradiation Synthesis of Nanostructured FeSn2-carbon Nanofiber Composites as Highly Stable Anodes for Super-capacitor, Manman Zhang, Mingwu Fan, Tiaoqin Yu, Jiang Huang, Jun Yang, Long Zhao, Kangjun Xie, Wei Qi*, *Huazhong University of Science and Technology
P2-24
Nanofabrication for Energy Sources
Flexible photodetector using ambient-processed blade-coated perovskite film on nanostructured polymers, L. Chen, J. Cai, W. Li, the University of Hong Kong
P2-25
Nanofabrication for Energy Sources
Electrospun Charge Transport Structures for Hybrid Perovskite Solar Cells, J.P. Murphy, M.C. Brockway, Jessica M. Andriolo, and J.L. Skinner, Montana Tech Nanotechnology Laboratory
P2-26
Nanofabrication for Energy Sources
Nanogap Electrochemical Cell for Methanol-Contained Ethanol Solution Detection, Tse-Hsien Ou, Yifei Wang*, Dan Fang**, S. R. Narayan**, Wei Wu*, Mork Family Department of Chemical Engineering and Material Science, *Ming Hsieh Department of Electrical Engineering, **Department of Chemistry, University of Southern California
P2-27
Nanofabrication for Energy Sources
Synthesis and supercapacitor performance of polyanilinenitrogen-doped ordered mesoporous carbon composites, J. Huang, J. Yang, Huazhong University of Science and Technology
P2-28
Nanophotonics and Plasmonics
High Sensitivity Optical Biosensor based on silicon dimer arrays, Z. Liu, T. Pu, J. Niu, L. Shi, C. Xie, Institute of Microelectronics of Chinese Academy of Sciences
P2-29 (Invited)
Nanophotonics and Plasmonics
Fabrication of stoichiometric silicon nitride devices for nonlinear photonics, D.A. Westly, Q. Li*, X. Lu*, G. Moille*, B. Ilic, K, Srinivasan, National Institute of Standards and Technology, *University of Maryland
P2-30 (Invited)
Nanophotonics and Plasmonics
MEMS-stencil lithography for mechanically-tunable infrared metasurfaces on 3D-DLW printed scaffolds, J. B. Reeves, R. K. Jayne, L. K. Barrett, T. J. Stark, A. E. White, D. J. Bishop, Boston University
P2-31
Nanophotonics and Plasmonics
On the Fabrication and Performance of a Diamond Metalens for Imaging Quantum Emitters, R. Grote, G. Lopez, University of Pennsylvania
P2-32 (Invited)
Nanophotonics and Plasmonics
Solution-processed flexible plasmonic nanodisk arrays for biomolecular detection, J. Cai, C. Liang, C. Zhang, S. Min, W.D. Li, The University of Hong Kong
P2-33
Nanophotonics and Plasmonics
Continuous Achromatic Flat Subwavelength Grating Lens over whole Visible Bandwidths, M. Ye, V. Ray, Y. S. Yi, University of Michigan
P2-34
Nanophotonics and Plasmonics
Focused Ion Beam Fabrication of a Chiral Infrared Polarizer, S. Norris, N.D. Bassim, T. Folland*, J.D. Caldwell*, McMaster University, *Vanderbilt University
P2-35
Nanophotonics and Plasmonics
Gallium Nitride on Gallium Oxide Substrate for IntegraTED Nonlinear Optics, Kashif M Awan, Mufasila M Muhammad*, Iman, S. Roqan*, Ksenia Dolgaleva, University of Ottawa, *King Abdullah University of Science and Technology
P2-36
Nanophotonics and Plasmonics
Plasmonic Enhanced Burst Release from Electrospun Fibers Exposed to Light, J. M. Andriolo, M. L. Joseph, J. P. Murphy, M. C. Brockway, J. L. Skinner, Montana Tech Nanotechnology Laboratory
P2-37
Nanophotonics and Plasmonics
Polarization Independent Perfect Reflection metasurface via Mie resonances in Dielectric Nanoclusters, T. Pu, Z. Liu, J. Niu, L. Shi, C. Xie, Institute of Microelectronics of Chinese Academy of Sciences
P2-38
Nanophotonics and Plasmonics
A Self Contained Portable Surface Enhanced Raman Scattering Needle Probe, B. Adewumi, S. Basu, D. Biswas, T. Daniels-Race, and M. Feldman, Louisiana State University
P2-39
Nanophotonics and Plasmonics
Direct Laser Writing of Photonic Devices on a SU-8 Platform, J. C. Ramirez, Vanessa P. Gomes, C. A. Finardi, R. R. Panepucci, Center of Information Technology Renato Archer
P2-40
Nanophotonics and Plasmonics
Non-linear Optical Metamaterials over Multiple Wavelength Ranges for Ultrafast and Secure Communication, Y. Li, V. Jankovic*, P. Hu, M. Knight*, P. Hon*, W. Wu, University of Southern California, *Northrup Grumman Corporation
P3-01
Advanced Ion Beam Technologies
Effect of 120 MeV Ti+9 ion irradiation induced modifications in structural, optical, morphological and electrical properties of titanium dioxide and tin oxide nanocomposite thin films, Vikas Kumar, N. Koratkar* and Rajesh Kumar, Guru Gobind Singh Indraprastha University, *Rensselaer Polytechnic Institute
P3-02
Advanced Ion Beam Technologies
Using FIB/SEMs to Investigate Biological Samples, A. Wolff1, Y.Zhou2,4), J.Lin3,4, Y.Peng5, J.Ramshaw5,6, Y.Xiao2,4, 1Queensland University of Technology (QUT), 2Institute of Health and Biomedical Innovation, Queensland University of Technology (QUT), 3Department of Implantology, Xiamen Stomatological Research Institute, Xiamen Stomatological Hospital, 4The Australia-China Centre for Tissue Engineering and Regenerative Medicine (ACCTERM), Queensland University of Technology, 5CSIRO Manufacturing, 6University of Melbourne
P3-03
Advanced Ion Beam Technologies
Dynamics of Graphene Milling Using the Helium Ion Beam, Songkil Kim1,2, Anton V. Ievlev1,2, Ivan V. Vlassiouk3, Matthew J. Burch1,2, Ondrej E. Dyck1,2, Xiahan Sang1,2, Raymond R. Unocic1,2, Alex Belianinov1,2, Sergei V. Kalinin1,2, Stephen Jesse1,2 and Olga S. Ovchinnikova1,2, 1Oak Ridge National Laboratory, 2Institute for Functional Imaging of Materials, Oak Ridge National Laboratory, 3Energy & Transportation Science Division, Oak Ridge National Laboratory, Oak Ridge
P3-04 (Invited)
Beam Induced Processes
Process condition dependence of liquid-phase focused electron beam induced etching of copper, S. K. Lami, G. Smith, E. Cao, J. T. Hastings, University of Kentucky
P3-05
Beam Induced Processes
Latest development for failure analysis – When ions meet chemistry, G. Goupil, A. Delobbe, Orsay Physics T. Hrncir, Sharang, Tescan Brno P. Gounet, STMicroelectronics
P3-06
Beam Induced Processes
Focused He+ Ion Beam Induced Implantation and Damage in Si - A Preliminary Study, Rongrong Li, Rui Zhu*, Jun Xu, Electron microscopy lab, Peking University
P3-07
Beam Induced Processes
Fine-Tuning Nanowire Shape Using 3D Focused Electron Beam Induced Deposition, E. Mutunga, P.D. Rack*, J.D. Fowlkes*, The University of Tennessee, *Oak Ridge National lab, H. Plank*, R. Winkler*, Graz University of Technology, *Graz Center for Electron Microscopy
P3-08
Beam Induced Processes
Characterization of Helium-Ion Machined Fluidic Structures, J.R. Wilson, K.L. Klein, L. Barner,* A.E. Vladár, **University of the District of Columbia, *Messiah College, **National Institute of Standards and Technology
P3-09
Beam Induced Processes
Radiation synthesis of 2-aminomethyl pyridine functionalized adsorbent and its application for perrhenate removal, W.Qi, L.Zhao, Huazhong University of Science and Technology
P3-10
Electron and Ion Beam Sources and Optics
Achieving highly accurate adjoint sensitivities for charged particle optics: design, optimization and tolerancing, P. Hansen, L.T. Neustock, L. Hesselink, Z.E. Russell*, Stanford University, *Ion Innovations
P3-11
Electron and Ion Beam Sources and Optics
Laser-cooled lithium as a bright source for focused ion beam microscopy, J. R. Gardner, W. M. McGehee, J. J. McClelland, Center for Nanoscale Science and Technology, National Institute of Standards and Technology
P3-12
Electron and Ion Beam Sources and Optics
Control of photoemission properties from NEA-GaAs surfaces by repetitive thermal pretreatments, Y. Inagaki, K. Tanaka, H. Iijima, T. Meguro, Tokyo University of Science
P3-13
Electron and Ion Beam Sources and Optics
Preparation of Ga-terminated NEA-GaAs (100) surface by HCl-isopropanol treatment for nano-analysis by STM, R.Fukuzoe, M.Hirao, D.Yamanaka, Y.Iwabuchi, H.Iijima, and T.Meguro,Tokyo University of Science
P3-14
Electron and Ion Beam Sources and Optics
Analysis of Cs Layer on InGaN by Temperature-Programmed Desorption Method, Multiple authors different affiliations: M.Kashima, D.Sato*, A.Koizumi*, T.Nishitani**, Y.Honda***, H.Amano***, H.Iijima, T.Meguro,Tokyo University of Science, *Photo electron Soul Corp., **Institute for Advanced Research, Nagoya University, ***Institute of Materials and Systems for Sustainability, Nagoya University
P3-15
Electron and Ion Beam Sources and Optics
Selective hydrogen ion beams from nano-structured emitters, R. Urban, K. Nova, M. Salomons*, R. Wolkow, J. Pitters*, University of Alberta, *National Research Council of Canada
P3-16
Electron and Ion Beam Sources and Optics
Variation of field of view according to Si deflector shape in a microcolumn, H.W. Kim, Y.B. Lee, D.W. Kim, S.J Ahn, T.S Oh, H.S Kim, Sun Moon University
P3-17 (Invited)
Electron and Ion Beam Sources and Optics
Determination of aberration coefficients in an SEM using electron ptychographic imaging, A. Agarwal, Hector Iglesias*, Chung-Soo Kim, Karl K. Berggren, Department of Electrical Engineering and Computer Science, Massachusetts institute of Technology, *Department of Physics, Massachusetts institute of Technology
P3-18 (Invited)
Imaging and Characterization
Applying Helium Ion Microscopy to Study Alport Syndrome in Mice, Kenji Tsuji*, Jeffrey H. Miner**, James M. Daley***, John Notte****, Teodor G. Păunescu*, Hua A. Jenny Lu*, *Massachusetts General Hospital, and Harvard Medical School; **Washington University School of Medicine, *** Research Laboratory of Electronics at Massachusetts Institute of Technology, **** Carl Zeiss Microscopy
P3-19
Imaging and Characterization
Electrical Biasing Transmission Electron Microscope Sample Holder Compatible with Focused Ion Beam Sample Cleaning, F. Camino, M. Lu, M-G Han, Brookhaven National Laboratory
P3-20
Imaging and Characterization
Polymer-Metal Coating for high contrast SEM cross sections towards single-digit nanoscale imaging, D. Staaks*'**, D.L. Olynick*, I. W. Rangelow**, M.V.P. Altoe*, *LBNL - Molecular Foundry, **Ilmenau University
P3-21 (Invited)
Multibeam and High Throughput Imaging Instruments
SIMS performed on the Helium Ion Microscope: new prospects for highest spatial resolution imaging and correlative microscopy, J.-N. Audinot, J. Notte*, T.Wirtz, LIST, *Zeiss
P3-22
Multibeam and High Throughput Imaging Instruments
Impact of image contrast on pattern inspection using electron microscopes, S. Iida, T. Uchiyama, Evolving nano process Infrastructure Development Center, Inc.,(EIDEC)
P3-23
Multibeam and High Throughput Imaging Instruments
Effects of Lithographic Parameters in Massively-Parallel Electron-beam Systems, S. Moon, S.-Y. Lee, J. Choi*, S.-B. Kim*, I.-K. Shin* C.-U. Jeon, Auburn University, *Samsung Electronics
P4-01
Emerging Technologies
Fabrication of magnetic nanostructures for real-time manipulation of ferrofluid, Z. Luo, B. Evans*, C. Chang, NC State Univ., *Elon Univ.
P4-02
Emerging Technologies
Microfabricated Registration Marks for Automated Location Calibration, D. Klyachko, J. Spallas, L. Muray*, Keysight Technologies, *KLA-Tencor
P4-03
Emerging Technologies
Thin-Film Characterization on a Novel Wrinkled Elastomeric Substrate with Applications in Force Sensing, Zakareya Hussein, Atif Syed*, Vasileios Koutsos, University of Edinburgh, *Netrologix Ltd
P4-04
Emerging Technologies
Wetting Properties of Hybrid Zinc Oxide Nanostructures, Atif Syed, Netrologix Ltd, Dimitrios Mamalis, Khellil Sefiane, Vasileios Koutsos, School of Engineering, The University of Edinburgh, Enrico Mastropaolo, School of Engineering, Institute for Integrated Micro and Nano System, The University of Edinburgh
P4-05
Process Simulation and Modeling
The Importance of High-Level Simulation in the Co-Design of Neuromorphic Systems, C. Schuman, A. Belianinov, P. Collier, Oak Ridge National Laboratory
P4-06
Process Simulation and Modeling
Simulation assisted separation of stochastic effects in EUV lithography and their contribution to line edge roughness and defectivity, Ulrich Welling, Georg Viehoever, Thomas Muelders, Hans-Jurgen Stock
P4-07
Process Simulation and Modeling
Polymer filling and mold durability for different shape cavities in nanoimprint lithography, Qing Wang, Lijun Ma, Shandong University of Science and Technology
P4-08
Process Simulation and Modeling
Limits of model-based CD-SEM metrology, J. Belissard, J. Hazart, S. Labbé*, F. Triki*, CEA-Leti, *Université Grenoble-Alpes
P4-09
Process Simulation and Modeling
Effect of Homopolymer Additive Molecular Weight on the Patterning Behavior of Directed Self-Assembly of Block Copolymer-Homopolymer Blends, J. B. Delony**, C.L. Breaux*, P. J. Ludovice*, C.L. Henderson**, *Georgia Institute of Technology, **University of South Florida
P5-01
Startup Contest
A start-up concept: Commercializing MESOTAS-SIEVE Brain-on-chip technology in neuropharmaceutical drug development, A. Bastiaens, R. Luttge, Eindhoven University of Technology
P5-02
Startup Contest
Nanskin - Novel electronic skin for robotics application, Atif Syed, Zakareya Hussein, Netrologix Ltd
P5-03
Startup Contest
Opto-Thermionic Cathodes for AweSEM, C. Kuzyk, C. Aiello*, F. Pease*, M. Chang, K. Jessen, A. Nojeh, University of British Columbia, *Stanford University
P5-04
Startup Contest
Surface Roughness Improvement in EUV Mask Materials, S.L. Jaiswal, D. Humphrey, A. Sumitro, L. Zhang, P. Shah, E. Kirillova, Astrileux Corporation

EIPBN Abstracts