EIPBN

EIPBN 2011 Authors Index


A | B | C | D | E | F | G | H | I | J | K | L | M | N | O | P | Q | R | S | T | U | V | W | X | Y | Z
Name Abstract

A

Abashin, M (Invited) Electromagnetic Radiation Pressure on Left- and Right-handed Dissipative Media
Abramson, Justin Selective Biomolecular Nanoarrays for Parallel Single-Molecule Investigations
Adam, Kostas (Invited) Computational Lithography: Exhausting Optical System Limits Through Intensive Optimization
Adato, Ronen High-throughput Fabrication of Engineered Plasmonic Nanoantenna Arrays with Nanostencil Lithography
Adesida, Ilesanmi 7-nm-Pitch Gratings Fabricated on Diamond Substrates Using Hydrogen Silsesquioxane Resists and Electron-Beam Lithography
Adeyenuwo, Adegboyega Paul Density Multiplication of Nanostructures Fabricated by Ultra Low Voltage Electron Beam Lithography Using PMMA as Positive and Negative Tone Resist
AG, Eulitha Demonstration of Full 4-inch Patterning with Displacement Talbot Lithography
High-Contrast Images Obtained with Displacement Talbot Lithography
Agrawal, A (Invited) Electromagnetic Radiation Pressure on Left- and Right-handed Dissipative Media
Agrawal, Amit Electron Beams with Helical Wavefronts and Quantized Angular Momentum
Ahn, Sang Jung Transmission Electron Microscopy Study of Annealed Platinum Films Made by Ion Beam Induced Deposition
Ahn, Se Hyun High Aspect-ratio Nanograting Formation on Liquid Resists by a Continuous Mold-assisted Direct-write Process
Ahn, Seungjoon Advanced Microcolumn with a Quadrupole Electrostatic Lens
Ai, Zhongkai Direct Patterning of Sub-10 nm Optical Apertures with a Helium Ion Microscope
Aida, Yukinori Improved Time Dependent Performance of HSQ Resist Using a Spin on Top Coat
E-Beam Writing Strategies for Low-loss Optical Waveguides
Aitchison, J. Stewart Nanofabrication of Photonic Crystal-Based Devices Using Electron Beam Spot Lithography Technique
Akgun, Bulent Mapping Resist Flow into Nanoscale Channels During Nanoimprint Lithography
Akinwande, Deji Nanofabrication Down to 10 nm on a Plastic Substrate
Akita, Yasuyuki Atomic Step Pattering in Nanoimprint Lithography : Molecular Dynamics Study
Aksu, Serap High-throughput Fabrication of Engineered Plasmonic Nanoantenna Arrays with Nanostencil Lithography
Albers, A. E. (Invited) Dynamic Single Particle Probes of Temperature and Viscosity in Aqueous Media
Albrecht, Thomas Pattern Transfer of Block Copolymer Template from Density Multiplication on Chemically Patterned Surface
Fabrication and Recording Performance of Bit Patterned Media
Alden, Jonathan Fabricating Arrays of Graphene Mechanical Resonators with High, Size-Dependent Quality Factors
Alexander, Justin Multiscale Hydrogen Depassivation Lithography Using a Scanning Tunneling Microscope
Patterned Atomic Layer Epitaxy of Si / Si(001):H
Alkaisi, Maan M. (Invited) Optical Sensors for Spatially-Resolved Measurement of Oxygen in Microfluidic Devices
Alkaisi, Maan Photo Nanoimprint Lithography of Biological Samples Defined by Microfabricated PDMS Stencils
Alkemade, Paul F. A. High Growth Efficiencies in Helium Ion Beam Induced Deposition at Short Beam Dwell Times
Altissimo, Matteo Commissioning of the 20-bit Vistec EBPG5000plus at the Melbourne Centre for Nanofabrication
Altug, Hatice Plasmonic Nanopillar Arrays for Enhanced Biosensing, Spectroscopy and Optical Trapping
High-throughput Fabrication of Engineered Plasmonic Nanoantenna Arrays with Nanostencil Lithography
Amos, Charles Patterned Graphene Oxide Films by a Simple Method
An, Ho Myoung Enhancement of Light Extraction Efficiency in n-GaN Patterned Vertical Light-Emitting Diodes using Nanosphere Lithography
Ananth, M. Patterning of Graphene on SiC using a He ion Nanobeam
Andelman, David Diblock Copolymer Ordering by NanoImprint Lithography
Anderson, Ian Electron Beams with Helical Wavefronts and Quantized Angular Momentum
Andreozzi, Andrea Block-Copolymer Thin Film Templates for Nanoscale Fabrication Processes: Reactive Ion Etching, E-Beam Deposition and Ion Beam Synthesis
Andrews, Trisha Breaking the Diffraction Barrier in Nanopatterning with Optical Saturable Transformations
Anokhina, Ksenia Metal-Assisted Chemical Etching of Si for Fabrication of Nanoimprint Stamps
Arai, Osamu On-Machine Wavefront Evaluation of the Full-Field Extreme Ultra-Violet Lithography Exposure System
Araki, Kosei Molecular Dynamics Study on Polymer Filling Process in Nanoimprint Lithography for Multi-Layered Resist
Araki, Kousuke Novel Nanoimprint Lithography Using Dispersed Molecular Weights
Arita, Kazuhito Measurement of Surface Potential of Insulating Film on Conductive Substrate in a Scanning Electron Microscope
Artar, Alp High-throughput Fabrication of Engineered Plasmonic Nanoantenna Arrays with Nanostencil Lithography
Arvet, Christian Development of a 13 Silicon Suspended Stacked Nanowire Architecture for Gate-All-Around (GAA) Field Effect Transistors
Aschenbach, K. Patterning of Graphene on SiC using a He ion Nanobeam
Ashby, Paul D Single Crystal Silicon Nanowires used as Cantilever for Femtonewton Detection
Ashby, Paul Time-Lapse Imaging of Thermally-Driven Signal Propagation in Nanomagnetic Logic
Ashiba, Hiroki Quality Factor Enhancement on Nano Mechanical Resonators Utilizing Stiction Phenomena
Ashok, S. Process-Structure-Property Relationship of Nanocrystalline Vanadium Oxide Thin Films Used in Uncooled Infrared Focal Plane Arrays
Atasoy, Hakan Combining Nanoimprint Lithography and a Molecular Weight Selective Thermal Reflow for the Generation of Mixed 3-D Structures
Awazu, Koichi A Gradually Shifted Surface Plasmon Resonance with a Controlled Diameter of a Nano-Hole Structure by Self-Assembly Technique

B

Baac, Hyoung Won High Aspect-ratio Nanograting Formation on Liquid Resists by a Continuous Mold-assisted Direct-write Process
Invisibility at Visible Frequency Using Carbon Nanotube Carpet
Babin, Sergey Digital Planar Holograms Fabricated by Step and Repeat UV Nanoimprint Lithography
Fabrication of Digital Planar Holograms for Brighter Power Laser Diodes
Double Patterning Technology: Process Simulation and Fabrication of Optical Elements
Babrzadeh, Farbod Optimized Multiplexed Cell Capture Using Parallel Bioactivated Microfluidic Channels
Bachtold, Adrian (Invited) ElectroMechanical Resonators based on Nanotube and Graphene
Back, Tyson (Invited) Cold Cathodes of Low Electron Affinity and Negative Electron Affinity Thin Films And Nanoclusters
Baehr-Jones, Tom E-Beam Writing Strategies for Low-loss Optical Waveguides
Bai, Benfeng Perfect Matching of Experimental and Simulated Optical Responses of Metallic Nanostructures Obtained Through the Use of Correct Refractive Index
Bain, Jim Localized Thermal Modification of Surfaces via Electron Bombardment from an STM Tip
High Current Pulse Generation for Thermal Surface Modification Using Standard STM
Bakir, Muhannad S Nanofabrication of High Aspect Ratio Nanoscale TSVs
Ballard, Josh Patterned Atomic Layer Epitaxy of Si / Si(001):H
Ballard, Joshua Multiscale Hydrogen Depassivation Lithography Using a Scanning Tunneling Microscope
Bangsaruntip, Sarunya (Invited) CMOS Density Scaling in Non-Planar Multi-Gate Silicon on Insulator Devices
Baralia, Gabriel EUV Mask Repair Using a Combination of Focused-Electron-Beam-Induced Processing and Vacuum AFM
Barbastathis, George Near-Field 3D Lithography Using Self-Assembled Nanospheres
Fabrication of Subwavelength High Aspect-Ratio Tapered Fused Silica Nanostructures for Transparent Photophilic Material
Baron, Thierry Direct Top-Down Ordering of Diblock Copolymers Through Nanoimprint Lithography
Bartha, J. W. Fabrication of Silicon Template With Smooth Tapered Side Wall for Nanoimprint Lithography
Barton, Robert Fabricating Arrays of Graphene Mechanical Resonators with High, Size-Dependent Quality Factors
Bauerdick, Sven Novel Nanopatterning Strategies for Focused Ion Beam Lithography of Nanophotonic Structures
Ion Beam Lithography for Sub-50 nm Patterning of Metal Mold Inserts and Replication by Injection Molding
Baur, Christof EUV Mask Repair Using a Combination of Focused-Electron-Beam-Induced Processing and Vacuum AFM
Bayle, Sébastien Challenges in Data Treatment and Proximity Effects Correction for Massively Parallel Electron Lithography
Bedel-Pereira, Elena Wafer Scale Integration Of Oriented Carbon Nanotubes Interconnects
Behabtu, Naty Single Walled Nanotube (SWNT) Fiber Field Emission Cathodes
Beierle, J.M. Functionalization of Focused Electron Beam Induced Deposits by Directed Self-Assembly
Belledent, Jerome Graphoepitaxy of Block Copolymers Using 193nm Lithography: A Process and Defectivity Study
Belledent, Jérôme Challenges in Data Treatment and Proximity Effects Correction for Massively Parallel Electron Lithography
Belova, L.M. A New Process for Electron Beam Induced Deposition of Cobalt with Excellent Properties
BenAssayag, Gerard Block-Copolymer Thin Film Templates for Nanoscale Fabrication Processes: Reactive Ion Etching, E-Beam Deposition and Ion Beam Synthesis
Benck, Eric Development of a Full-Field Inteference Lithogrpahy System Based on a Long-Coherence-Length Laser Source
Bennett, Patrick Cryogenic Silicon Process for Etching 15 nm Trenches and Beyond
Berggren, Karl K. Spatial-Frequency Doubling Below the Block Copolymer Period by Templated Self-Assembly
High Throughput Sub-10-nm Fabrication Based on Templated Self-Assembly of Block Copolymer
Electron-Beam-Induced Deposition of 3.5 nm Half-Pitch Dense Patterns on Bulk Si by Using a Scanning Electron Microscope
Berggren, Karl Multi-Layer Block Copolymer Self-Assembled Structures Using Tilted Pillar Templates
(Invited) Sub-10-nm Beam-Based Lithography and Applications
Resolution Limits of 200 keV Electron-Beam Lithography Using Aberration-Corrected STEM
Scanning-Neon-Ion-Beam Lithography
In-situ Study of Rate of Hydrogen Silsesquioxane Dissolution in both Alkaline and Electrochemical Systems
Berglund, Andrew Simultaneous Positioning and Orienting of a Single Nano-object Using Flow Control
Measurement of Acid Induced Blur in Polymer Films by Single-Molecule Fluorescence Microscopy
Berro, Adam Measurement of Acid Induced Blur in Polymer Films by Single-Molecule Fluorescence Microscopy
Bertagnolli, Emmerich Removal of FIB-Induced Amorphization and Gallium Contamination by Focused-Electron-Beam-Induced-Etching
Local, Direct-Write, Damage-Free Thinning of Germanium Nanowires
Focused Ion Beam Induced Synthesis of Free-Standing Graphite Nanosheets
Focused Beam Induced Etching - Making the Right Choice Between Ions and Electrons
Etching of Germanium by Chlorine Gas using a Focused Electron Beam
Bertsche, Kirk Beam-Based Measurements in Electron Microscopy
Berwald, Frans Electron-Beam-Induced Deposition of 3.5 nm Half-Pitch Dense Patterns on Bulk Si by Using a Scanning Electron Microscope
Bevis, Chris Resistivity Changes Due to Environmental Contamination In Films Used For Charge Reduction in Electrostatic Electron Optics
Bharadwaja, Srowthi Process-Structure-Property Relationship of Nanocrystalline Vanadium Oxide Thin Films Used in Uncooled Infrared Focal Plane Arrays
Bhatia, Ritwik Resistivity Changes Due to Environmental Contamination In Films Used For Charge Reduction in Electrostatic Electron Optics
Bianchi, Davide Impact of EUV Lithography Line Edge Roughness on 16 nm Memory Generation
Bibee, Matt Resistivity Changes Due to Environmental Contamination In Films Used For Charge Reduction in Electrostatic Electron Optics
Binh, Vu Thien (Invited) Cold Cathodes of Low Electron Affinity and Negative Electron Affinity Thin Films And Nanoclusters
Bishop, James Growth of SiOx Nano-Pillars Using Electron Beam Induced Deposition in an Environmental SEM
Blaikie, Richard J. (Invited) Optical Sensors for Spatially-Resolved Measurement of Oxygen in Microfluidic Devices
Blaikie, Richard A Comparative Study on Absorbance-Modulated Interference Lithography
Solid-Immersion Interference Lithography Using a Lloyd’s Mirror
Flexible PDMS Support Layers for the Evanescent Characterization of Near-Field Lithography Systems
Blomme, Pieter Impact of EUV Lithography Line Edge Roughness on 16 nm Memory Generation
Boeckl, John Single Walled Nanotube (SWNT) Fiber Field Emission Cathodes
Bogdanov, Alexei L. Electron-Beam Lithography of Photonic Waveguides: Measurement of the Effect of Field Stitching Errors on Optical Performance and Evaluation of a New Compensation Method
Boggild, P High Precision FIB Fabrication of Customizable AFM Probes
Bojko, Richard E-Beam Writing Strategies for Low-loss Optical Waveguides
Bokor, Jeff Cryogenic Silicon Process for Etching 15 nm Trenches and Beyond
Bokor, Jeffrey Time-Lapse Imaging of Thermally-Driven Signal Propagation in Nanomagnetic Logic
Boschker, S Towards a 100 wph e-Beam Direct Write Cluster
Bosman, Michel Direct-Write Lithography of Sub-10nm Features on Metallorganic Resists Using a Helium Ion Microscope
Plasmonics On Lithographically-Defined Nanostructures as Studied in Electron Energy-Loss Spectroscopy
Bosse, August Characterization of Cross Sectional Profile of Epitaxially Assembled Block Copolymer Domains using Transmission Small Angle X-Ray Scattering
Fluctuations in Lamellar Diblock Copolymer Resists
Bosworth, Joan Directed Self-assembly with Density Multiplication of POSS-Containing Block Copolymer via Controlled Solvent Annealing
Boukany, Pouyan Cell Electroporation – A Diffusion Process or a Drive-In Process?
Bourque, Frédéric Top-Down Approaches for the Fabrication of Titanium Nanostructures
Boussey, Jumana Direct Top-Down Ordering of Diblock Copolymers Through Nanoimprint Lithography
Bove, Nadine Development of a 13 Silicon Suspended Stacked Nanowire Architecture for Gate-All-Around (GAA) Field Effect Transistors
Bozano, Luisa 10 nm node Pattern Transfer Development Using an EUV, DUV and Electron Beam Sensitive Acrylate-Based Resist
Brau, Charles Extreme Brightness: Reaching the Ultimate Limits of the Electron Beam
Breese, Mark Direct-Write Lithography of Sub-10nm Features on Metallorganic Resists Using a Helium Ion Microscope
Brener, I. Fabrication of Multilayer 3D Micron-Scale Metamaterials
Brennecka, Geoff Evolution of Critical Dimension and Line Edge Roughness During Block-Copolymer Nano-Patterning
Bresin, Matthew Investigation of Inter-Diffusion Between Layers in Cryogenic Enhanced Electron Beam-Induced-Deposition
Bret, Tristan EUV Mask Repair Using a Combination of Focused-Electron-Beam-Induced Processing and Vacuum AFM
Brianceau, Pierre Development of a 13 Silicon Suspended Stacked Nanowire Architecture for Gate-All-Around (GAA) Field Effect Transistors
Metallic Color Filtering Arrays Manufactured by Nanoimprint Lithography
Brimhall, Nicole Breaking the Diffraction Barrier in Nanopatterning with Optical Saturable Transformations
Brink, Marcus 10 nm node Pattern Transfer Development Using an EUV, DUV and Electron Beam Sensitive Acrylate-Based Resist
Brodie, Alan D. Resistivity Changes Due to Environmental Contamination In Films Used For Charge Reduction in Electrostatic Electron Optics
Brown, Devin K Nanofabrication of High Aspect Ratio Nanoscale TSVs
Bruce, Robert L. 10 nm node Pattern Transfer Development Using an EUV, DUV and Electron Beam Sensitive Acrylate-Based Resist
Brueck, Steven R.J. Evolution of Critical Dimension and Line Edge Roughness During Block-Copolymer Nano-Patterning
Brueck, Steven Large Area 3D Helical Photonic Crystals
Brugger, Juergen Drawing with Nanostencils on Flexible Substrates
Bucchignano, Jim 10 nm node Pattern Transfer Development Using an EUV, DUV and Electron Beam Sensitive Acrylate-Based Resist
Budach, Michael EUV Mask Repair Using a Combination of Focused-Electron-Beam-Induced Processing and Vacuum AFM
Burcham, Kevin Dimensional Characterization Of Waveguide Coupling Device Structures Fabricated By The Fixed Beam Moving Stage (FBMS) Electron Beam Lithography
Novel Nanopatterning Strategies for Focused Ion Beam Lithography of Nanophotonic Structures
Chip Scale Focussed Electron Beam Induced Etching of a Silicon Nitride Membrane with Unique Beam Writing Strategies.
Burckel, D. B. Structural Influence of 3D Pyrolyzed Carbon Electrodes on Electrochemical Behavior
Burckel, D. Bruce Evolution of Critical Dimension and Line Edge Roughness During Block-Copolymer Nano-Patterning
Burckel, D.B. Fabrication of Multilayer 3D Micron-Scale Metamaterials
Buriak, Jillian Block Copolymers for High-Resolution Nanopatterning
Burkhalter, Gregory Commissioning of the 20-bit Vistec EBPG5000plus at the Melbourne Centre for Nanofabrication
Burnett, John Development of a Full-Field Inteference Lithogrpahy System Based on a Long-Coherence-Length Laser Source
Businaro, Luca Fabrication of Nanoparticles Deposited Photonic Crystals
Busnaina, Ahmed Plasmonic Nanopillar Arrays for Enhanced Biosensing, Spectroscopy and Optical Trapping
Buswell, Steve Nano-Patterning of PMMA on Insulating Surfaces With Various Anti-Charging Schemes Using 30 Kev Electron Beam Lithography

C

Cabrini, Stefano Digital Planar Holograms Fabricated by Step and Repeat UV Nanoimprint Lithography
Fabrication of Nanoparticles Deposited Photonic Crystals
Plasmonic Light Trapping in Nanostructural Metal Surfaces
Elucidating Effects of Nanoscale Structural Variations on Local Plasmonic Modes via Photon Localization Microscopy
Fabrication of Sub-20nm Nanochannels Integrated with Bowtie Nanoantenna
Fabrication of Digital Planar Holograms for Brighter Power Laser Diodes
Cryogenic Silicon Process for Etching 15 nm Trenches and Beyond
Cacao, Eliedonna Suspended, Micron-scale Corner Cube Retroreflectors as Ultra-bright Optical Labels
Cahay, Marc (Invited) Cold Cathodes of Low Electron Affinity and Negative Electron Affinity Thin Films And Nanoclusters
Camino, Fernando E. Focused ion Beam Milling Directed by BASIC-Like Code
Cantu, Precious Breaking the Diffraction Barrier in Nanopatterning with Optical Saturable Transformations
Carbacos, Orlando Process-Structure-Property Relationship of Nanocrystalline Vanadium Oxide Thin Films Used in Uncooled Infrared Focal Plane Arrays
Carlton, David Time-Lapse Imaging of Thermally-Driven Signal Propagation in Nanomagnetic Logic
Carmichael, Peter Simultaneous Positioning and Orienting of a Single Nano-object Using Flow Control
Measurement of Acid Induced Blur in Polymer Films by Single-Molecule Fluorescence Microscopy
Carpentiero, Alessandro Fabrication of Nickel Diffractive Phase Elements for X-Ray Microscopy at 8 keV Photon Energy
Carter, David MEMS Process Compatibility of Multiwall Carbon Nanotubes
Cech, Jiri Fabrication of Nanostructures on Double-Curved PMMA Surfaces by Thermal Imprint with PDMS Stamp
Cetin, Arif Engin Plasmonic Nanopillar Arrays for Enhanced Biosensing, Spectroscopy and Optical Trapping
Chaix, Nicolas Diblock Copolymer Ordering by NanoImprint Lithography
Metallic Color Filtering Arrays Manufactured by Nanoimprint Lithography
Chamberlain, Adam Electron-Beam Induced Deposition of Transition Metals from Bulk Liquids: Ag, Cr, and Ni
Chan, David Native Blank Defect Analysis for the Study of Printability
Chan, Emory Fabrication of Nanoparticles Deposited Photonic Crystals
Chandhok, Manish Sub-millisecond Post-Exposure and Hard Bake of Chemically Amplified Photoresists
Chandler, Clive A Comparison of Xe+ Plasma FIB Technology with Conventional Gallium LMIS FIB
Chang, Chih-Hao Near-Field 3D Lithography Using Self-Assembled Nanospheres
Fabrication of Subwavelength High Aspect-Ratio Tapered Fused Silica Nanostructures for Transparent Photophilic Material
Chang, Jae Byum Multi-Layer Block Copolymer Self-Assembled Structures Using Tilted Pillar Templates
Chang, Jae-Byum Spatial-Frequency Doubling Below the Block Copolymer Period by Templated Self-Assembly
High Throughput Sub-10-nm Fabrication Based on Templated Self-Assembly of Block Copolymer
Chang, Josephine (Invited) CMOS Density Scaling in Non-Planar Multi-Gate Silicon on Insulator Devices
Chang, Long Graded Bit Patterned Media via Helium Ion Irradiation
Chang, Y.J. Studies in Synthetic Antiferromagnetically Coupled Ring Array Magnets via Hysteresis Loops
Chau, K (Invited) Electromagnetic Radiation Pressure on Left- and Right-handed Dissipative Media
Chen, C. C. Studies in Synthetic Antiferromagnetically Coupled Ring Array Magnets via Hysteresis Loops
Chen, Chen Addressing the Fabrication of Titanium Oxide Nanostructures by Electron Beam Induced Deposition
Chen, Guo-Ping Selective Photochemical Reduction of Silver on Nanoembossed Ferroelectric Nanowires
Chen, Hao Design and Fabrication of High Enhancement Yet Wafer-Scale Uniform SERS/Plasmonic Structures Based on Nanoimprint Lithography and Self-Assembly
Extraction Efficiency Improvement of GaN-based Light-emitting Diodes Using Sub-wavelength Nanoimprinted Patterns on Sapphire Substrates
Chen, Hsing-Hong Lithography-Patterning-Fidelity-Aware Electron-Optical System Design Optimization
Chen, Hsuen-Li Use of Nanoimprint Lithography to Prepare Metallic Corrugated Structure Exhibiting Ultrasensitive Refractive Index Sensing
Using Intruded Gold Nanoclusters as Highly Active Catalysts to Fabricate Silicon Nano-Stalactite Structures
Chen, Li-Han Geometry Controlled Periodic Si Nanopillar Arrays by Dry Oxidation and Wet Etching
Chen, Robert (Invited) Challenges and Progress in Extreme Ultraviolet Mask Development
Chen, Sheng-Yung Lithography-Patterning-Fidelity-Aware Electron-Optical System Design Optimization
Chen, Xing Investigation of Graphene Piezoresistor for Use as Strain Gauge Sensors
Chen, Yan Nanofluidic Single DNA Sorter and Analyzer Fabricated by Nanoimprint and Wafer Bonding
Chen, Yifang Fabrication of Complex Nanostructures of P(VDF-TrFE) by Dual Step Hot-embossing
Selective Photochemical Reduction of Silver on Nanoembossed Ferroelectric Nanowires
Large Area Fast Patterning in High Resolution by a Combined Near-Field Exposure and Reversal Imprint Lithography
Chen, Yijian Double-Surrounding-Gate MOSFET: Enabling Robust Process Control at Deep Nanoscale
Cheng, Joy (Invited) Block Copolymer Self-assembly as an Extension of Lithography: Status, Applications, Current Research and Future Directions
Cheng, Xing Template-Assisted Growth of ZnO Nanorod Arrays
EWOD-Based Droplet Actuation by Active-Matrix Electrode Array
Cheng, Yao-Te Crisp, High Aspect-Ratio, C-Shaped Nanoapertures Fabricated in Evaporated Aluminum Using Focused Helium Ions
Cheong, Lin 3D Nanostructures by Stacking Pre-Patterned, Fluid-Supported Single-Crystal Si Membranes
Secondary-Electron Signal Levels of Self-Assembled Monolayers for Spatial-Phase-Locked Electron-Beam Lithography
Cheong, Linlee Scanning-Neon-Ion-Beam Lithography
Cheung, Rebecca Tantalum Electro-Mechanical Systems For Low Frequency Sensing In Biomimetical Applications
Chevalier, Xavier Graphoepitaxy of Block Copolymers Using 193nm Lithography: A Process and Defectivity Study
Chevolleau, Thierry Graphoepitaxy of Block Copolymers Using 193nm Lithography: A Process and Defectivity Study
Chew, Xiong Yew Plasmonics On Lithographically-Defined Nanostructures as Studied in Electron Energy-Loss Spectroscopy
Chew, Xiongyeu Fabrication of Sub-10-Nm-Gapped Gold Structures For Plasmonic Applications
Chhim, Bophan High Acceleration Voltage Characterization of SML Electron Beam Resist for Ultra High Aspect Ratio Nano-Lithographic Applications
Chihara, Yoshinori Computational Study of Electron-Irradiation Effects in Carbon Nanomaterials on Substrates
Chikkamaranahalli, Sumanth Fabrication of Nanoscale Structures on Micro Patterned Silicon (100) Surfaces
Chinen, Mika Effect Evaluation of Pentafluoropropane Gas for UV Nanoimprint Resin By Using Contact Angle Meter
Cho, Han-Ku Three-Dimensional Proximity Effect Correction for Large-Scale Uniform Patterns
Enhancement of Spatial Resolution in Generating Point Spread Functions by Monte Carlo Simulation in Electron-beam Lithography
Cho, Kyoungah Resistive Switching Characteristics of Hafnium Oxide Nano-Films on Flexible Plastic Substrates
Memory Characteristics of MOS Capacitors With Pt-Nanoparticles-Embedded Gate Layers
Choi, Chang-hwan Large-Area Pattern Transfer of Metal Nanostructures via Interference Lithography
Single-Step Interferometric Patterning of High-Aspect-Ratio Three-Dimensional Nanostructures
Choi, Chulmin Geometry Controlled Periodic Si Nanopillar Arrays by Dry Oxidation and Wet Etching
Multi-Tip AFM Lithography System for High Throughput Nano-patterning
Choi, Chumlin Di-block Copolymer Directed Anodization of Hexagonally Ordered Nanoporous Aluminum Oxide
Choi, Dae Keun Investigation of Deposition Profile For Metal Structure Using Focused Ion Beam
Choi, Dae-geun Mass Fabrication of Resistive Random Access Crossbar Array by UV-NIL
Choi, Hyungryul Johnny Fabrication of Subwavelength High Aspect-Ratio Tapered Fused Silica Nanostructures for Transparent Photophilic Material
Choi, Hyungryul Near-Field 3D Lithography Using Self-Assembled Nanospheres
Choi, Keebong The Effect of Cylindrically Inflated Substrate to Improve the Pressure Distribution in UV Nanoimprint
Choi, Kwangsik Zero-bias Rectifying Performance Enhancement of MIM Tunneling Diodes by Geometric Field Enhancement and Boiling Water Oxidation
Improving the Zero Bias Performance of MIM Tunneling Diodes by Introducing Traps in the Barrier
Choi, Seong Soo Fabrication of Plasmonic Nano-Pore Array for Nanobio Sensor
Choi, Yang-kyu Mass Fabrication of Resistive Random Access Crossbar Array by UV-NIL
Chou, Stephen Design and Fabrication of High Enhancement Yet Wafer-Scale Uniform SERS/Plasmonic Structures Based on Nanoimprint Lithography and Self-Assembly
Extraction Efficiency Improvement of GaN-based Light-emitting Diodes Using Sub-wavelength Nanoimprinted Patterns on Sapphire Substrates
Nanofluidic Single DNA Sorter and Analyzer Fabricated by Nanoimprint and Wafer Bonding
(Invited) Self Perfection of Nanostructures – A New Frontier in Nanofabrication
Fabrication of Large-area Plasmonic Nano-cavity Antenna Array for High Efficiency Mid-and-Far Infrared Sensing
Large-area Patterning of Au Nano-particles Self-aligned to Fluidic Channels for Enhancement of Molecule Detection
Chouik, Mustapha Tailored Synthesized Silsesquioxane Based Resists For UV-Assisted Nanoimprint Lithography
Christiansen, Alexander Bruun Fabrication of Nanostructures on Double-Curved PMMA Surfaces by Thermal Imprint with PDMS Stamp
Chuang, Shang-Yu Use of Nanoimprint Lithography to Prepare Metallic Corrugated Structure Exhibiting Ultrasensitive Refractive Index Sensing
Clube, Francis Demonstration of Full 4-inch Patterning with Displacement Talbot Lithography
High-Contrast Images Obtained with Displacement Talbot Lithography
Collet, Maéva Wafer Scale Integration Of Oriented Carbon Nanotubes Interconnects
Collins, John Tip Based Lithography for Biocompatible Materials
Comboroure, Corinne Development of a 13 Silicon Suspended Stacked Nanowire Architecture for Gate-All-Around (GAA) Field Effect Transistors
Con, Celal High Resolution Electron Beam Lithography Using Polystyrene Negative Resist
Constancias, Christophe 5kV Multi Electron Beam Lithography MAPPER Tool: From 32nm to 22nm Resolution Capability
Conway, James Hard Stamp Processes for the EVG 620 Full Field Nanoimprint System
Cook, Ben The Influence of Gun Design on Coulomb-Interactions in a Field Emission Gun
Statistical Coulomb Forces in Photo-Field Emitters for Ultrafast Microscopy
Cook, Eugene MEMS Process Compatibility of Multiwall Carbon Nanotubes
Cooke, Simon High Accuracy Charged Beam Modeling in MICHELLE–eBEAM
Corbalan, Miguel Impact of EUV Lithography Line Edge Roughness on 16 nm Memory Generation
Cord, Bryan Nanoimprint Induced Block Copolymer Self-Assembly
Couderc, Christophe Graphoepitaxy of Block Copolymers Using 193nm Lithography: A Process and Defectivity Study
Craighead, Harold Fabricating Arrays of Graphene Mechanical Resonators with High, Size-Dependent Quality Factors
Crendal, Richard Growth of SiOx Nano-Pillars Using Electron Beam Induced Deposition in an Environmental SEM
Croffie, Ebo Process Window Modeling Using Focus Balancing Technique
Cui, Bo High Resolution Electron Beam Lithography Using Polystyrene Negative Resist
High Sensitivity Electron Beam Lithography Using ZEP Resist and MEK:MIBK Developer
Cui, Dehu EWOD-Based Droplet Actuation by Active-Matrix Electrode Array
Cumming, David Micro-Fabrication of Terahertz Metamaterial Absorbers
Cunge, Gilles Graphoepitaxy of Block Copolymers Using 193nm Lithography: A Process and Defectivity Study
Curtin, Alexandra Patterning of Graphene on SiC using a He ion Nanobeam
Czaplewski, David Improving PMMA Etch Resistance using Sequential Infiltration Synthesis
Czepl, Peter Ion Beam Lithography for Sub-50 nm Patterning of Metal Mold Inserts and Replication by Injection Molding

D

Dagenais, Mario Zero-bias Rectifying Performance Enhancement of MIM Tunneling Diodes by Geometric Field Enhancement and Boiling Water Oxidation
Improving the Zero Bias Performance of MIM Tunneling Diodes by Introducing Traps in the Barrier
Dahal, Promise Nanocomposite-Beam Based Microresonator Fabricated by Combining Microlithography And Layer-By-Layer Nanoassembly
Dahlin, Andreas Nanomenhirs for Surface-based Biosensing of Lipid Structures
Dai, Bing Optimizing the Performance of Keyhole Diffraction Microscopy
Dai, Qing Three-Dimensional Proximity Effect Correction for Large-Scale Uniform Patterns
Enhancement of Spatial Resolution in Generating Point Spread Functions by Monte Carlo Simulation in Electron-beam Lithography
Daillant, Jean Diblock Copolymer Ordering by NanoImprint Lithography
Dais, Christian Demonstration of Full 4-inch Patterning with Displacement Talbot Lithography
High-Contrast Images Obtained with Displacement Talbot Lithography
Dal'zotto, Bernard 5kV Multi Electron Beam Lithography MAPPER Tool: From 32nm to 22nm Resolution Capability
Darling, Seth Improving PMMA Etch Resistance using Sequential Infiltration Synthesis
David, Christian 3D Nanostructuring of Hydrogen Silsesquioxane Resist by 100 keV Electron Beam Lithography
David, Tim (Invited) Optical Sensors for Spatially-Resolved Measurement of Oxygen in Microfluidic Devices
Davidson, Jimmy Extreme Brightness: Reaching the Ultimate Limits of the Electron Beam
Davis, Ronald Optimized Multiplexed Cell Capture Using Parallel Bioactivated Microfluidic Channels
Davoust, Laurent Viscoelastic Properties Measurements of Thin Polymer Films from Reflow of Nanoimprinted Patterns
Davydova, Natalia (Invited) Creative Metrology Development for EUVL: Flare and Out-of-Band Qualification
de Boer, G. Towards a 100 wph e-Beam Direct Write Cluster
de Boer, S.K. Functionalization of Focused Electron Beam Induced Deposits by Directed Self-Assembly
de Hosson, J.T.M. Functionalization of Focused Electron Beam Induced Deposits by Directed Self-Assembly
Dehaene, Wim Impact of EUV Lithography Line Edge Roughness on 16 nm Memory Generation
Delft, TU Deposition and Structuring of Ag/AgCl Electrodes into a Closed Polymeric Microfluidic System for Electroosmotic Pumping
Delgadillo, Paulina Rincon Towards an All-Track Process for DSA
Dembla, Ashish Nanofabrication of High Aspect Ratio Nanoscale TSVs
DeRose, Guy High Acceleration Voltage Characterization of SML Electron Beam Resist for Ultra High Aspect Ratio Nano-Lithographic Applications
Désières, Yohan Metallic Color Filtering Arrays Manufactured by Nanoimprint Lithography
Despont, Michel Thermo-Mechanical Probe Lithography at 500 kHz Pixel Rate
Dew, Steven K. Developer-Free Direct Patterning on PMMA by Low Voltage Electron Beam Lithography
Density Multiplication of Nanostructures Fabricated by Ultra Low Voltage Electron Beam Lithography Using PMMA as Positive and Negative Tone Resist
Comparison Between ZEP and PMMA Resists for Nanoscale Electron Beam Lithography Experimentally and by Numeric Modeling
Nanofabrication of Silicon Carbon Nitride Cantilevers – Comparison of PMMA and HSQ Based Processes
Dew, Steven Nano-Patterning of PMMA on Insulating Surfaces With Various Anti-Charging Schemes Using 30 Kev Electron Beam Lithography
Dhima, Khalid Experimental Analysis For Process Control In Hybrid Lithography (T-NIL + UV-L)
Low Temperature Thermal Imprint via Frequency Assistance
Dhuey, Scott Digital Planar Holograms Fabricated by Step and Repeat UV Nanoimprint Lithography
Fabrication of Nanoparticles Deposited Photonic Crystals
Plasmonic Light Trapping in Nanostructural Metal Surfaces
Time-Lapse Imaging of Thermally-Driven Signal Propagation in Nanomagnetic Logic
Fabrication of Sub-20nm Nanochannels Integrated with Bowtie Nanoantenna
Fabrication of Digital Planar Holograms for Brighter Power Laser Diodes
Cryogenic Silicon Process for Etching 15 nm Trenches and Beyond
Diego, San Geometry Controlled Periodic Si Nanopillar Arrays by Dry Oxidation and Wet Etching
Dielissen, Bas Direct Local Deposition of High-Purity Pt and Pd Nanostructures by a Novel Combination of EBID and ALD
Diez, Javier The Directed Assembly of Metallic Nanoparticle Chains by Pulsed Laser Induced Dewetting and Nanolithography
DiNatale, William Focused Ion Beam Enabled Characterization of Nanostructured Polymeric Materials
Ding, Fei Design and Fabrication of High Enhancement Yet Wafer-Scale Uniform SERS/Plasmonic Structures Based on Nanoimprint Lithography and Self-Assembly
Divan, Ralu High Aspect Ratio Zone Plate Fabrication Using a Bilayer Mold
Fabrication of High-Aspect-Ratio Nanopores by Interference Lithography
Dobisz, Elizabeth Directed Self-assembly with Density Multiplication of POSS-Containing Block Copolymer via Controlled Solvent Annealing
Dobisz, Elizabeth Pattern Transfer of Block Copolymer Template from Density Multiplication on Chemically Patterned Surface
Fabrication and Recording Performance of Bit Patterned Media
Doi, Toshiro Negative-tone E-beam Resist Patterning for more than 1 Tbit/in2 Bit-patterned Media NIL Mold
Donev, Eugene Electron-Beam Induced Deposition of Transition Metals from Bulk Liquids: Ag, Cr, and Ni
Dowsett, David High-Resolution High-Sensitivity Characterization Of Nanoscale Structures in a New Combined SIMS-SPM Instrument: Correction of Topography Artifacts
Drew, H.D. Patterning of Graphene on SiC using a He ion Nanobeam
Drouin, Dominique Top-Down Approaches for the Fabrication of Titanium Nanostructures
Du, Ke Large-Area Pattern Transfer of Metal Nanostructures via Interference Lithography
Duan, Huigao Fabrication of Sub-10-Nm-Gapped Gold Structures For Plasmonic Applications
Plasmonics On Lithographically-Defined Nanostructures as Studied in Electron Energy-Loss Spectroscopy
In-situ Study of Rate of Hydrogen Silsesquioxane Dissolution in both Alkaline and Electrochemical Systems
Duan, Huigo (Invited) Sub-10-nm Beam-Based Lithography and Applications
Duerig, Urs Thermo-Mechanical Probe Lithography at 500 kHz Pixel Rate
Dunn, Kathleen Investigation of Inter-Diffusion Between Layers in Cryogenic Enhanced Electron Beam-Induced-Deposition
Dzurak, Andrew (Invited) Spin-based Quantum Information Processing in Silicon
Fabrication of Sub-15nm Nanostructures via Metal Lift-Off or Silicon Etching

E

Ecoffey, Serge Top-Down Approaches for the Fabrication of Titanium Nanostructures
Economou, Nicholas P. History and Development of the Helium Ion Microscope
Eddy, C.R. Patterning of Graphene on SiC using a He ion Nanobeam
Edinger, Klaus EUV Mask Repair Using a Combination of Focused-Electron-Beam-Induced Processing and Vacuum AFM
Elam, Jeffrey Improving PMMA Etch Resistance using Sequential Infiltration Synthesis
Endo, Ayako Adhesive Forces of Fluorinated Silica Surfaces Affected by Surface Coverage of Tridecafluoro-1,1,2,2-Tetrahydrooctyltrimethoxysilane
Engelmann, Sebastian 10 nm node Pattern Transfer Development Using an EUV, DUV and Electron Beam Sensitive Acrylate-Based Resist
Entesari, Kamran EWOD-Based Droplet Actuation by Active-Matrix Electrode Array
Erlangen-Nurnberg, Universitat Focused Electron Beam Induced Surface Activation: A Novel Lithographic Method to Fabricate Nanostructures
Addressing the Fabrication of Titanium Oxide Nanostructures by Electron Beam Induced Deposition
Ernst, Thomas Development of a 13 Silicon Suspended Stacked Nanowire Architecture for Gate-All-Around (GAA) Field Effect Transistors
Eurlings, Mark (Invited) Creative Metrology Development for EUVL: Flare and Out-of-Band Qualification
Evans, John Photo Nanoimprint Lithography of Biological Samples Defined by Microfabricated PDMS Stencils
Evoy, Stephane Nanofabrication of Silicon Carbon Nitride Cantilevers – Comparison of PMMA and HSQ Based Processes

F

Fairchild, Steve (Invited) Cold Cathodes of Low Electron Affinity and Negative Electron Affinity Thin Films And Nanoclusters
Fairchild, Steven Single Walled Nanotube (SWNT) Fiber Field Emission Cathodes
Faivre, Marie-Emmanuelle Development of a 13 Silicon Suspended Stacked Nanowire Architecture for Gate-All-Around (GAA) Field Effect Transistors
Fang, Chao Direct-Write Lithography of Sub-10nm Features on Metallorganic Resists Using a Helium Ion Microscope
Direct Patterning of Sub-10 nm Optical Apertures with a Helium Ion Microscope
Farah, Abdi Challenges in Data Treatment and Proximity Effects Correction for Massively Parallel Electron Lithography
Farrow, Reginald A Parametric Study of Electrophoretic Deposition of Single Wall Nanotubes In Nanoscale Windows
Fazio, Teresa DNA Arrays with Site-Specific Labels
Feenstra, Kees (Invited) Creative Metrology Development for EUVL: Flare and Out-of-Band Qualification
Feldman, Martin Design of Micro-scale Transmission Light Valve Arrays
Fenger, Germain Image-Based EUVL Aberration Metrology
Image Invariant and Information Content Comparisons across Sub-32nm Technologies
Feringa, B.L. Functionalization of Focused Electron Beam Induced Deposits by Directed Self-Assembly
Fernandez-Cuesta, Irene Fabrication of Sub-20nm Nanochannels Integrated with Bowtie Nanoantenna
Fernandez-Dominguez, Antonio Plasmonics On Lithographically-Defined Nanostructures as Studied in Electron Energy-Loss Spectroscopy
Ferranti, David Fabrication of Nanopores Using a Helium Ion Microscope
Crisp, High Aspect-Ratio, C-Shaped Nanoapertures Fabricated in Evaporated Aluminum Using Focused Helium Ions
Scanning-Neon-Ion-Beam Lithography
Comparative Study of Nano-Pillar Growth by Helium Ion and Gallium Ion Focused Beams
Fian, Alexander Replication Of Undercut Trenches for Water Based Lift-Off Process by Residue-Free UV-NIL
Field, Christopher Gas Sensors Based on Vertically Aligned Nanowire Arrays
Fieldhouse, Nickolas Process-Structure-Property Relationship of Nanocrystalline Vanadium Oxide Thin Films Used in Uncooled Infrared Focal Plane Arrays
Figuiero, Thiago Comparison of PSF for non CAR and CAR Resists in E-Beam Lithography
Fischer, Clóvis FIB Cross-Sections for Morphological Analysis of Ni-P Hard-Mask Transformation During Plasma Etching
Fito, Taras Comparison Between ZEP and PMMA Resists for Nanoscale Electron Beam Lithography Experimentally and by Numeric Modeling
Flacker, Aleksander FIB Cross-Sections for Morphological Analysis of Ni-P Hard-Mask Transformation During Plasma Etching
Flahaut, Emmanuel Wafer Scale Integration Of Oriented Carbon Nanotubes Interconnects
Fleischer, Monika Fabrication of Plasmonic Nanostructures by Etch Mask Transfer
Flemming, Yves High-Resolution High-Sensitivity Characterization Of Nanoscale Structures in a New Combined SIMS-SPM Instrument: Correction of Topography Artifacts
Fleury, Guilleaume Graphoepitaxy of Block Copolymers Using 193nm Lithography: A Process and Defectivity Study
Foulkes, John A Comparative Study on Absorbance-Modulated Interference Lithography
Fowlkes, Jason The Directed Assembly of Metallic Nanoparticle Chains by Pulsed Laser Induced Dewetting and Nanolithography
In situ Optical Imaging and Laser Processing in the SEM/FIB: A True EIPBN System
Frank, Andreas Self-Actuated Piezoresistive 512-Cantilever Arrays for Large-Area Imaging and Manipulation
Franz, German A Comparison of Xe+ Plasma FIB Technology with Conventional Gallium LMIS FIB
Fridmann, Joel Novel Nanopatterning Strategies for Focused Ion Beam Lithography of Nanophotonic Structures
Chip Scale Focussed Electron Beam Induced Etching of a Silicon Nitride Membrane with Unique Beam Writing Strategies.
Fu, Joe Fabrication of Nanoscale Structures on Micro Patterned Silicon (100) Surfaces
Fucetola, Corey 3D Fabrication by Stacking Pre-patterned, Rigidly-held Membranes
3D Nanostructures by Stacking Pre-Patterned, Fluid-Supported Single-Crystal Si Membranes
Fuhrer, M.S. Patterning of Graphene on SiC using a He ion Nanobeam
Fujikawa, Norihiro High Aspect Ratio Fine Pattern Transfer Using Novel Mold by Nanoimprint Lithography
Fujinawa, Tadashi Negative-tone E-beam Resist Patterning for more than 1 Tbit/in2 Bit-patterned Media NIL Mold
Fukushige, Akinori Dwell Time Adjustment for Focused Ion Beam Machining
Surface Deformation Of Ga+ Ion Collision Process via Molecular Dynamics Simulation
Fukushima, Yasuyuki Extreme Ultraviolet Interference Lithography toward 1X nm Nodes
Fuller, Nicholas C. M. 10 nm node Pattern Transfer Development Using an EUV, DUV and Electron Beam Sensitive Acrylate-Based Resist
Fulmes, Yuliya Fabrication of Plasmonic Nanostructures by Etch Mask Transfer

G

G., Amir Tavakkoli K. Spatial-Frequency Doubling Below the Block Copolymer Period by Templated Self-Assembly
Gallatin, Gregg M. Towards Reliable Fabrications of Qdot-Nanopatterns on DNA Origami
Gang, Oleg DNA Arrays with Site-Specific Labels
Gao, Hanhong Near-Field 3D Lithography Using Self-Assembled Nanospheres
Gaskill, D.K. Patterning of Graphene on SiC using a He ion Nanobeam
Gaugiran, Stephanie Graphoepitaxy of Block Copolymers Using 193nm Lithography: A Process and Defectivity Study
Gauntt, Bryan Process-Structure-Property Relationship of Nanocrystalline Vanadium Oxide Thin Films Used in Uncooled Infrared Focal Plane Arrays
Gautsch, Sebastian Self Aligned Concentric Nanostructures Formed by E-beam Overexposure of PMMA and Single Post Processing Steps
Gauzner, Gene Study of Spin-coated Resist Coverage on Nanoscale Topography Using Spectroscopic Ellipsometry
George, Hubert C. Novel method for Fabrication of Nanoscale Single-Electron Transistors: Electron Beam Induced Deposition of Pt and Atomic Layer Deposition of Tunnel Barriers
George, Matthew Evolution of Critical Dimension and Line Edge Roughness During Block-Copolymer Nano-Patterning
Ghadarghadr, Shabnam 3D Nanostructures by Stacking Pre-Patterned, Fluid-Supported Single-Crystal Si Membranes
Ghosh, Nikkon Extreme Brightness: Reaching the Ultimate Limits of the Electron Beam
Gierak, Jacques Ionic Liquid Ion Sources as a Unique and Versatile Option in Focused Ion Beam Applications
Filtering and Energy Characterization of Ion Species from Ionic Liquid Ion Sources for Focused Ion Beam Applications
Fine-Focused Beams of Highly Charged Ions
Ginn, J.C. Fabrication of Multilayer 3D Micron-Scale Metamaterials
Glaser, Markus Focused Ion Beam Induced Synthesis of Free-Standing Graphite Nanosheets
Glatzel, Thilo High-Resolution High-Sensitivity Characterization Of Nanoscale Structures in a New Combined SIMS-SPM Instrument: Correction of Topography Artifacts
Glinsner, Thomas Hybrid Tri-Layer Stamps for Step and Repeat Imprint Lithography
Glodde, Martin 10 nm node Pattern Transfer Development Using an EUV, DUV and Electron Beam Sensitive Acrylate-Based Resist
Glushenko, Gennady Double Patterning Technology: Process Simulation and Fabrication of Optical Elements
Goehring, Nadine The Influence of Surface Patterning on Bacterial Growth Behavior
Gold, Herbert Replication Of Undercut Trenches for Water Based Lift-Off Process by Residue-Free UV-NIL
Goldberg, Kenneth A. Native Blank Defect Analysis for the Study of Printability
Goldberg, Kenneth (Invited) Challenges and Progress in Extreme Ultraviolet Mask Development
Actinic Characterization of EUV Bump-Type Phase Defects
Gollmer, Dominik Fabrication of Plasmonic Nanostructures by Etch Mask Transfer
Goltsov, Alexander Digital Planar Holograms Fabricated by Step and Repeat UV Nanoimprint Lithography
Fabrication of Digital Planar Holograms for Brighter Power Laser Diodes
Gondarenko, Alexander Micro and Nano Pillar Assay for T cell Activation
Gong, Zhongcheng Fabrication of Carbon Nanotube Film-Piezoelectric (CNF-PZT) Microcantilevers for Energy Harvesting Application
Nanocomposite-Beam Based Microresonator Fabricated by Combining Microlithography And Layer-By-Layer Nanoassembly
Goodwin, Frank Native Blank Defect Analysis for the Study of Printability
Goodyear, Andy Cryogenic Silicon Process for Etching 15 nm Trenches and Beyond
Gorelick, Sergey 3D Nanostructuring of Hydrogen Silsesquioxane Resist by 100 keV Electron Beam Lithography
Gorodetsky, Alon Selective Biomolecular Nanoarrays for Parallel Single-Molecule Investigations
Goto, Hiroshi Soft Patterning on Cylindrical Surface of Plastic Optical Fiber by Sliding Roller-Imprinting
Gotrik, Kevin Spatial-Frequency Doubling Below the Block Copolymer Period by Templated Self-Assembly
Gotszalk, T. Self-Actuated Piezoresistive 512-Cantilever Arrays for Large-Area Imaging and Manipulation
Gottfried, Hochleitner Focused Beam Induced Etching - Making the Right Choice Between Ions and Electrons
Graczyk, Mariusz Metal-Assisted Chemical Etching of Si for Fabrication of Nanoimprint Stamps
Graham, William 10 nm node Pattern Transfer Development Using an EUV, DUV and Electron Beam Sensitive Acrylate-Based Resist
Grant, James Micro-Fabrication of Terahertz Metamaterial Absorbers
Graupera, Theresa A Comparison of Xe+ Plasma FIB Technology with Conventional Gallium LMIS FIB
Grenci, Gianluca Fabrication of Nickel Diffractive Phase Elements for X-Ray Microscopy at 8 keV Photon Energy
Grewal, Heim Kirin The Fabrication of Shallow Co-axial P-N Junctions on Silicon Micro/Nanopillars for Solar Cell Applications
Grobis, Michael Fabrication and Recording Performance of Bit Patterned Media
Gronheid, Roel Towards an All-Track Process for DSA
Impact of EUV Lithography Line Edge Roughness on 16 nm Memory Generation
Guenoun, Patrick Diblock Copolymer Ordering by NanoImprint Lithography
Guilet, Stephane Ionic Liquid Ion Sources as a Unique and Versatile Option in Focused Ion Beam Applications
Filtering and Energy Characterization of Ion Species from Ionic Liquid Ion Sources for Focused Ion Beam Applications
Guillorn, Michael A. 10 nm node Pattern Transfer Development Using an EUV, DUV and Electron Beam Sensitive Acrylate-Based Resist
Guillorn, Michael (Invited) CMOS Density Scaling in Non-Planar Multi-Gate Silicon on Insulator Devices
Guilmain, Marc Top-Down Approaches for the Fabrication of Titanium Nanostructures
Gullikson, Eric (Invited) Challenges and Progress in Extreme Ultraviolet Mask Development
Gunasekaran, Alfred Nanocomposite-Beam Based Microresonator Fabricated by Combining Microlithography And Layer-By-Layer Nanoassembly
Guo, Jack Detection and Characterization of Buried Structures by Exploring Patterns in Angle- and Energy- Filtered Back-Scattered Electrons
Guo, L. Jay High Efficiency Plasmonic Color Filters Fabricated Using Imprint Lithography
High Aspect-ratio Nanograting Formation on Liquid Resists by a Continuous Mold-assisted Direct-write Process
Invisibility at Visible Frequency Using Carbon Nanotube Carpet
Solar Energy Harvesting Photonic Color Filters
Gupta, Shilpi Development of Metal Etch Mask by Single Layer Lift-Off for Silicon Nitride Photonic Crystals
Guzenko, Vitaliy A. 3D Nanostructuring of Hydrogen Silsesquioxane Resist by 100 keV Electron Beam Lithography
Guzenko, Vitaliy Selective Profile Transformation of Electron-Beam Exposed Multilevel Resist Structures Based on a Molecular Weight Dependent Thermal Reflow
Gysin, Urs High-Resolution High-Sensitivity Characterization Of Nanoscale Structures in a New Combined SIMS-SPM Instrument: Correction of Topography Artifacts

H

Hadjimichael, Evangelos Subwavelength Optical Lithography of Complex Nanopatterns by Diffraction
Hadziioannou, Georges Graphoepitaxy of Block Copolymers Using 193nm Lithography: A Process and Defectivity Study
Haensch, Wilfried (Invited) CMOS Density Scaling in Non-Planar Multi-Gate Silicon on Insulator Devices
Hagen, C.W. Parallel Electron-Beam-Induced Deposition using a Multi- Beam Scanning Electron Microscope
Hagen, Cornelis W. Electron-Beam-Induced Deposition of 3.5 nm Half-Pitch Dense Patterns on Bulk Si by Using a Scanning Electron Microscope
Hagen, Kees Individual Beam Control for MEMS Multi Electron Beam Systems
Statistical Coulomb Forces in Photo-Field Emitters for Ultrafast Microscopy
Hagopian, John Fabrication of an Optical Magnetic Mirror by E-Beam Writing
Hainberger, Rainer Ion Beam Lithography for Sub-50 nm Patterning of Metal Mold Inserts and Replication by Injection Molding
Hakkennes, E.A. Towards a 100 wph e-Beam Direct Write Cluster
Hall, Adam Fabrication of Nanopores Using a Helium Ion Microscope
Hallstein, Roy Neon GFIS Nanomachining Applications –A Study Of The Machining Properties of Neon for Semiconductor FA and Circuit Edit
Hamaguchi, Tetsuya Copper Oxide Nanowire Arrays Synthesized from Sputtered Cu Thin Film
Injection Compression Molding of High-Aspect-Ratio Nanostructures
Hamilton, Alister Tantalum Electro-Mechanical Systems For Low Frequency Sensing In Biomimetical Applications
Han, Yong Resistive Switching Characteristics of Hafnium Oxide Nano-Films on Flexible Plastic Substrates
Hannon, Adam Floyd Spatial-Frequency Doubling Below the Block Copolymer Period by Templated Self-Assembly
Hao, Hanfang Direct-Write Lithography of Sub-10nm Features on Metallorganic Resists Using a Helium Ion Microscope
Direct Patterning of Sub-10 nm Optical Apertures with a Helium Ion Microscope
Hao, Yufeng Patterned Graphene Oxide Films by a Simple Method
Harada, T. Generation of Highly Coherent, Bright 13 nm Light with Phase-Matched High-Order Harmonics for Coherent Scatterometry Microscope
Harada, Tetsuo EUV-Mask Pattern Imaging by the Coherent Scatterometry Microscope
Extreme Ultraviolet Interference Lithography toward 1X nm Nodes
Harris-Jones, Jenah Native Blank Defect Analysis for the Study of Printability
Advanced Metrology For Extreme Ultraviolet (EUV) Mask Blank Defect Reduction
Harris, Kenneth Block Copolymers for High-Resolution Nanopatterning
Harry, Katherine (Invited) Sub-10-nm Beam-Based Lithography and Applications
In-situ Study of Rate of Hydrogen Silsesquioxane Dissolution in both Alkaline and Electrochemical Systems
Harteneck, Bruce Digital Planar Holograms Fabricated by Step and Repeat UV Nanoimprint Lithography
Fabrication of Nanoparticles Deposited Photonic Crystals
Plasmonic Light Trapping in Nanostructural Metal Surfaces
Nanoimprint Induced Block Copolymer Self-Assembly
Fabrication of Digital Planar Holograms for Brighter Power Laser Diodes
Cryogenic Silicon Process for Etching 15 nm Trenches and Beyond
Hartfield, Cheryl In situ Optical Imaging and Laser Processing in the SEM/FIB: A True EIPBN System
Hartley, John Electron Beam Lithography Tools for Low Cost Inspection of Extreme Ultraviolet Lithography Masks
Liftoff Lithography of Chrome for Extreme Ultraviolet Lithography Mask Absorber Layer Patterning
Hartmann, Jean-Michel Development of a 13 Silicon Suspended Stacked Nanowire Architecture for Gate-All-Around (GAA) Field Effect Transistors
Haruyama, Y. Room-Temperature Nanoimprint Using Spin-Coated HSQ with High Boiling -Point Solvent
Haruyama, Yuichi Mechanical Characteristics Of Nanostructures Fabricated By Nanoimprint
Annealing Dependence of Deposit Morphology for Fe-Ga Contained DLC Film Formed by FIB-CVD with Ferrocene Source Gas
Evaluation of Effect of Fluorine Additive Agent for Cationic UV-Nanoimprint Resin
Evaluation of PDMS Thin Layer as Antisticking Layer for UV Nanoimprinting
Evaluation of SiOx Containing UV Nanoimprint Resin
Effect Evaluation of Pentafluoropropane Gas for UV Nanoimprint Resin By Using Contact Angle Meter
Comparison of Surface Condition of Nanoimprint Antisticking Layers Formed by CVD And Dip-Coat Methods
Evaluation of Curing Process of UV Resin in PFP Gas Ambient by Photo-Differential Scanning Calorimetry
Adhesion and Frictional Forces Measurement by Scanning Probe Microscopy Under Pentafluoropropane Gas Atmosphere
Hasegawa, Hirokazu Directed Self-assembly with Density Multiplication of POSS-Containing Block Copolymer via Controlled Solvent Annealing
Hastings, J. Todd Electron-Beam Induced Deposition of Transition Metals from Bulk Liquids: Ag, Cr, and Ni
Hastings, Todd Real-time Dose Control for Electron-Beam Lithography
Secondary-Electron Signal Levels of Self-Assembled Monolayers for Spatial-Phase-Locked Electron-Beam Lithography
Hatakeyama, Taiki Carbon Nanomechanical Resonator Fabrication from PMMA by FIB/EB Dual-Beam Lithography
Selective Graphene Growth from DLC Thin Film Patterned by Focused-ion-beam Chemical Vapor Deposition
Hauguth, Maik Integrated Tool and Feature 2D Plasma Processing Simulator, Used for a Modeling of Cryogenic Plasma Etching of Silicon
Hayakawa, Teruaki Directed Self-assembly with Density Multiplication of POSS-Containing Block Copolymer via Controlled Solvent Annealing
He, Jian Fabrication of Silicon Template With Smooth Tapered Side Wall for Nanoimprint Lithography
He, Li E-Beam Writing Strategies for Low-loss Optical Waveguides
He, Yuan Fabrication of Carbon Nanotube Film-Piezoelectric (CNF-PZT) Microcantilevers for Energy Harvesting Application
Nanocomposite-Beam Based Microresonator Fabricated by Combining Microlithography And Layer-By-Layer Nanoassembly
Hedrick, James L. Thermo-Mechanical Probe Lithography at 500 kHz Pixel Rate
Heerkens, Carel Th. C. Individual Beam Control for MEMS Multi Electron Beam Systems
Heinz, Wanzenboeck Focused Beam Induced Etching - Making the Right Choice Between Ions and Electrons
Heitkamp, Bernd Ion Beam Lithography for Sub-50 nm Patterning of Metal Mold Inserts and Replication by Injection Molding
Hellwig, Olav Fabrication and Recording Performance of Bit Patterned Media
Fabrication and Testing of 1.5 Terabit/in2 Bit-Patterned Media for Thermally-Assisted Magnetic Recording.
Helms, Brett A (Invited) Dynamic Single Particle Probes of Temperature and Viscosity in Aqueous Media
Helms, Brett Nanoimprint Induced Block Copolymer Self-Assembly
Hendrickx, Eric (Invited) Creative Metrology Development for EUVL: Flare and Out-of-Band Qualification
Henslee, Brian E. Cell Electroporation – A Diffusion Process or a Drive-In Process?
Hertz, Hans M. Towards High-Resolution High-Diffraction-Efficiency Soft X-Ray Zone Plate Lenses: Sub-15 Nm Pattern Transfer to Tungsten Using HSQ and Cryogenic RIE
Hertz, Hans A New High-Aspect-Ratio Diamond Dry-Etch Process for Hard X-Ray FEL Radiation Zone Plates
Herzing, Andrew Electron Beams with Helical Wavefronts and Quantized Angular Momentum
Hesse, William Near-Field 3D Lithography Using Self-Assembled Nanospheres
Hesselink, Lambertus Crisp, High Aspect-Ratio, C-Shaped Nanoapertures Fabricated in Evaporated Aluminum Using Focused Helium Ions
Heuck, Friedjof Deposition and Structuring of Ag/AgCl Electrodes into a Closed Polymeric Microfluidic System for Electroosmotic Pumping
Hill, Ray Scanning Transmission Ion Microscopy with the Helium Ion Microscope – Modeling and Selected Applications
Hinsberg, Bill (Invited) Block Copolymer Self-assembly as an Extension of Lithography: Status, Applications, Current Research and Future Directions
Hirai, Yoshihiko Molecular Dynamics Study on Polymer Filling Process in Nanoimprint Lithography for Multi-Layered Resist
Shrinkage Pattern Correction (SPC) in Nanoimprint Lithography
High Aspect Ratio Fine Pattern Transfer Using Novel Mold by Nanoimprint Lithography
Novel Nanoimprint Lithography Using Dispersed Molecular Weights
Evaluation of Curing Process of UV Resin in PFP Gas Ambient by Photo-Differential Scanning Calorimetry
Atomic Step Pattering in Nanoimprint Lithography : Molecular Dynamics Study
Computational Study of Electron-Irradiation Effects in Carbon Nanomaterials on Substrates
Hiroshima, Hiroshi Evaluation of Curing Process of UV Resin in PFP Gas Ambient by Photo-Differential Scanning Calorimetry
Hiroshima, Hiroshi Anisotropic Filling Phenomenon of Trenches in UV Nanoimprint
Impact of Hydrofluoroether on Contact Force of Thermal Nanoimprint
Effect Evaluation of Pentafluoropropane Gas for UV Nanoimprint Resin By Using Contact Angle Meter
Adhesion and Frictional Forces Measurement by Scanning Probe Microscopy Under Pentafluoropropane Gas Atmosphere
Hitzman, Charles J. Resistivity Changes Due to Environmental Contamination In Films Used For Charge Reduction in Electrostatic Electron Optics
Ho, Kuan-Hung Use of Nanoimprint Lithography to Prepare Metallic Corrugated Structure Exhibiting Ultrasensitive Refractive Index Sensing
Hochberg, Michael E-Beam Writing Strategies for Low-loss Optical Waveguides
Hochleitner, Gottfried Removal of FIB-Induced Amorphization and Gallium Contamination by Focused-Electron-Beam-Induced-Etching
Local, Direct-Write, Damage-Free Thinning of Germanium Nanowires
Etching of Germanium by Chlorine Gas using a Focused Electron Beam
Hofer, Manuel Micromachined Video Rate AFM Silicon Cantilever
Single Crystal Silicon Nanowires used as Cantilever for Femtonewton Detection
Hofmann, Thorsten EUV Mask Repair Using a Combination of Focused-Electron-Beam-Induced Processing and Vacuum AFM
Hoga, Morihisa Demonstration of Full 4-inch Patterning with Displacement Talbot Lithography
Negative-tone E-beam Resist Patterning for more than 1 Tbit/in2 Bit-patterned Media NIL Mold
Master Pattern Formation of Bit-Patterned Media by E-Beam Direct Drawing
Holmberg, Anders A New High-Aspect-Ratio Diamond Dry-Etch Process for Hard X-Ray FEL Radiation Zone Plates
Towards High-Resolution High-Diffraction-Efficiency Soft X-Ray Zone Plate Lenses: Sub-15 Nm Pattern Transfer to Tungsten Using HSQ and Cryogenic RIE
Holzner, Felix Thermo-Mechanical Probe Lithography at 500 kHz Pixel Rate
Holzwarth, Charles A Comparative Study on Absorbance-Modulated Interference Lithography
Hone, James Micro and Nano Pillar Assay for T cell Activation
A New Approach for Measuring Protrusive Forces in Cells
Selective Biomolecular Nanoarrays for Parallel Single-Molecule Investigations
Hoogenboom, J.P. Functionalization of Focused Electron Beam Induced Deposits by Directed Self-Assembly
Horiba, Akira Molecular Dynamics Study on Polymer Filling Process in Nanoimprint Lithography for Multi-Layered Resist
Shrinkage Pattern Correction (SPC) in Nanoimprint Lithography
Novel Nanoimprint Lithography Using Dispersed Molecular Weights
Horn, Mark Process-Structure-Property Relationship of Nanocrystalline Vanadium Oxide Thin Films Used in Uncooled Infrared Focal Plane Arrays
Horng, Lance Coupling of Surface Plasmons in Au Nanorings with Subwavelength Holes Array
Horrer, Andreas Fabrication of Plasmonic Nanostructures by Etch Mask Transfer
Houdt, Jan Van Impact of EUV Lithography Line Edge Roughness on 16 nm Memory Generation
Houston, Brian Tuning Graphene Nanomechanical Resonators
Hoving, M. Towards a 100 wph e-Beam Direct Write Cluster
Howe, Roger Atomic Layer Deposition Encapsulation of Carbon Nanotubes with Al2O3
Howitz, Steffen Fabrication of Silicon Template With Smooth Tapered Side Wall for Nanoimprint Lithography
Hu, Hailong Fabrication of Sub-10-Nm-Gapped Gold Structures For Plasmonic Applications
Hu, Jonathan Design and Fabrication of High Enhancement Yet Wafer-Scale Uniform SERS/Plasmonic Structures Based on Nanoimprint Lithography and Self-Assembly
Hu, Min A Molecule Trapping and SERS Sensing Device by 3-D Nanoimprint
Hu, Walter Dehydration Assisted Nanoimprint Of PEDOT:PSS Nanogratings To Improve Organic Photovoltaics
Hu, Weihua High Current Pulse Generation for Thermal Surface Modification Using Standard STM
Huang, Jason Fabrication of Nanopores Using a Helium Ion Microscope
New Applications of XeF2 Chemistry with Focused Ion Beam
Huang, Jie Selective Area ALD Deposition with Nanolithography using SAM as a Resist
Huang, Min High-throughput Fabrication of Engineered Plasmonic Nanoantenna Arrays with Nanostencil Lithography
Hubert, Alexandre Development of a 13 Silicon Suspended Stacked Nanowire Architecture for Gate-All-Around (GAA) Field Effect Transistors
Hudson, Fay Fabrication of Sub-15nm Nanostructures via Metal Lift-Off or Silicon Etching
Husain, Michelle Microstructural Investigations Using Correlative Microscopy in Materials Analysis
Huynh, C. Patterning of Graphene on SiC using a He ion Nanobeam
Hwu, Justin Integration of Directed Block Copolymer Self-Assembly with Nanoimprint Lithography for Addressable Nanoarray Fabrication over Large Area
Study of Spin-coated Resist Coverage on Nanoscale Topography Using Spectroscopic Ellipsometry

I

Icard, Béatrice 5kV Multi Electron Beam Lithography MAPPER Tool: From 32nm to 22nm Resolution Capability
Ichiahshi, Testuya Annealing Dependence of Deposit Morphology for Fe-Ga Contained DLC Film Formed by FIB-CVD with Ferrocene Source Gas
Iida, Tetsuya Negative-tone E-beam Resist Patterning for more than 1 Tbit/in2 Bit-patterned Media NIL Mold
Master Pattern Formation of Bit-Patterned Media by E-Beam Direct Drawing
Ilic, B Fabricating Arrays of Graphene Mechanical Resonators with High, Size-Dependent Quality Factors
Ilmenau, TU Micromachined Video Rate AFM Silicon Cantilever
Single Crystal Silicon Nanowires used as Cantilever for Femtonewton Detection
In, Hyun Jin Gas Sensors Based on Vertically Aligned Nanowire Arrays
Iqbal, Zafar A Parametric Study of Electrophoretic Deposition of Single Wall Nanotubes In Nanoscale Windows
Ishchuk, Valentyn Integrated Tool and Feature 2D Plasma Processing Simulator, Used for a Modeling of Cryogenic Plasma Etching of Silicon
Ishida, Yoshihito Directed Self-assembly with Density Multiplication of POSS-Containing Block Copolymer via Controlled Solvent Annealing
Ishihara, Sunao Carbon Nanomechanical Resonator Fabrication from PMMA by FIB/EB Dual-Beam Lithography
A Gradually Shifted Surface Plasmon Resonance with a Controlled Diameter of a Nano-Hole Structure by Self-Assembly Technique
Quality Factor Enhancement on Nano Mechanical Resonators Utilizing Stiction Phenomena
Dynamic Characteristics Control of DLC Nano-Resonator Fabricated by Focused-Ion-Beam Chemical Vapor Deposition
Selective Graphene Growth from DLC Thin Film Patterned by Focused-ion-beam Chemical Vapor Deposition
Ishikawa, Kiyoshi Fabrication of the Seamless Roll Mold Using Inorganic Electron Beam Resist with Post Exposure Bake
Ishikawa, Mikio Negative-tone E-beam Resist Patterning for more than 1 Tbit/in2 Bit-patterned Media NIL Mold
Master Pattern Formation of Bit-Patterned Media by E-Beam Direct Drawing
Islam, M. Saif The Fabrication of Shallow Co-axial P-N Junctions on Silicon Micro/Nanopillars for Solar Cell Applications
Isobe, Hideaki Multi Column Cell Writer Architecture and a Correction Technique for Consistent CD Uniformity Between Column Cells
Isoyan, Artak Table Top Nanopatterning by De-Magnified Talbot Effect
Chemically Amplified Resist Modeling in High Compact Model Format for Photolithography Process Simulation
Process Window Modeling Using Focus Balancing Technique
Itoh, Kimio Demonstration of Full 4-inch Patterning with Displacement Talbot Lithography
Negative-tone E-beam Resist Patterning for more than 1 Tbit/in2 Bit-patterned Media NIL Mold
Ivanov, Borislav Extreme Brightness: Reaching the Ultimate Limits of the Electron Beam
Ivanov, Tzvetan Self-Actuated Piezoresistive 512-Cantilever Arrays for Large-Area Imaging and Manipulation
Ultrafast Cantilever for High Speed Scanning Force Microscopy
Ivonin, Igor Digital Planar Holograms Fabricated by Step and Repeat UV Nanoimprint Lithography
Fabrication of Digital Planar Holograms for Brighter Power Laser Diodes
Iwasa, Masayuki Adhesion and Frictional Forces Measurement by Scanning Probe Microscopy Under Pentafluoropropane Gas Atmosphere
Iyoshi, Syuso Evaluation of Effect of Fluorine Additive Agent for Cationic UV-Nanoimprint Resin

J

Jackson, Tom Process-Structure-Property Relationship of Nanocrystalline Vanadium Oxide Thin Films Used in Uncooled Infrared Focal Plane Arrays
Jacob, James Development of a Full-Field Inteference Lithogrpahy System Based on a Long-Coherence-Length Laser Source
Jaesun, Lee 7-nm-Pitch Gratings Fabricated on Diamond Substrates Using Hydrogen Silsesquioxane Resists and Electron-Beam Lithography
Jager, R. Towards a 100 wph e-Beam Direct Write Cluster
Jang, Jae-Won Tip Based Lithography for Biocompatible Materials
Jarvis, Jonathan Extreme Brightness: Reaching the Ultimate Limits of the Electron Beam
Javanmard, Mehdi Optimized Multiplexed Cell Capture Using Parallel Bioactivated Microfluidic Channels
Jeanmaire, Damien High Acceleration Voltage Characterization of SML Electron Beam Resist for Ultra High Aspect Ratio Nano-Lithographic Applications
Jeong, Jun-ho Mass Fabrication of Resistive Random Access Crossbar Array by UV-NIL
Ji, Hengxing Patterned Graphene Oxide Films by a Simple Method
Jiang, Jing Sub-millisecond Post-Exposure and Hard Bake of Chemically Amplified Photoresists
Jiang, Jiong (Invited) Creative Metrology Development for EUVL: Flare and Out-of-Band Qualification
Jiang, Li Design of Micro-scale Transmission Light Valve Arrays
Jin, Sungho Di-block Copolymer Directed Anodization of Hexagonally Ordered Nanoporous Aluminum Oxide
Geometry Controlled Periodic Si Nanopillar Arrays by Dry Oxidation and Wet Etching
Multi-Tip AFM Lithography System for High Throughput Nano-patterning
Jindal, Vibhu Native Blank Defect Analysis for the Study of Printability
Advanced Metrology For Extreme Ultraviolet (EUV) Mask Blank Defect Reduction
Johnson, Stephen C. Progress in Jet and Flash Imprint Defectivity Reduction Towards Semiconductor Manufacturing Requirements
Joseph, Eric A. 10 nm node Pattern Transfer Development Using an EUV, DUV and Electron Beam Sensitive Acrylate-Based Resist
Judokusumo, Edward Micro and Nano Pillar Assay for T cell Activation
Jugessur, Aju Nanofabrication of Photonic Crystal-Based Devices Using Electron Beam Spot Lithography Technique
Jun, David Ion Beams in SEM: An Experiment towards a High Brightness Low Energy Spread Electron Impact Gas Ionization Source
Jung, Byungki Sub-millisecond Post-Exposure and Hard Bake of Chemically Amplified Photoresists
Jung, Hyunchul Cell Electroporation – A Diffusion Process or a Drive-In Process?
Jung, Jae Won Extensive Tunability of Self-Assembled Block Copolymer Patterns for Nanolithography
Jung, Yeon Sik Extensive Tunability of Self-Assembled Block Copolymer Patterns for Nanolithography
Jung, Yeonwoong Subwavelength Optical Lithography of Complex Nanopatterns by Diffraction

K

Kaestner, Marcus Scanning Proximal Probe Lithography (SPPL) with Sub-10nm Resolution on Calix[4]resorcinarene
Kageyama, Junichi Advanced Metrology For Extreme Ultraviolet (EUV) Mask Blank Defect Reduction
Kahl, Michael Chip Scale Focussed Electron Beam Induced Etching of a Silicon Nitride Membrane with Unique Beam Writing Strategies.
Kaiblinger, Kurt Ion Beam Lithography for Sub-50 nm Patterning of Metal Mold Inserts and Replication by Injection Molding
Kam, Lance Micro and Nano Pillar Assay for T cell Activation
Kampherbeek, B.J. Towards a 100 wph e-Beam Direct Write Cluster
Kanda, Kazuhiro Evaluation of PDMS Thin Layer as Antisticking Layer for UV Nanoimprinting
Evaluation of Curing Process of UV Resin in PFP Gas Ambient by Photo-Differential Scanning Calorimetry
Adhesion and Frictional Forces Measurement by Scanning Probe Microscopy Under Pentafluoropropane Gas Atmosphere
Kang, Weng Poo Extreme Brightness: Reaching the Ultimate Limits of the Electron Beam
Kang, Yuji Mechanical Characteristics Of Nanostructures Fabricated By Nanoimprint
Room-Temperature Nanoimprint Using Spin-Coated HSQ with High Boiling -Point Solvent
Kanwal, Alokik A Parametric Study of Electrophoretic Deposition of Single Wall Nanotubes In Nanoscale Windows
Kaplan, Alex High Efficiency Plasmonic Color Filters Fabricated Using Imprint Lithography
Katine, Jordan Fabrication and Testing of 1.5 Terabit/in2 Bit-Patterned Media for Thermally-Assisted Magnetic Recording.
Katsap, Victor E-beam Energy Dissipation in Complex Solid Targets
Emission Imaging of a LaB6 Emitter
Katsumura, Masahiro Master Pattern Formation of Bit-Patterned Media by E-Beam Direct Drawing
Kawamura, Takeshi Measurement of Surface Potential of Insulating Film on Conductive Substrate in a Scanning Electron Microscope
Kawata, Hiroaki Molecular Dynamics Study on Polymer Filling Process in Nanoimprint Lithography for Multi-Layered Resist
Shrinkage Pattern Correction (SPC) in Nanoimprint Lithography
High Aspect Ratio Fine Pattern Transfer Using Novel Mold by Nanoimprint Lithography
Novel Nanoimprint Lithography Using Dispersed Molecular Weights
Atomic Step Pattering in Nanoimprint Lithography : Molecular Dynamics Study
Computational Study of Electron-Irradiation Effects in Carbon Nanomaterials on Substrates
Keasebier, Thomas Double Patterning Technology: Process Simulation and Fabrication of Optical Elements
Kehagias, Nikolaos Direct Top-Down Ordering of Diblock Copolymers Through Nanoimprint Lithography
Characterisation of Optical Diffraction Metrology Templates for Self-Assembled Block Co-Polymers Fabricated by Nanoimprint Lithography
Tailored Synthesized Silsesquioxane Based Resists For UV-Assisted Nanoimprint Lithography
Kehoe, Timothy Characterisation of Optical Diffraction Metrology Templates for Self-Assembled Block Co-Polymers Fabricated by Nanoimprint Lithography
Kercher, Dan Fabrication and Recording Performance of Bit Patterned Media
Kern, Dieter P. Fabrication of Plasmonic Nanostructures by Etch Mask Transfer
The Influence of Surface Patterning on Bacterial Growth Behavior
Kessels, Erwin Direct Local Deposition of High-Purity Pt and Pd Nanostructures by a Novel Combination of EBID and ALD
Khalid, Ata Micro-Fabrication of Terahertz Metamaterial Absorbers
Khater, Marwan 10 nm node Pattern Transfer Development Using an EUV, DUV and Electron Beam Sensitive Acrylate-Based Resist
Khizroev, Sakrat Graded Bit Patterned Media via Helium Ion Irradiation
Kholmanov, Iskandar Patterned Graphene Oxide Films by a Simple Method
Kidwingira, Francoise Resistivity Changes Due to Environmental Contamination In Films Used For Charge Reduction in Electrostatic Electron Optics
Kim, Byung-Guk Three-Dimensional Proximity Effect Correction for Large-Scale Uniform Patterns
Enhancement of Spatial Resolution in Generating Point Spread Functions by Monte Carlo Simulation in Electron-beam Lithography
Kim, Dae-Wook Advanced Microcolumn with a Quadrupole Electrostatic Lens
Kim, Daisik Fabrication of Plasmonic Nano-Pore Array for Nanobio Sensor
Kim, Dong Ho Enhancement of Light Extraction Efficiency in n-GaN Patterned Vertical Light-Emitting Diodes using Nanosphere Lithography
Kim, Ganghun Design and Fabrication of Broadband Diffractive Optics
Kim, Geehong The Effect of Cylindrically Inflated Substrate to Improve the Pressure Distribution in UV Nanoimprint
Kim, Ho Soeb Advanced Microcolumn with a Quadrupole Electrostatic Lens
Kim, Hyun-Mi The Mechanism of Nano-Sculpturing by Focused Electron Beam for DNA Translocation Control
Fabrication and Characterization of Metal Electrode Embedded Nanopore Device
Kim, Hyunsu Di-block Copolymer Directed Anodization of Hexagonally Ordered Nanoporous Aluminum Oxide
Kim, Jeong-gil Near-Field 3D Lithography Using Self-Assembled Nanospheres
Kim, Ji-Kwan Investigation of Graphene Piezoresistor for Use as Strain Gauge Sensors
Kim, Jin-Yeol Di-block Copolymer Directed Anodization of Hexagonally Ordered Nanoporous Aluminum Oxide
Kim, Jiyoung Selective Area ALD Deposition with Nanolithography using SAM as a Resist
Kim, Jung Wuk Liquid Transfer Imprint Lithography: A New Route to Residual Layer Thickness Control
Kim, Ki-Bum The Mechanism of Nano-Sculpturing by Focused Electron Beam for DNA Translocation Control
Fabrication and Characterization of Metal Electrode Embedded Nanopore Device
Kim, Ki-don Mass Fabrication of Resistive Random Access Crossbar Array by UV-NIL
Kim, Sang-Kon Process Simulation of Block Copolymer Nanofabrication
Kim, Sangsig Resistive Switching Characteristics of Hafnium Oxide Nano-Films on Flexible Plastic Substrates
Memory Characteristics of MOS Capacitors With Pt-Nanoparticles-Embedded Gate Layers
Kim, Sungho Mass Fabrication of Resistive Random Access Crossbar Array by UV-NIL
Kim, Sungsu Memory Characteristics of MOS Capacitors With Pt-Nanoparticles-Embedded Gate Layers
Kim, Tae Geun Enhancement of Light Extraction Efficiency in n-GaN Patterned Vertical Light-Emitting Diodes using Nanosphere Lithography
Kim, Young Chul Advanced Microcolumn with a Quadrupole Electrostatic Lens
Kimura, Teruhiko EUV-Mask Pattern Imaging by the Coherent Scatterometry Microscope
Kinoshita, H. Generation of Highly Coherent, Bright 13 nm Light with Phase-Matched High-Order Harmonics for Coherent Scatterometry Microscope
Kinoshita, Hiroo EUV-Mask Pattern Imaging by the Coherent Scatterometry Microscope
Extreme Ultraviolet Interference Lithography toward 1X nm Nodes
Kishimoto, Masahiro Native Blank Defect Analysis for the Study of Printability
Kitahara, Hiroaki Negative-tone E-beam Resist Patterning for more than 1 Tbit/in2 Bit-patterned Media NIL Mold
Master Pattern Formation of Bit-Patterned Media by E-Beam Direct Drawing
Kleinschmidt, Jason Design and Fabrication of Broadband Diffractive Optics
Kley, Ernst-Bernhard Double Patterning Technology: Process Simulation and Fabrication of Optical Elements
Klimpel, Thomas A Model Based Hybrid Proximity Effect Correction Scheme Combining Dose Modulation and Shape Adjustments
Kline, Joseph Characterization of Cross Sectional Profile of Epitaxially Assembled Block Copolymer Domains using Transmission Small Angle X-Ray Scattering
Klingfus, Joe Chip Scale Focussed Electron Beam Induced Etching of a Silicon Nitride Membrane with Unique Beam Writing Strategies.
Klingfus, Joseph Dimensional Characterization Of Waveguide Coupling Device Structures Fabricated By The Fixed Beam Moving Stage (FBMS) Electron Beam Lithography
Novel Nanopatterning Strategies for Focused Ion Beam Lithography of Nanophotonic Structures
Knada, Kazuhiro Annealing Dependence of Deposit Morphology for Fe-Ga Contained DLC Film Formed by FIB-CVD with Ferrocene Source Gas
Knoll, Armin W. Thermo-Mechanical Probe Lithography at 500 kHz Pixel Rate
Knoop, Jennifer Suspended, Micron-scale Corner Cube Retroreflectors as Ultra-bright Optical Labels
Knuffman, Brenton (Invited) MOTIS: Focused Ion Beams from Laser-Cooled Atoms
MOTIS-Based Focused Ion Beams in Two Flavors
Ko, Seung Hyeon Towards Reliable Fabrications of Qdot-Nanopatterns on DNA Origami
Kobayashi, Kei Adhesive Forces of Fluorinated Silica Surfaces Affected by Surface Coverage of Tridecafluoro-1,1,2,2-Tetrahydrooctyltrimethoxysilane
Kobayashi, Masaki Master Pattern Formation of Bit-Patterned Media by E-Beam Direct Drawing
Koeck, Anton Direct Hard Mask Patterning by Focused Ion Beam (FIB)
Ion Beam Lithography for Sub-50 nm Patterning of Metal Mold Inserts and Replication by Injection Molding
Kogo, Yasuo Dwell Time Adjustment for Focused Ion Beam Machining
Surface Deformation Of Ga+ Ion Collision Process via Molecular Dynamics Simulation
Koh, Ai Leen Plasmonics On Lithographically-Defined Nanostructures as Studied in Electron Energy-Loss Spectroscopy
Kohama, Yoshiaki On-Machine Wavefront Evaluation of the Full-Field Extreme Ultra-Violet Lithography Exposure System
Kohayase, Atsushi Deterioration Evaluation of Release Coated Surface for Nanoimprint by Macro Optical Inspection Method
Kohler, Jonathan Extreme Brightness: Reaching the Ultimate Limits of the Electron Beam
Koickal, Thomas Tantalum Electro-Mechanical Systems For Low Frequency Sensing In Biomimetical Applications
Koirala, Ashutosh Nanocomposite-Beam Based Microresonator Fabricated by Combining Microlithography And Layer-By-Layer Nanoassembly
Kojima, Akira Surface Electron Emission Lithography with Electron Source of High Emission Efficiency
Kokubo, Mitsunori Soft Patterning on Cylindrical Surface of Plastic Optical Fiber by Sliding Roller-Imprinting
Kometani, Reo Carbon Nanomechanical Resonator Fabrication from PMMA by FIB/EB Dual-Beam Lithography
A Gradually Shifted Surface Plasmon Resonance with a Controlled Diameter of a Nano-Hole Structure by Self-Assembly Technique
Quality Factor Enhancement on Nano Mechanical Resonators Utilizing Stiction Phenomena
Dynamic Characteristics Control of DLC Nano-Resonator Fabricated by Focused-Ion-Beam Chemical Vapor Deposition
Selective Graphene Growth from DLC Thin Film Patterned by Focused-ion-beam Chemical Vapor Deposition
Kondic, Lou The Directed Assembly of Metallic Nanoparticle Chains by Pulsed Laser Induced Dewetting and Nanolithography
Koning, J.J. Towards a 100 wph e-Beam Direct Write Cluster
Koo, Namil Liquid Transfer Imprint Lithography: A New Route to Residual Layer Thickness Control
Kooi, Steven Focused Ion Beam Enabled Characterization of Nanostructured Polymeric Materials
Koshelev, Kirill Comparison Between ZEP and PMMA Resists for Nanoscale Electron Beam Lithography Experimentally and by Numeric Modeling
Koshida, Nobuyoshi Surface Electron Emission Lithography with Electron Source of High Emission Efficiency
Kostas, Christopher High Accuracy Charged Beam Modeling in MICHELLE–eBEAM
Kotaki, Kenichi Deterioration Evaluation of Release Coated Surface for Nanoimprint by Macro Optical Inspection Method
Kotera, Masatoshi Measurement of Surface Potential of Insulating Film on Conductive Substrate in a Scanning Electron Microscope
Kotipalli, Venu Fabrication of Carbon Nanotube Film-Piezoelectric (CNF-PZT) Microcantilevers for Energy Harvesting Application
Kratschmer, Ernst 10 nm node Pattern Transfer Development Using an EUV, DUV and Electron Beam Sensitive Acrylate-Based Resist
Kreindl, Gerald Hybrid Tri-Layer Stamps for Step and Repeat Imprint Lithography
Kristensen, Anders Fabrication of Sub-20nm Nanochannels Integrated with Bowtie Nanoantenna
Fabrication of Nanostructures on Double-Curved PMMA Surfaces by Thermal Imprint with PDMS Stamp
Kruger, James Hard Stamp Processes for the EVG 620 Full Field Nanoimprint System
Kruit, P. Parallel Electron-Beam-Induced Deposition using a Multi- Beam Scanning Electron Microscope
Kruit, Pieter The Influence of Gun Design on Coulomb-Interactions in a Field Emission Gun
Individual Beam Control for MEMS Multi Electron Beam Systems
Electron-Beam-Induced Deposition of 3.5 nm Half-Pitch Dense Patterns on Bulk Si by Using a Scanning Electron Microscope
Ion Beams in SEM: An Experiment towards a High Brightness Low Energy Spread Electron Impact Gas Ionization Source
Statistical Coulomb Forces in Photo-Field Emitters for Ultrafast Microscopy
Kudernac, T. Functionalization of Focused Electron Beam Induced Deposits by Directed Self-Assembly
Kuiper, V. Towards a 100 wph e-Beam Direct Write Cluster
Kuittinen, Markku Surface-Relief Polarization Gratings for Visible Light
Kumar, Karthik Fabrication of Sub-10-Nm-Gapped Gold Structures For Plasmonic Applications
Nanomenhirs for Surface-based Biosensing of Lipid Structures
Kuo, David Integration of Directed Block Copolymer Self-Assembly with Nanoimprint Lithography for Addressable Nanoarray Fabrication over Large Area
Study of Spin-coated Resist Coverage on Nanoscale Topography Using Spectroscopic Ellipsometry
Solvent Annealing Conbined with Surface Resconstruction towards the Fabrication of Silicon Nanodots with Areal Density beyond 1 Teradots/Inch2
Kurihara, Kazuma A Gradually Shifted Surface Plasmon Resonance with a Controlled Diameter of a Nano-Hole Structure by Self-Assembly Technique
Kurokawa, Masaki Multi Column Cell Writer Architecture and a Correction Technique for Consistent CD Uniformity Between Column Cells
Kurokawa, Syuhei Negative-tone E-beam Resist Patterning for more than 1 Tbit/in2 Bit-patterned Media NIL Mold
Kuru, Cihan Geometry Controlled Periodic Si Nanopillar Arrays by Dry Oxidation and Wet Etching
Kurz, Heinrich Liquid Transfer Imprint Lithography: A New Route to Residual Layer Thickness Control
Replication Of Undercut Trenches for Water Based Lift-Off Process by Residue-Free UV-NIL
Kvennefors, Anders Metal-Assisted Chemical Etching of Si for Fabrication of Nanoimprint Stamps
Kwon, Gwangmin Multi-Tip AFM Lithography System for High Throughput Nano-patterning
Kwon, Hyuk Joo Native Blank Defect Analysis for the Study of Printability

L

Labs, Zyvex Multiscale Hydrogen Depassivation Lithography Using a Scanning Tunneling Microscope
Patterned Atomic Layer Epitaxy of Si / Si(001):H
Lai, Chising Emission Imaging of a LaB6 Emitter
Lai, Yu-Sheng Using Intruded Gold Nanoclusters as Highly Active Catalysts to Fabricate Silicon Nano-Stalactite Structures
Lakshmanan, Shanmugamurthy A Parametric Study of Electrophoretic Deposition of Single Wall Nanotubes In Nanoscale Windows
Lam, Ruby Tip Based Lithography for Biocompatible Materials
Lambson, Brian Time-Lapse Imaging of Thermally-Driven Signal Propagation in Nanomagnetic Logic
Landis, Stefan Diblock Copolymer Ordering by NanoImprint Lithography
Metallic Color Filtering Arrays Manufactured by Nanoimprint Lithography
Viscoelastic Properties Measurements of Thin Polymer Films from Reflow of Nanoimprinted Patterns
Langegger, Rupert Focused Ion Beam Induced Synthesis of Free-Standing Graphite Nanosheets
Langridge, Sean Long Range Magnetic Ordering in Nanofabricated Artificial Spin-Ice Arrays
Lapeyre, Celine Graphoepitaxy of Block Copolymers Using 193nm Lithography: A Process and Defectivity Study
Lapointe, Jean Electron-Beam Lithography of Photonic Waveguides: Measurement of the Effect of Field Stitching Errors on Optical Performance and Evaluation of a New Compensation Method
Latif, Rhonira Tantalum Electro-Mechanical Systems For Low Frequency Sensing In Biomimetical Applications
Laukkanen, Janne Perfect Matching of Experimental and Simulated Optical Responses of Metallic Nanostructures Obtained Through the Use of Correct Refractive Index
Lausanne, Ecole Polytechnique Federale de Self Aligned Concentric Nanostructures Formed by E-beam Overexposure of PMMA and Single Post Processing Steps
Lee, C.M. Studies in Synthetic Antiferromagnetically Coupled Ring Array Magnets via Hysteresis Loops
Lee, Dong-Weon Investigation of Graphene Piezoresistor for Use as Strain Gauge Sensors
Lee, Eung-sug Mass Fabrication of Resistive Random Access Crossbar Array by UV-NIL
Lee, Haiwaon Multi-Tip AFM Lithography System for High Throughput Nano-patterning
Lee, HM Study of Optical Transmittance Through Tack-Typed and Goblet-Typed Dielectric Pillar Arrays
Lee, Huang-Ming Tunable Waveguide-Plasmon Coupling in Silicon-Nitride Photonic Crystal Slabs with Double-coated Silver Films
Coupling of Surface Plasmons in Au Nanorings with Subwavelength Holes Array
Lee, Hunag-Ming Investigation of Surface Plasmons Coupling via Guided Modes in Metal/Dielectric/Metal Photonic Crystal Slabs
Lee, Hwack Joo Transmission Electron Microscopy Study of Annealed Platinum Films Made by Ion Beam Induced Deposition
Lee, Jaejong The Effect of Cylindrically Inflated Substrate to Improve the Pressure Distribution in UV Nanoimprint
Lee, Ji-hye Mass Fabrication of Resistive Random Access Crossbar Array by UV-NIL
Lee, Jongho Nanofabrication Down to 10 nm on a Plastic Substrate
Lee, Ki-joong Mass Fabrication of Resistive Random Access Crossbar Array by UV-NIL
Lee, Kim Integration of Directed Block Copolymer Self-Assembly with Nanoimprint Lithography for Addressable Nanoarray Fabrication over Large Area
Study of Spin-coated Resist Coverage on Nanoscale Topography Using Spectroscopic Ellipsometry
Solvent Annealing Conbined with Surface Resconstruction towards the Fabrication of Silicon Nanodots with Areal Density beyond 1 Teradots/Inch2
Lee, Koyau Dehydration Assisted Nanoimprint Of PEDOT:PSS Nanogratings To Improve Organic Photovoltaics
Lee, L. James Cell Electroporation – A Diffusion Process or a Drive-In Process?
Lee, Min-Hyun The Mechanism of Nano-Sculpturing by Focused Electron Beam for DNA Translocation Control
Fabrication and Characterization of Metal Electrode Embedded Nanopore Device
Lee, Mingun Selective Area ALD Deposition with Nanolithography using SAM as a Resist
Lee, Myung Yoon Process-Structure-Property Relationship of Nanocrystalline Vanadium Oxide Thin Films Used in Uncooled Infrared Focal Plane Arrays
Lee, Sang Hoon Investigation of Deposition Profile For Metal Structure Using Focused Ion Beam
Lee, Sang-Hee Three-Dimensional Proximity Effect Correction for Large-Scale Uniform Patterns
Enhancement of Spatial Resolution in Generating Point Spread Functions by Monte Carlo Simulation in Electron-beam Lithography
Lee, Soo-Young Three-Dimensional Proximity Effect Correction for Large-Scale Uniform Patterns
Enhancement of Spatial Resolution in Generating Point Spread Functions by Monte Carlo Simulation in Electron-beam Lithography
Lee, Tao-Hua Template-Assisted Growth of ZnO Nanorod Arrays
Lee, YC Study of Optical Transmittance Through Tack-Typed and Goblet-Typed Dielectric Pillar Arrays
Lee, Yen-Chun Fabrication of Hybrid Silicon/Metal Island Single Electron Transistors
Lehmuskero, Anni Perfect Matching of Experimental and Simulated Optical Responses of Metallic Nanostructures Obtained Through the Use of Correct Refractive Index
LETI-MINATEC, CEA 5kV Multi Electron Beam Lithography MAPPER Tool: From 32nm to 22nm Resolution Capability
Levush, Baruch High Accuracy Charged Beam Modeling in MICHELLE–eBEAM
Lewis, Scott High Acceleration Voltage Characterization of SML Electron Beam Resist for Ultra High Aspect Ratio Nano-Lithographic Applications
Lezec, Henri Electron Beams with Helical Wavefronts and Quantized Angular Momentum
(Invited) Electromagnetic Radiation Pressure on Left- and Right-handed Dissipative Media
Li, Huifeng Patterned Graphene Oxide Films by a Simple Method
Li, Jing E-Beam Writing Strategies for Low-loss Optical Waveguides
Process-Structure-Property Relationship of Nanocrystalline Vanadium Oxide Thin Films Used in Uncooled Infrared Focal Plane Arrays
Li, Kai Fabrication of Nanoscale Structures on Micro Patterned Silicon (100) Surfaces
Li, Siran Nanofluidic Single DNA Sorter and Analyzer Fabricated by Nanoimprint and Wafer Bonding
Li, Wen-Di Design and Fabrication of High Enhancement Yet Wafer-Scale Uniform SERS/Plasmonic Structures Based on Nanoimprint Lithography and Self-Assembly
Li, Xinxin Investigation of Graphene Piezoresistor for Use as Strain Gauge Sensors
Li, Zhiyoung A Molecule Trapping and SERS Sensing Device by 3-D Nanoimprint
Liang, Ted (Invited) Challenges and Progress in Extreme Ultraviolet Mask Development
Actinic Characterization of EUV Bump-Type Phase Defects
Liang, Xiaogan Fabrication of Nanoparticles Deposited Photonic Crystals
Plasmonic Light Trapping in Nanostructural Metal Surfaces
Nanoimprint Induced Block Copolymer Self-Assembly
Fabrication of Sub-20nm Nanochannels Integrated with Bowtie Nanoantenna
Liang, Yixing Design and Fabrication of High Enhancement Yet Wafer-Scale Uniform SERS/Plasmonic Structures Based on Nanoimprint Lithography and Self-Assembly
Liao, Wei-Chiang Cell Electroporation – A Diffusion Process or a Drive-In Process?
Liddle, Alexander Simultaneous Positioning and Orienting of a Single Nano-object Using Flow Control
Measurement of Acid Induced Blur in Polymer Films by Single-Molecule Fluorescence Microscopy
Liddle, J. Alexander Towards Reliable Fabrications of Qdot-Nanopatterns on DNA Origami
Lim, Hyungjun The Effect of Cylindrically Inflated Substrate to Improve the Pressure Distribution in UV Nanoimprint
Lim, Kangmook Development of Metal Etch Mask by Single Layer Lift-Off for Silicon Nitride Photonic Crystals
Lin, C.C. Advanced Metrology For Extreme Ultraviolet (EUV) Mask Blank Defect Reduction
Lin, Chung-Hsun (Invited) CMOS Density Scaling in Non-Planar Multi-Gate Silicon on Insulator Devices
Lin, Qinghuang 10 nm node Pattern Transfer Development Using an EUV, DUV and Electron Beam Sensitive Acrylate-Based Resist
Lin, Ta-Chun The Fabrication of Shallow Co-axial P-N Junctions on Silicon Micro/Nanopillars for Solar Cell Applications
Lin, Y.C. Studies in Synthetic Antiferromagnetically Coupled Ring Array Magnets via Hysteresis Loops
Lin, Yu-Chun Tunable Waveguide-Plasmon Coupling in Silicon-Nitride Photonic Crystal Slabs with Double-coated Silver Films
Lippmann, Gabriel High-Resolution High-Sensitivity Characterization Of Nanoscale Structures in a New Combined SIMS-SPM Instrument: Correction of Topography Artifacts
Lithography, MAPPER Towards a 100 wph e-Beam Direct Write Cluster
Litt, Lloyd C. Progress in Jet and Flash Imprint Defectivity Reduction Towards Semiconductor Manufacturing Requirements
Litvinov, Dmitri Graded Bit Patterned Media via Helium Ion Irradiation
Litvinov, Julia Suspended, Micron-scale Corner Cube Retroreflectors as Ultra-bright Optical Labels
Liu, Charlie C. Coupled Planar-Localized Surface Plasmon Resonance Device by Block-Copolymer and Nanoimprint Lithography Fabrication Methods
Liu, Chi-Chun Towards an All-Track Process for DSA
Evolution of Critical Dimension and Line Edge Roughness During Block-Copolymer Nano-Patterning
Patterning of Poly(N-Isopropylacrylamide) Hydrogel Nano Structures Using Soft X-Ray and EUV Lithography
Liu, Fei 10 nm node Pattern Transfer Development Using an EUV, DUV and Electron Beam Sensitive Acrylate-Based Resist
Liu, Guoliang Pattern Transfer of Block Copolymer Template from Density Multiplication on Chemically Patterned Surface
Liu, Ran Fabrication of Complex Nanostructures of P(VDF-TrFE) by Dual Step Hot-embossing
Selective Photochemical Reduction of Silver on Nanoembossed Ferroelectric Nanowires
Large Area Fast Patterning in High Resolution by a Combined Near-Field Exposure and Reversal Imprint Lithography
Liu, Shiyuan Fast Aerial Image Simulations Using One Basis Mask for Optical Proximity Correction
Kernel Based Parametric Analytical Model of Source Intensity Distributions in Lithographic Tools
Liu, Wei Fast Aerial Image Simulations Using One Basis Mask for Optical Proximity Correction
Kernel Based Parametric Analytical Model of Source Intensity Distributions in Lithographic Tools
Liu, Yongdong Study of Spin-coated Resist Coverage on Nanoscale Topography Using Spectroscopic Ellipsometry
Livengood, Richard Neon GFIS Nanomachining Applications –A Study Of The Machining Properties of Neon for Semiconductor FA and Circuit Edit
Lobez, Jose Secondary-Electron Signal Levels of Self-Assembled Monolayers for Spatial-Phase-Locked Electron-Beam Lithography
Lockwood, David (Invited) Cold Cathodes of Low Electron Affinity and Negative Electron Affinity Thin Films And Nanoclusters
Lockwood, Nathaniel Single Walled Nanotube (SWNT) Fiber Field Emission Cathodes
Logan, David Pitfalls in the Measurement of FIB Beam Size
Lok, Lai Bun Micro-Fabrication of Terahertz Metamaterial Absorbers
lorusso, Gian (Invited) Creative Metrology Development for EUVL: Flare and Out-of-Band Qualification
Lovell, Douglas J. Dave Progress in Jet and Flash Imprint Defectivity Reduction Towards Semiconductor Manufacturing Requirements
Lozano, Paulo Ionic Liquid Ion Sources as a Unique and Versatile Option in Focused Ion Beam Applications
Filtering and Energy Characterization of Ion Species from Ionic Liquid Ion Sources for Focused Ion Beam Applications
Ltd., JEOL The New Method of Electron-Beam Lithography on HSQ at Overlay Writing
Lu, Bing-Rui Large Area Fast Patterning in High Resolution by a Combined Near-Field Exposure and Reversal Imprint Lithography
Lu, Ming Fabrication of High-Aspect-Ratio Nanostructures to Characterize High-resolution Hard X-ray Nano-probe
High Aspect Ratio Zone Plate Fabrication Using a Bilayer Mold
Lu, Wu Cell Electroporation – A Diffusion Process or a Drive-In Process?
Lugstein, Alois Local, Direct-Write, Damage-Free Thinning of Germanium Nanowires
Focused Ion Beam Induced Synthesis of Free-Standing Graphite Nanosheets
Lukasczyk, Thomas Focused Electron Beam Induced Surface Activation: A Novel Lithographic Method to Fabricate Nanostructures
Addressing the Fabrication of Titanium Oxide Nanostructures by Electron Beam Induced Deposition
Lvov, Yuri Nanocomposite-Beam Based Microresonator Fabricated by Combining Microlithography And Layer-By-Layer Nanoassembly
Lyons, Adam Electron Beam Lithography Tools for Low Cost Inspection of Extreme Ultraviolet Lithography Masks
Liftoff Lithography of Chrome for Extreme Ultraviolet Lithography Mask Absorber Layer Patterning

M

Ma, Shiau-Yi Lithography-Patterning-Fidelity-Aware Electron-Optical System Design Optimization
Ma, Shirley High Resolution Electron Beam Lithography Using Polystyrene Negative Resist
Ma, Yong Micro-Fabrication of Terahertz Metamaterial Absorbers
Maas, Diederik J. High Growth Efficiencies in Helium Ion Beam Induced Deposition at Short Beam Dwell Times
Maas, Diederik Characterization of Beam-Induced Chemical Structures in the Helium Ion Microscope
Maazouz, Mostafa (Invited) MOTIS: Focused Ion Beams from Laser-Cooled Atoms
MOTIS-Based Focused Ion Beams in Two Flavors
Pitfalls in the Measurement of FIB Beam Size
Macintyre, Douglas Comparison of HSQ Development Methods for Sub-10 nm Electron Beam Lithography Using Accurate Linewidth Inspection
Mack, Chris Correlated Surface Roughening During Photoresist Development
Mackie, William Defined Emission Area and Custom Thermal Electron Sources
Mackus, Adrie Direct Local Deposition of High-Purity Pt and Pd Nanostructures by a Novel Combination of EBID and ALD
Magel, Greg In situ Optical Imaging and Laser Processing in the SEM/FIB: A True EIPBN System
Magera, Gerald Defined Emission Area and Custom Thermal Electron Sources
Emission Imaging of a LaB6 Emitter
Mahmoudi, Masoud Direct Patterning of Sub-10 nm Optical Apertures with a Helium Ion Microscope
Mai, Daniel Commissioning of the 20-bit Vistec EBPG5000plus at the Melbourne Centre for Nanofabrication
Maier, Stefan Plasmonics On Lithographically-Defined Nanostructures as Studied in Electron Energy-Loss Spectroscopy
Maier, Urs High-Resolution High-Sensitivity Characterization Of Nanoscale Structures in a New Combined SIMS-SPM Instrument: Correction of Topography Artifacts
Makarewicz, Joseph Carbon Nanotube Field Emission Electron Gun Microassembly for Maskless Lithography
Makarova, Olga Fabrication of High-Aspect-Ratio Nanopores by Interference Lithography
Maldonado, Juan R. Crisp, High Aspect-Ratio, C-Shaped Nanoapertures Fabricated in Evaporated Aluminum Using Focused Helium Ions
Resistivity Changes Due to Environmental Contamination In Films Used For Charge Reduction in Electrostatic Electron Optics
Malloy,  Matt Progress in Jet and Flash Imprint Defectivity Reduction Towards Semiconductor Manufacturing Requirements
Malm, B. High Precision FIB Fabrication of Customizable AFM Probes
Maloney, Stephen Real-time Dose Control for Electron-Beam Lithography
Man, Xingkun Diblock Copolymer Ordering by NanoImprint Lithography
Manakli, Serdar Challenges in Data Treatment and Proximity Effects Correction for Massively Parallel Electron Lithography
Mancini, Derric High Aspect Ratio Zone Plate Fabrication Using a Bilayer Mold
Mancini, Derrick C. Patterning of Poly(N-Isopropylacrylamide) Hydrogel Nano Structures Using Soft X-Ray and EUV Lithography
Manfrinato, Vitor (Invited) Sub-10-nm Beam-Based Lithography and Applications
Resolution Limits of 200 keV Electron-Beam Lithography Using Aberration-Corrected STEM
Scanning-Neon-Ion-Beam Lithography
Mankos, Marian A Novel Low Energy Electron Imaging Technique for DNA Sequencing and Surface Analysis
Mansourpour, Mahnaz Hard Stamp Processes for the EVG 620 Full Field Nanoimprint System
Manthena, Rajakumar Breaking the Diffraction Barrier in Nanopatterning with Optical Saturable Transformations
Marbach, Hubertus Focused Electron Beam Induced Surface Activation: A Novel Lithographic Method to Fabricate Nanostructures
Addressing the Fabrication of Titanium Oxide Nanostructures by Electron Beam Induced Deposition
Marchman, Herschel In situ Optical Imaging and Laser Processing in the SEM/FIB: A True EIPBN System
Marconi, Mario Table Top Nanopatterning by De-Magnified Talbot Effect
Marrows, Christopher Long Range Magnetic Ordering in Nanofabricated Artificial Spin-Ice Arrays
Martin, Luc Challenges in Data Treatment and Proximity Effects Correction for Massively Parallel Electron Lithography
Comparison of PSF for non CAR and CAR Resists in E-Beam Lithography
Martin, Mickael 5kV Multi Electron Beam Lithography MAPPER Tool: From 32nm to 22nm Resolution Capability
Maruyama, Benji Single Walled Nanotube (SWNT) Fiber Field Emission Cathodes
Maruyama, Hiroki Roll Diameter Amplification Method Using Direct Transfer of Fine Patterned Small Roll Mold Fabricated by Electron Beam Lithography
Maser, Jörg Maser Fabrication of High-Aspect-Ratio Nanostructures to Characterize High-resolution Hard X-ray Nano-probe
Mastropaolo, Enrico Tantalum Electro-Mechanical Systems For Low Frequency Sensing In Biomimetical Applications
Mathai, Pramod Simultaneous Positioning and Orienting of a Single Nano-object Using Flow Control
Mathur, Anurag A New Approach for Measuring Protrusive Forces in Cells
Matsui, S. Annealing Dependence of Deposit Morphology for Fe-Ga Contained DLC Film Formed by FIB-CVD with Ferrocene Source Gas
Room-Temperature Nanoimprint Using Spin-Coated HSQ with High Boiling -Point Solvent
Matsui, Shinji Mechanical Characteristics Of Nanostructures Fabricated By Nanoimprint
Evaluation of Effect of Fluorine Additive Agent for Cationic UV-Nanoimprint Resin
Evaluation of PDMS Thin Layer as Antisticking Layer for UV Nanoimprinting
Evaluation of SiOx Containing UV Nanoimprint Resin
Effect Evaluation of Pentafluoropropane Gas for UV Nanoimprint Resin By Using Contact Angle Meter
Comparison of Surface Condition of Nanoimprint Antisticking Layers Formed by CVD And Dip-Coat Methods
Evaluation of Curing Process of UV Resin in PFP Gas Ambient by Photo-Differential Scanning Calorimetry
Adhesion and Frictional Forces Measurement by Scanning Probe Microscopy Under Pentafluoropropane Gas Atmosphere
Matsumoto, Kohei On-Machine Wavefront Evaluation of the Full-Field Extreme Ultra-Violet Lithography Exposure System
Maximov, Ivan Metal-Assisted Chemical Etching of Si for Fabrication of Nanoimprint Stamps
Mayer, Andre Experimental Analysis For Process Control In Hybrid Lithography (T-NIL + UV-L)
Low Temperature Thermal Imprint via Frequency Assistance
McClelland, Jabez Electron Beams with Helical Wavefronts and Quantized Angular Momentum
(Invited) MOTIS: Focused Ion Beams from Laser-Cooled Atoms
MOTIS-Based Focused Ion Beams in Two Flavors
McClinton, Brittany (Invited) Challenges and Progress in Extreme Ultraviolet Mask Development
McComb, David Plasmonics On Lithographically-Defined Nanostructures as Studied in Electron Energy-Loss Spectroscopy
McCord, Mark Resistivity Changes Due to Environmental Contamination In Films Used For Charge Reduction in Electrostatic Electron Optics
McEuen, Paul Fabricating Arrays of Graphene Mechanical Resonators with High, Size-Dependent Quality Factors
McKinley, Gareth H. Fabrication of Subwavelength High Aspect-Ratio Tapered Fused Silica Nanostructures for Transparent Photophilic Material
McLeod, Alex Elucidating Effects of Nanoscale Structural Variations on Local Plasmonic Modes via Photon Localization Microscopy
McMorran, Benjamin Electron Beams with Helical Wavefronts and Quantized Angular Momentum
McVey, Shawn Scanning-Neon-Ion-Beam Lithography
Neon GFIS Nanomachining Applications –A Study Of The Machining Properties of Neon for Semiconductor FA and Circuit Edit
Scanning Transmission Ion Microscopy with the Helium Ion Microscope – Modeling and Selected Applications
Mehrotra, Prateek Solid-Immersion Interference Lithography Using a Lloyd’s Mirror
Mehta, Apurva Resistivity Changes Due to Environmental Contamination In Films Used For Charge Reduction in Electrostatic Electron Optics
Mekaru, Harutaka Impact of Hydrofluoroether on Contact Force of Thermal Nanoimprint
Soft Patterning on Cylindrical Surface of Plastic Optical Fiber by Sliding Roller-Imprinting
Melngailis, J. Patterning of Graphene on SiC using a He ion Nanobeam
Melville, David (Invited) Computational Lithography: Exhausting Optical System Limits Through Intensive Optimization
Melvin, Lawrence Chemically Amplified Resist Modeling in High Compact Model Format for Photolithography Process Simulation
Process Window Modeling Using Focus Balancing Technique
Menon, Rajesh Breaking the Diffraction Barrier in Nanopatterning with Optical Saturable Transformations
Design and Fabrication of Broadband Diffractive Optics
Menoni, Carmen Table Top Nanopatterning by De-Magnified Talbot Effect
Meyer, Ernst High-Resolution High-Sensitivity Characterization Of Nanoscale Structures in a New Combined SIMS-SPM Instrument: Correction of Topography Artifacts
Miao, Jianwei (Invited) Coherent Diffraction Imaging
Iterative Phase Recovery Using Wavelet Domain Constraints
Michels, Thomas Ultrafast Cantilever for High Speed Scanning Force Microscopy
Midorikawa, K. Generation of Highly Coherent, Bright 13 nm Light with Phase-Matched High-Order Harmonics for Coherent Scatterometry Microscope
Mielczarek, Kamil Dehydration Assisted Nanoimprint Of PEDOT:PSS Nanogratings To Improve Organic Photovoltaics
Miller, Paul EWOD-Based Droplet Actuation by Active-Matrix Electrode Array
Miller, Ron Hybrid Tri-Layer Stamps for Step and Repeat Imprint Lithography
Minatec, CEA-LETI Viscoelastic Properties Measurements of Thin Polymer Films from Reflow of Nanoimprinted Patterns
Minjun, Yan 7-nm-Pitch Gratings Fabricated on Diamond Substrates Using Hydrogen Silsesquioxane Resists and Electron-Beam Lithography
Miro, Hozanna High Growth Efficiencies in Helium Ion Beam Induced Deposition at Short Beam Dwell Times
Mitra, Subhasish Atomic Layer Deposition Encapsulation of Carbon Nanotubes with Al2O3
Miyake, Hiroto Evaluation of Effect of Fluorine Additive Agent for Cationic UV-Nanoimprint Resin
Evaluation of Curing Process of UV Resin in PFP Gas Ambient by Photo-Differential Scanning Calorimetry
Miyake, Yumiko Atomic Step Pattering in Nanoimprint Lithography : Molecular Dynamics Study
Mkrtchyan, Masis High Accuracy Charged Beam Modeling in MICHELLE–eBEAM
Mocella, Vito Fabrication of Nanoparticles Deposited Photonic Crystals
Mochi, Iacopo Actinic Characterization of EUV Bump-Type Phase Defects
Native Blank Defect Analysis for the Study of Printability
Moellenbeck, Saskia Low Temperature Thermal Imprint via Frequency Assistance
Moghaddam, Mehran Vahdani Broadband Light-Induced Thermionic Electron Emission from Arrays of Carbon Nanotubes using Laser Pointers
Polarization-Sensitive Visible-Light-Induced Thermionic Electron Emission from Carbon Nanotube Forests
Mohammad, Mohammad Ali Developer-Free Direct Patterning on PMMA by Low Voltage Electron Beam Lithography
Comparison Between ZEP and PMMA Resists for Nanoscale Electron Beam Lithography Experimentally and by Numeric Modeling
Nanofabrication of Silicon Carbon Nitride Cantilevers – Comparison of PMMA and HSQ Based Processes
Mohammad, Saifullah Direct-Write Lithography of Sub-10nm Features on Metallorganic Resists Using a Helium Ion Microscope
Mohammadi-Gheidari, A. Parallel Electron-Beam-Induced Deposition using a Multi- Beam Scanning Electron Microscope
Möllenbeck, Saskia Experimental Analysis For Process Control In Hybrid Lithography (T-NIL + UV-L)
Mollenhauer, Thomas Replication Of Undercut Trenches for Water Based Lift-Off Process by Residue-Free UV-NIL
Montelius, Lars Metal-Assisted Chemical Etching of Si for Fabrication of Nanoimprint Stamps
Mook, H.W. Towards a 100 wph e-Beam Direct Write Cluster
Moon, Euclid 3D Fabrication by Stacking Pre-patterned, Rigidly-held Membranes
3D Nanostructures by Stacking Pre-Patterned, Fluid-Supported Single-Crystal Si Membranes
Templated Photo-Ablation of Graphene
Secondary-Electron Signal Levels of Self-Assembled Monolayers for Spatial-Phase-Locked Electron-Beam Lithography
Moore, Ciaran Flexible PDMS Support Layers for the Evanescent Characterization of Near-Field Lithography Systems
Moore, Tom In situ Optical Imaging and Laser Processing in the SEM/FIB: A True EIPBN System
Moormann, Christian Liquid Transfer Imprint Lithography: A New Route to Residual Layer Thickness Control
Replication Of Undercut Trenches for Water Based Lift-Off Process by Residue-Free UV-NIL
Morgan, Jason Long Range Magnetic Ordering in Nanofabricated Artificial Spin-Ice Arrays
Morissette, Jean-François Top-Down Approaches for the Fabrication of Titanium Nanostructures
Moshkalev, Stanislav FIB Cross-Sections for Morphological Analysis of Ni-P Hard-Mask Transformation During Plasma Etching
Investigation of Contacts Between Metal and Few Layer Graphene Using Focused Ion Beam Cross-Sectioning
Motapothula, Mallikarjuna Rao Direct-Write Lithography of Sub-10nm Features on Metallorganic Resists Using a Helium Ion Microscope
Muhammad, Mustafa Nano-Patterning of PMMA on Insulating Surfaces With Various Anti-Charging Schemes Using 30 Kev Electron Beam Lithography
Mulders, Hans Direct Local Deposition of High-Purity Pt and Pd Nanostructures by a Novel Combination of EBID and ALD
Mulders, J.J.L. A New Process for Electron Beam Induced Deposition of Cobalt with Excellent Properties
Mulders, Johannes Direct Carbon Deposition by EBID at Low Substrate Temperatures
Mülders, Thomas Chemically Amplified Resist Modeling in High Compact Model Format for Photolithography Process Simulation
Munro, Eric E-beam Energy Dissipation in Complex Solid Targets
Murakami, Katsuhiko On-Machine Wavefront Evaluation of the Full-Field Extreme Ultra-Violet Lithography Exposure System
Murray, Lynn Photo Nanoimprint Lithography of Biological Samples Defined by Microfabricated PDMS Stencils
Murray, Terry (Invited) Cold Cathodes of Low Electron Affinity and Negative Electron Affinity Thin Films And Nanoclusters
Myrs-Ward, R.L. Patterning of Graphene on SiC using a He ion Nanobeam

N

Nadzeyka, Achim Novel Nanopatterning Strategies for Focused Ion Beam Lithography of Nanophotonic Structures
Ion Beam Lithography for Sub-50 nm Patterning of Metal Mold Inserts and Replication by Injection Molding
Nagata, Yutaka Generation of Highly Coherent, Bright 13 nm Light with Phase-Matched High-Order Harmonics for Coherent Scatterometry Microscope
Nagato, Keisuke Copper Oxide Nanowire Arrays Synthesized from Sputtered Cu Thin Film
Injection Compression Molding of High-Aspect-Ratio Nanostructures
Nakagawa, Masaru (Invited)  Release Property of Fluorinated Silica Surfaces for UV-Curable Resins Evaluated by Fluorescence Microscopy and Mechanical Measurement
Adhesive Forces of Fluorinated Silica Surfaces Affected by Surface Coverage of Tridecafluoro-1,1,2,2-Tetrahydrooctyltrimethoxysilane
Nakai, Yasuki Mechanical Characteristics Of Nanostructures Fabricated By Nanoimprint
Annealing Dependence of Deposit Morphology for Fe-Ga Contained DLC Film Formed by FIB-CVD with Ferrocene Source Gas
Evaluation of SiOx Containing UV Nanoimprint Resin
Comparison of Surface Condition of Nanoimprint Antisticking Layers Formed by CVD And Dip-Coat Methods
Nakajima, Toshio Native Blank Defect Analysis for the Study of Printability
Nakao, Masayuki Copper Oxide Nanowire Arrays Synthesized from Sputtered Cu Thin Film
Injection Compression Molding of High-Aspect-Ratio Nanostructures
Nakasuji, Masato EUV-Mask Pattern Imaging by the Coherent Scatterometry Microscope
Namboodiri, Pradeep Fabrication of Nanoscale Structures on Micro Patterned Silicon (100) Surfaces
Nanographics, ASELTA Challenges in Data Treatment and Proximity Effects Correction for Massively Parallel Electron Lithography
Nasrullah, Azeem Characterization of a Saddle-Field Ion Source for Proximity Lithography
Suspended, Micron-scale Corner Cube Retroreflectors as Ultra-bright Optical Labels
Naulleau, Patrick (Invited) Challenges and Progress in Extreme Ultraviolet Mask Development
Navarro, Christophe Graphoepitaxy of Block Copolymers Using 193nm Lithography: A Process and Defectivity Study
Nealey, Paul F. Pattern Transfer of Block Copolymer Template from Density Multiplication on Chemically Patterned Surface
Patterning of Poly(N-Isopropylacrylamide) Hydrogel Nano Structures Using Soft X-Ray and EUV Lithography
Coupled Planar-Localized Surface Plasmon Resonance Device by Block-Copolymer and Nanoimprint Lithography Fabrication Methods
Nealey, Paul Towards an All-Track Process for DSA
Evolution of Critical Dimension and Line Edge Roughness During Block-Copolymer Nano-Patterning
Neaton, Jeff Elucidating Effects of Nanoscale Structural Variations on Local Plasmonic Modes via Photon Localization Microscopy
Nelson, Shelby Vertical Transistors with High Alignment Tolerance
Nettikadan, Saju Tip Based Lithography for Biocompatible Materials
Newton, Michael Tantalum Electro-Mechanical Systems For Low Frequency Sensing In Biomimetical Applications
Ng, Hoi-Tou Lithography-Patterning-Fidelity-Aware Electron-Optical System Design Optimization
Nguyen, Cattien Carbon Nanotube Field Emission Electron Gun Microassembly for Maskless Lithography
Nicaise, Sam Spatial-Frequency Doubling Below the Block Copolymer Period by Templated Self-Assembly
Nicaise, Samuel Scanning-Neon-Ion-Beam Lithography
Nicu, Liviu Wafer Scale Integration Of Oriented Carbon Nanotubes Interconnects
Niedermayer, Stefan Diblock Copolymer Ordering by NanoImprint Lithography
Niemann, Darrell Carbon Nanotube Field Emission Electron Gun Microassembly for Maskless Lithography
Nikolov, N. Self-Actuated Piezoresistive 512-Cantilever Arrays for Large-Area Imaging and Manipulation
Nill, Peter The Influence of Surface Patterning on Bacterial Growth Behavior
Nilsson, Bengt Experimental Evaluation Method of Point Spread Functions in EBL Used for Proximity Effect Correction
Nilsson, Daniel A New High-Aspect-Ratio Diamond Dry-Etch Process for Hard X-Ray FEL Radiation Zone Plates
Nishi, Shunjiro Dynamic Characteristics Control of DLC Nano-Resonator Fabricated by Focused-Ion-Beam Chemical Vapor Deposition
Nishi, Yoshio DNA Concentrating by Electro-Kinetic Forces in Nano-bridge FET Array for DNA Hybridization Detection
Nishikura, Naoki Novel Nanoimprint Lithography Using Dispersed Molecular Weights
Nishimura, Yukio Novel Nanoimprint Lithography Using Dispersed Molecular Weights
Nock, Volker (Invited) Optical Sensors for Spatially-Resolved Measurement of Oxygen in Microfluidic Devices
Photo Nanoimprint Lithography of Biological Samples Defined by Microfabricated PDMS Stencils
Noh, Kunbae Di-block Copolymer Directed Anodization of Hexagonally Ordered Nanoporous Aluminum Oxide
Geometry Controlled Periodic Si Nanopillar Arrays by Dry Oxidation and Wet Etching
Multi-Tip AFM Lithography System for High Throughput Nano-patterning
Nojeh, Alireza Broadband Light-Induced Thermionic Electron Emission from Arrays of Carbon Nanotubes using Laser Pointers
Polarization-Sensitive Visible-Light-Induced Thermionic Electron Emission from Carbon Nanotube Forests
Notte, John Neon GFIS Nanomachining Applications –A Study Of The Machining Properties of Neon for Semiconductor FA and Circuit Edit
Scanning Transmission Ion Microscopy with the Helium Ion Microscope – Modeling and Selected Applications
Nouvertné, Frank Dimensional Characterization Of Waveguide Coupling Device Structures Fabricated By The Fixed Beam Moving Stage (FBMS) Electron Beam Lithography
Novel Nanopatterning Strategies for Focused Ion Beam Lithography of Nanophotonic Structures
Nouvertne, Frank Chip Scale Focussed Electron Beam Induced Etching of a Silicon Nitride Membrane with Unique Beam Writing Strategies.
Nowak, Christoph Replication Of Undercut Trenches for Water Based Lift-Off Process by Residue-Free UV-NIL
Nuckolls, Colin Selective Biomolecular Nanoarrays for Parallel Single-Molecule Investigations
Nunes, Alcinei FIB Cross-Sections for Morphological Analysis of Ni-P Hard-Mask Transformation During Plasma Etching
Nyakiti, L.O. Patterning of Graphene on SiC using a He ion Nanobeam

O

O'Neal, Chad Fabrication of Carbon Nanotube Film-Piezoelectric (CNF-PZT) Microcantilevers for Energy Harvesting Application
Ober, Christopher Sub-millisecond Post-Exposure and Hard Bake of Chemically Amplified Photoresists
Ocola, Leonidas Improving PMMA Etch Resistance using Sequential Infiltration Synthesis
High Aspect Ratio Zone Plate Fabrication Using a Bilayer Mold
Oh, Tae-Sik Advanced Microcolumn with a Quadrupole Electrostatic Lens
Oh, Young Di-block Copolymer Directed Anodization of Hexagonally Ordered Nanoporous Aluminum Oxide
Geometry Controlled Periodic Si Nanopillar Arrays by Dry Oxidation and Wet Etching
Multi-Tip AFM Lithography System for High Throughput Nano-patterning
Ohkawa, Tatsuro Multi Column Cell Writer Architecture and a Correction Technique for Consistent CD Uniformity Between Column Cells
Ohki, Hirofumi Improved Time Dependent Performance of HSQ Resist Using a Spin on Top Coat
The New Method of Electron-Beam Lithography on HSQ at Overlay Writing
Ohkubo, Takashi Improved Time Dependent Performance of HSQ Resist Using a Spin on Top Coat
Ohnishi, Osamu Negative-tone E-beam Resist Patterning for more than 1 Tbit/in2 Bit-patterned Media NIL Mold
Ohsaki, Takeshi Evaluation of Curing Process of UV Resin in PFP Gas Ambient by Photo-Differential Scanning Calorimetry
Ohta, Toshiyuki Surface Electron Emission Lithography with Electron Source of High Emission Efficiency
Ohtomo, Akihiro Soft Patterning on Cylindrical Surface of Plastic Optical Fiber by Sliding Roller-Imprinting
Ohya, Kaoru Modeling of Charging Effect on Ion Induced Secondary Electron Emission from Nano-Structured Materials
Ohyi, Hideyuki Surface Electron Emission Lithography with Electron Source of High Emission Efficiency
Ok, Jong G. High Aspect-ratio Nanograting Formation on Liquid Resists by a Continuous Mold-assisted Direct-write Process
Invisibility at Visible Frequency Using Carbon Nanotube Carpet
Okada, M. Room-Temperature Nanoimprint Using Spin-Coated HSQ with High Boiling -Point Solvent
Okada, Makoto Mechanical Characteristics Of Nanostructures Fabricated By Nanoimprint
Annealing Dependence of Deposit Morphology for Fe-Ga Contained DLC Film Formed by FIB-CVD with Ferrocene Source Gas
Evaluation of Effect of Fluorine Additive Agent for Cationic UV-Nanoimprint Resin
Evaluation of PDMS Thin Layer as Antisticking Layer for UV Nanoimprinting
Evaluation of SiOx Containing UV Nanoimprint Resin
Effect Evaluation of Pentafluoropropane Gas for UV Nanoimprint Resin By Using Contact Angle Meter
Comparison of Surface Condition of Nanoimprint Antisticking Layers Formed by CVD And Dip-Coat Methods
Evaluation of Curing Process of UV Resin in PFP Gas Ambient by Photo-Differential Scanning Calorimetry
Adhesion and Frictional Forces Measurement by Scanning Probe Microscopy Under Pentafluoropropane Gas Atmosphere
Olynick, Deirdre L. Integrated Tool and Feature 2D Plasma Processing Simulator, Used for a Modeling of Cryogenic Plasma Etching of Silicon
Olynick, Deirdre Digital Planar Holograms Fabricated by Step and Repeat UV Nanoimprint Lithography
Fabrication of Nanoparticles Deposited Photonic Crystals
Nanoimprint Induced Block Copolymer Self-Assembly
Fabrication of Sub-20nm Nanochannels Integrated with Bowtie Nanoantenna
Cryogenic Silicon Process for Etching 15 nm Trenches and Beyond
Omoto, S. Room-Temperature Nanoimprint Using Spin-Coated HSQ with High Boiling -Point Solvent
Omoto, Shinya Evaluation of SiOx Containing UV Nanoimprint Resin
Ooms, T. Towards a 100 wph e-Beam Direct Write Cluster
Oosumi, Takaki Dwell Time Adjustment for Focused Ion Beam Machining
Optica, Electon A Novel Low Energy Electron Imaging Technique for DNA Sequencing and Surface Analysis
Ore De Boddy, Marion The Fabrication of Shallow Co-axial P-N Junctions on Silicon Micro/Nanopillars for Solar Cell Applications
Orland, Henri Diblock Copolymer Ordering by NanoImprint Lithography
Orloff, Jon (Invited) MOTIS: Focused Ion Beams from Laser-Cooled Atoms
MOTIS-Based Focused Ion Beams in Two Flavors
Pitfalls in the Measurement of FIB Beam Size
Orlov, Alexei O. Novel method for Fabrication of Nanoscale Single-Electron Transistors: Electron Beam Induced Deposition of Pt and Atomic Layer Deposition of Tunnel Barriers
Orlov, Alexei Fabrication of Hybrid Silicon/Metal Island Single Electron Transistors
Orlova, Tatyana A. Novel method for Fabrication of Nanoscale Single-Electron Transistors: Electron Beam Induced Deposition of Pt and Atomic Layer Deposition of Tunnel Barriers
Osada, Akira Measurement of Surface Potential of Insulating Film on Conductive Substrate in a Scanning Electron Microscope
Otaki, Katsura On-Machine Wavefront Evaluation of the Full-Field Extreme Ultra-Violet Lithography Exposure System
Otis, Charles Pitfalls in the Measurement of FIB Beam Size
Otto, Martin Liquid Transfer Imprint Lithography: A New Route to Residual Layer Thickness Control
Ou, Fung-Soung A Molecule Trapping and SERS Sensing Device by 3-D Nanoimprint
Ou, Neil Tunable Waveguide-Plasmon Coupling in Silicon-Nitride Photonic Crystal Slabs with Double-coated Silver Films
Investigation of Surface Plasmons Coupling via Guided Modes in Metal/Dielectric/Metal Photonic Crystal Slabs
Ou, Niel Coupling of Surface Plasmons in Au Nanorings with Subwavelength Holes Array
Ovsyannikov, Vladimir Petrovich Fine-Focused Beams of Highly Charged Ions
Ovtchinnikov, Serguei High Accuracy Charged Beam Modeling in MICHELLE–eBEAM
Owen, James Multiscale Hydrogen Depassivation Lithography Using a Scanning Tunneling Microscope
Patterned Atomic Layer Epitaxy of Si / Si(001):H

P

Padmore, Howard A. Plasmonic Light Trapping in Nanostructural Metal Surfaces
Pain, Laurent 5kV Multi Electron Beam Lithography MAPPER Tool: From 32nm to 22nm Resolution Capability
Palma, Matteo DNA Assembly on Patterned Surfaces
Selective Biomolecular Nanoarrays for Parallel Single-Molecule Investigations
Palmarelli, Anna Laura Fabrication of Sub-20nm Nanochannels Integrated with Bowtie Nanoantenna
Parizi, Kosar DNA Concentrating by Electro-Kinetic Forces in Nano-bridge FET Array for DNA Hybridization Detection
Park, Byong Chon Transmission Electron Microscopy Study of Annealed Platinum Films Made by Ion Beam Induced Deposition
Park, Heyjin Direct-Write Lithography of Sub-10nm Features on Metallorganic Resists Using a Helium Ion Microscope
Park, Hui Joon High Aspect-ratio Nanograting Formation on Liquid Resists by a Continuous Mold-assisted Direct-write Process
Solar Energy Harvesting Photonic Color Filters
Park, Jiwoong Fabricating Arrays of Graphene Mechanical Resonators with High, Size-Dependent Quality Factors
Park, Kyoo-Chul (Kenneth) Fabrication of Subwavelength High Aspect-Ratio Tapered Fused Silica Nanostructures for Transparent Photophilic Material
Park, Kyung Jin Transmission Electron Microscopy Study of Annealed Platinum Films Made by Ion Beam Induced Deposition
Fabrication of Plasmonic Nano-Pore Array for Nanobio Sensor
Park, Myoung Jin Fabrication of Plasmonic Nano-Pore Array for Nanobio Sensor
Park, Nam Kyoo Fabrication of Plasmonic Nano-Pore Array for Nanobio Sensor
Park, Sang-Min Nanoimprint Induced Block Copolymer Self-Assembly
Park, Woon Ik Extensive Tunability of Self-Assembled Block Copolymer Patterns for Nanolithography
Park, Yun Chang Transmission Electron Microscopy Study of Annealed Platinum Films Made by Ion Beam Induced Deposition
Parpia, Jeevak Fabricating Arrays of Graphene Mechanical Resonators with High, Size-Dependent Quality Factors
Pasquali, Matteo Single Walled Nanotube (SWNT) Fiber Field Emission Cathodes
Patel, Amil 3D Fabrication by Stacking Pre-patterned, Rigidly-held Membranes
Patel, Kanaiyalal Pattern Transfer of Block Copolymer Template from Density Multiplication on Chemically Patterned Surface
Patlolla, Anitha A Parametric Study of Electrophoretic Deposition of Single Wall Nanotubes In Nanoscale Windows
Paul, Philip C. Thermo-Mechanical Probe Lithography at 500 kHz Pixel Rate
Pauliac-Vaujour, Sébastien Development of a 13 Silicon Suspended Stacked Nanowire Architecture for Gate-All-Around (GAA) Field Effect Transistors
Pease, Fabian Optimizing the Performance of Keyhole Diffraction Microscopy
Detection and Characterization of Buried Structures by Exploring Patterns in Angle- and Energy- Filtered Back-Scattered Electrons
Iterative Phase Recovery Using Wavelet Domain Constraints
Pease, R. Fabian Crisp, High Aspect-Ratio, C-Shaped Nanoapertures Fabricated in Evaporated Aluminum Using Focused Helium Ions
Pease, Roger Fabian Resistivity Changes Due to Environmental Contamination In Films Used For Charge Reduction in Electrostatic Electron Optics
Peckerar, Martin Zero-bias Rectifying Performance Enhancement of MIM Tunneling Diodes by Geometric Field Enhancement and Boiling Water Oxidation
Improving the Zero Bias Performance of MIM Tunneling Diodes by Introducing Traps in the Barrier
Pehrsson, Pehr Gas Sensors Based on Vertically Aligned Nanowire Arrays
Pelligrino, Paolo Block-Copolymer Thin Film Templates for Nanoscale Fabrication Processes: Reactive Ion Etching, E-Beam Deposition and Ion Beam Synthesis
Peng, Qing Improving PMMA Etch Resistance using Sequential Infiltration Synthesis
Peng, Yue (Invited) Creative Metrology Development for EUVL: Flare and Out-of-Band Qualification
Penzo, Erika DNA Assembly on Patterned Surfaces
Perego, Michele Block-Copolymer Thin Film Templates for Nanoscale Fabrication Processes: Reactive Ion Etching, E-Beam Deposition and Ion Beam Synthesis
Perez-Martinez, Carla Ionic Liquid Ion Sources as a Unique and Versatile Option in Focused Ion Beam Applications
Filtering and Energy Characterization of Ion Species from Ionic Liquid Ion Sources for Focused Ion Beam Applications
Peric, Oliver Drawing with Nanostencils on Flexible Substrates
Peroz, Christophe Digital Planar Holograms Fabricated by Step and Repeat UV Nanoimprint Lithography
Fabrication of Digital Planar Holograms for Brighter Power Laser Diodes
Peschel, Andreas The Influence of Surface Patterning on Bacterial Growth Behavior
Peter, Rödiger Focused Beam Induced Etching - Making the Right Choice Between Ions and Electrons
Peterson, D.H. High Precision FIB Fabrication of Customizable AFM Probes
Petillo, John High Accuracy Charged Beam Modeling in MICHELLE–eBEAM
Peto, Lloyd Novel Nanopatterning Strategies for Focused Ion Beam Lithography of Nanophotonic Structures
Ion Beam Lithography for Sub-50 nm Patterning of Metal Mold Inserts and Replication by Injection Molding
Petric, Paul Resistivity Changes Due to Environmental Contamination In Films Used For Charge Reduction in Electrostatic Electron Optics
Petrillo, Karen 10 nm node Pattern Transfer Development Using an EUV, DUV and Electron Beam Sensitive Acrylate-Based Resist
Pettersson, Håkan Metal-Assisted Chemical Etching of Si for Fabrication of Nanoimprint Stamps
Phillips, Matthew Growth of SiOx Nano-Pillars Using Electron Beam Induced Deposition in an Environmental SEM
Pianetta, Piero Optimizing the Performance of Keyhole Diffraction Microscopy
Resistivity Changes Due to Environmental Contamination In Films Used For Charge Reduction in Electrostatic Electron Optics
Iterative Phase Recovery Using Wavelet Domain Constraints
Piaszenski, Guido Dimensional Characterization Of Waveguide Coupling Device Structures Fabricated By The Fixed Beam Moving Stage (FBMS) Electron Beam Lithography
Piccirillo, Lucio High Acceleration Voltage Characterization of SML Electron Beam Resist for Ultra High Aspect Ratio Nano-Lithographic Applications
Pick, T. E. (Invited) Dynamic Single Particle Probes of Temperature and Viscosity in Aqueous Media
Pick, Teresa Nanoimprint Induced Block Copolymer Self-Assembly
Pickard, Daniel Direct-Write Lithography of Sub-10nm Features on Metallorganic Resists Using a Helium Ion Microscope
Direct Patterning of Sub-10 nm Optical Apertures with a Helium Ion Microscope
Piner, Richard Patterned Graphene Oxide Films by a Simple Method
Pipelka, Friedrich Ion Beam Lithography for Sub-50 nm Patterning of Metal Mold Inserts and Replication by Injection Molding
Podraza, Nikolas Process-Structure-Property Relationship of Nanocrystalline Vanadium Oxide Thin Films Used in Uncooled Infrared Focal Plane Arrays
Poliakov, Pavel Impact of EUV Lithography Line Edge Roughness on 16 nm Memory Generation
Polsky, R. Structural Influence of 3D Pyrolyzed Carbon Electrodes on Electrochemical Behavior
Polyakov, Aleksandr Plasmonic Light Trapping in Nanostructural Metal Surfaces
Pont, Jérémy Top-Down Approaches for the Fabrication of Titanium Nanostructures
Post, P.C. Parallel Electron-Beam-Induced Deposition using a Multi- Beam Scanning Electron Microscope
Postma, S. Towards a 100 wph e-Beam Direct Write Cluster
Povinelli, Michelle (Invited) Applications of Nanofabrication: Structural Absorption Engineering and Optomechanically-Responsive Photonic Circuits
Pozzato, Alessandro Fabrication of Nickel Diffractive Phase Elements for X-Ray Microscopy at 8 keV Photon Energy
Prabhu, Vivek Nanoparticle Modified Developers for Enhanced Dissolution Control Studied by Simultaneous Light Reflectance and Quartz Crystal Microbalance Techniques
Prasciolu, Mauro Fabrication of Nickel Diffractive Phase Elements for X-Ray Microscopy at 8 keV Photon Energy
Pret, Alessandro Vaglio Impact of EUV Lithography Line Edge Roughness on 16 nm Memory Generation
Provine, J Atomic Layer Deposition Encapsulation of Carbon Nanotubes with Al2O3

Q

Qi, Minghao Equivalent Chirped Bragg Gratings on SOI Using Optical Lithography
Qiu, Zhi-Jun Selective Photochemical Reduction of Silver on Nanoembossed Ferroelectric Nanowires
Qu, Xin-Ping Large Area Fast Patterning in High Resolution by a Combined Near-Field Exposure and Reversal Imprint Lithography
Que, Long Fabrication of Carbon Nanotube Film-Piezoelectric (CNF-PZT) Microcantilevers for Energy Harvesting Application
Nanocomposite-Beam Based Microresonator Fabricated by Combining Microlithography And Layer-By-Layer Nanoassembly

R

Rack, Philip In situ Optical Imaging and Laser Processing in the SEM/FIB: A True EIPBN System
The Directed Assembly of Metallic Nanoparticle Chains by Pulsed Laser Induced Dewetting and Nanolithography
Rack, Philip D. High Growth Efficiencies in Helium Ion Beam Induced Deposition at Short Beam Dwell Times
Rad, Leili Baghaei Optimizing the Performance of Keyhole Diffraction Microscopy
Iterative Phase Recovery Using Wavelet Domain Constraints
Rajauria, S (Invited) Electromagnetic Radiation Pressure on Left- and Right-handed Dissipative Media
Randall, John Multiscale Hydrogen Depassivation Lithography Using a Scanning Tunneling Microscope
Patterned Atomic Layer Epitaxy of Si / Si(001):H
Randolph, Steven A Comparison of Xe+ Plasma FIB Technology with Conventional Gallium LMIS FIB
Ranganath, Teki Native Blank Defect Analysis for the Study of Printability
Rangelow, Ivo W. Self-Actuated Piezoresistive 512-Cantilever Arrays for Large-Area Imaging and Manipulation
Scanning Proximal Probe Lithography (SPPL) with Sub-10nm Resolution on Calix[4]resorcinarene
Ultrafast Cantilever for High Speed Scanning Force Microscopy
Integrated Tool and Feature 2D Plasma Processing Simulator, Used for a Modeling of Cryogenic Plasma Etching of Silicon
Rangelow, Ivo W Micromachined Video Rate AFM Silicon Cantilever
Single Crystal Silicon Nanowires used as Cantilever for Femtonewton Detection
Raub, Alex Evolution of Critical Dimension and Line Edge Roughness During Block-Copolymer Nano-Patterning
Large Area 3D Helical Photonic Crystals
Raurell, Arnau Pou Block-Copolymer Thin Film Templates for Nanoscale Fabrication Processes: Reactive Ion Etching, E-Beam Deposition and Ion Beam Synthesis
Reed, Mark Subwavelength Optical Lithography of Complex Nanopatterns by Diffraction
Reimhult, Erik Nanomenhirs for Surface-based Biosensing of Lipid Structures
Reinspach, Julia A New High-Aspect-Ratio Diamond Dry-Etch Process for Hard X-Ray FEL Radiation Zone Plates
Towards High-Resolution High-Diffraction-Efficiency Soft X-Ray Zone Plate Lenses: Sub-15 Nm Pattern Transfer to Tungsten Using HSQ and Cryogenic RIE
Ren, Yujie Patterned Graphene Oxide Films by a Simple Method
Ribaya, Bryan Carbon Nanotube Field Emission Electron Gun Microassembly for Maskless Lithography
Richter, Karola Fabrication of Silicon Template With Smooth Tapered Side Wall for Nanoimprint Lithography
Ricketts, David Localized Thermal Modification of Surfaces via Electron Bombardment from an STM Tip
High Current Pulse Generation for Thermal Surface Modification Using Standard STM
Rietzler, Florian Focused Electron Beam Induced Surface Activation: A Novel Lithographic Method to Fabricate Nanostructures
RIKEN, ASI Generation of Highly Coherent, Bright 13 nm Light with Phase-Matched High-Order Harmonics for Coherent Scatterometry Microscope
Rio, David 5kV Multi Electron Beam Lithography MAPPER Tool: From 32nm to 22nm Resolution Capability
Rissman, Paul Hard Stamp Processes for the EVG 620 Full Field Nanoimprint System
Ro, Hyun Wook Mapping Resist Flow into Nanoscale Channels During Nanoimprint Lithography
Ro, Hyun-Wook The Effects of Thin Films and Confinement on Thermal Nanoimprint Lithography Patterning
Roberts, Nick In situ Optical Imaging and Laser Processing in the SEM/FIB: A True EIPBN System
Robinson, Jeremy Tuning Graphene Nanomechanical Resonators
Rocca, Jorge Table Top Nanopatterning by De-Magnified Talbot Effect
Roediger, Peter Removal of FIB-Induced Amorphization and Gallium Contamination by Focused-Electron-Beam-Induced-Etching
Local, Direct-Write, Damage-Free Thinning of Germanium Nanowires
Etching of Germanium by Chlorine Gas using a Focused Electron Beam
Rogers, John (Invited) Large-Area Negative Index Metamaterials and Plasmonic Devices by Printing and Molding
Rognin, Etienne Viscoelastic Properties Measurements of Thin Polymer Films from Reflow of Nanoimprinted Patterns
Roll, Mark Nanoparticle Modified Developers for Enhanced Dissolution Control Studied by Simultaneous Light Reflectance and Quartz Crystal Microbalance Techniques
Roman, Patrick Fabrication of an Optical Magnetic Mirror by E-Beam Writing
Rooij, Nico de Self Aligned Concentric Nanostructures Formed by E-beam Overexposure of PMMA and Single Post Processing Steps
Ropp, Chad Development of Metal Etch Mask by Single Layer Lift-Off for Silicon Nitride Photonic Crystals
Rosenbluth, Alan (Invited) Computational Lithography: Exhausting Optical System Limits Through Intensive Optimization
Rosenmann, Daniel Fabrication of High-Aspect-Ratio Nanopores by Interference Lithography
Ross, Caroline A. High Throughput Sub-10-nm Fabrication Based on Templated Self-Assembly of Block Copolymer
Ross, Caroline Multi-Layer Block Copolymer Self-Assembled Structures Using Tilted Pillar Templates
Spatial-Frequency Doubling Below the Block Copolymer Period by Templated Self-Assembly
Rouse, John E-beam Energy Dissipation in Complex Solid Targets
Rouxinol, Francisco Investigation of Contacts Between Metal and Few Layer Graphene Using Focused Ion Beam Cross-Sectioning
Roy, Madhumita Fabrication of an Optical Magnetic Mirror by E-Beam Writing
Ruchhoeft, Paul Characterization of a Saddle-Field Ion Source for Proximity Lithography
Suspended, Micron-scale Corner Cube Retroreflectors as Ultra-bright Optical Labels
Graded Bit Patterned Media via Helium Ion Irradiation
Rue, Chad A Comparison of Xe+ Plasma FIB Technology with Conventional Gallium LMIS FIB
Ruiz, Ricardo Directed Self-assembly with Density Multiplication of POSS-Containing Block Copolymer via Controlled Solvent Annealing
Ruiz-Vargas, Carlos Fabricating Arrays of Graphene Mechanical Resonators with High, Size-Dependent Quality Factors
Ruiz, Ricardo Pattern Transfer of Block Copolymer Template from Density Multiplication on Chemically Patterned Surface
Ruoff, Rodney Patterned Graphene Oxide Films by a Simple Method
Russell, Thomas Solvent Annealing Conbined with Surface Resconstruction towards the Fabrication of Silicon Nanodots with Areal Density beyond 1 Teradots/Inch2
Ryu, Geunmin Zero-bias Rectifying Performance Enhancement of MIM Tunneling Diodes by Geometric Field Enhancement and Boiling Water Oxidation

S

Saha, Shimul Micro-Fabrication of Terahertz Metamaterial Absorbers
Sahli, Billel Direct Top-Down Ordering of Diblock Copolymers Through Nanoimprint Lithography
Sakamoto, Junji High Aspect Ratio Fine Pattern Transfer Using Novel Mold by Nanoimprint Lithography
Novel Nanoimprint Lithography Using Dispersed Molecular Weights
Salaun, Mathieu Direct Top-Down Ordering of Diblock Copolymers Through Nanoimprint Lithography
Salaün, Mathieu Tailored Synthesized Silsesquioxane Based Resists For UV-Assisted Nanoimprint Lithography
Salomon, Sven Wafer Scale Integration Of Oriented Carbon Nanotubes Interconnects
Samantaray, Chandan Electron-Beam Induced Deposition of Transition Metals from Bulk Liquids: Ag, Cr, and Ni
Sanabia, Jason Dimensional Characterization Of Waveguide Coupling Device Structures Fabricated By The Fixed Beam Moving Stage (FBMS) Electron Beam Lithography
Novel Nanopatterning Strategies for Focused Ion Beam Lithography of Nanophotonic Structures
Chip Scale Focussed Electron Beam Induced Etching of a Silicon Nitride Membrane with Unique Beam Writing Strategies.
Sanders, Dan (Invited) Block Copolymer Self-assembly as an Extension of Lithography: Status, Applications, Current Research and Future Directions
Sanderse, M. Towards a 100 wph e-Beam Direct Write Cluster
Sanford, Colin Fabrication of Nanopores Using a Helium Ion Microscope
Scanning Transmission Ion Microscopy with the Helium Ion Microscope – Modeling and Selected Applications
Sang, Bruno Lee Top-Down Approaches for the Fabrication of Titanium Nanostructures
Sanii, Babak Single Crystal Silicon Nanowires used as Cantilever for Femtonewton Detection
Sannomiya, Takumi Nanomenhirs for Surface-based Biosensing of Lipid Structures
Sarov, Yanko Self-Actuated Piezoresistive 512-Cantilever Arrays for Large-Area Imaging and Manipulation
Ultrafast Cantilever for High Speed Scanning Force Microscopy
Satake, Shin-ichi Dwell Time Adjustment for Focused Ion Beam Machining
Surface Deformation Of Ga+ Ion Collision Process via Molecular Dynamics Simulation
Satija, Sushil Mapping Resist Flow into Nanoscale Channels During Nanoimprint Lithography
Savenko, A. High Precision FIB Fabrication of Customizable AFM Probes
Savu, Veronica Drawing with Nanostencils on Flexible Substrates
Sawada, Yohei Evaluation of Curing Process of UV Resin in PFP Gas Ambient by Photo-Differential Scanning Calorimetry
Schabes, Manfred Fabrication and Recording Performance of Bit Patterned Media
Schäfer, Christian Fabrication of Plasmonic Nanostructures by Etch Mask Transfer
Schamm-Chardon, Sylvie Block-Copolymer Thin Film Templates for Nanoscale Fabrication Processes: Reactive Ion Etching, E-Beam Deposition and Ion Beam Synthesis
Schander, Andreas Selective Profile Transformation of Electron-Beam Exposed Multilevel Resist Structures Based on a Molecular Weight Dependent Thermal Reflow
Schardein, Gregory Electron-Beam Induced Deposition of Transition Metals from Bulk Liquids: Ag, Cr, and Ni
scheer, Hella-chirstin Experimental Analysis For Process Control In Hybrid Lithography (T-NIL + UV-L)
Scheer, Hella-Christin Low Temperature Thermal Imprint via Frequency Assistance
Scherer, Axel High Acceleration Voltage Characterization of SML Electron Beam Resist for Ultra High Aspect Ratio Nano-Lithographic Applications
Schiavone, Patrick Challenges in Data Treatment and Proximity Effects Correction for Massively Parallel Electron Lithography
Comparison of PSF for non CAR and CAR Resists in E-Beam Lithography
Schift, Helmut Combining Nanoimprint Lithography and a Molecular Weight Selective Thermal Reflow for the Generation of Mixed 3-D Structures
Selective Profile Transformation of Electron-Beam Exposed Multilevel Resist Structures Based on a Molecular Weight Dependent Thermal Reflow
Schirmer, Michael Focused Electron Beam Induced Surface Activation: A Novel Lithographic Method to Fabricate Nanostructures
Addressing the Fabrication of Titanium Oxide Nanostructures by Electron Beam Induced Deposition
Schlachter, Florian Replication Of Undercut Trenches for Water Based Lift-Off Process by Residue-Free UV-NIL
Schleunitz, Arne Combining Nanoimprint Lithography and a Molecular Weight Selective Thermal Reflow for the Generation of Mixed 3-D Structures
Selective Profile Transformation of Electron-Beam Exposed Multilevel Resist Structures Based on a Molecular Weight Dependent Thermal Reflow
Schmid, Jens Electron-Beam Lithography of Photonic Waveguides: Measurement of the Effect of Field Stitching Errors on Optical Performance and Evaluation of a New Compensation Method
Schmidt, Mike Fine-Focused Beams of Highly Charged Ions
Scholl, Andreas Time-Lapse Imaging of Thermally-Driven Signal Propagation in Nanomagnetic Logic
Schuck, P. James Elucidating Effects of Nanoscale Structural Variations on Local Plasmonic Modes via Photon Localization Microscopy
Schulz, Martin A Model Based Hybrid Proximity Effect Correction Scheme Combining Dose Modulation and Shape Adjustments
Schwind, Greg MOTIS-Based Focused Ion Beams in Two Flavors
Schwind, Gregory (Invited) MOTIS: Focused Ion Beams from Laser-Cooled Atoms
Scipioni, Larry Comparative Study of Nano-Pillar Growth by Helium Ion and Gallium Ion Focused Beams
Characterization of Beam-Induced Chemical Structures in the Helium Ion Microscope
Seguini, Grabriele Block-Copolymer Thin Film Templates for Nanoscale Fabrication Processes: Reactive Ion Etching, E-Beam Deposition and Ion Beam Synthesis
Seichepine, Florent Wafer Scale Integration Of Oriented Carbon Nanotubes Interconnects
Shapiro, Benjamin Simultaneous Positioning and Orienting of a Single Nano-object Using Flow Control
Sheetz, Michael A New Approach for Measuring Protrusive Forces in Cells
Shen, Zexiang Fabrication of Sub-10-Nm-Gapped Gold Structures For Plasmonic Applications
Shen, Zhenkui Fabrication of Complex Nanostructures of P(VDF-TrFE) by Dual Step Hot-embossing
Selective Photochemical Reduction of Silver on Nanoembossed Ferroelectric Nanowires
Sherlock, Tim Characterization of a Saddle-Field Ion Source for Proximity Lithography
Suspended, Micron-scale Corner Cube Retroreflectors as Ultra-bright Optical Labels
Shi, Haofei Invisibility at Visible Frequency Using Carbon Nanotube Carpet
Shiao, M.H. Studies in Synthetic Antiferromagnetically Coupled Ring Array Magnets via Hysteresis Loops
Shibahara, Masahiko Surface Deformation Of Ga+ Ion Collision Process via Molecular Dynamics Simulation
Shiffler, Donald Single Walled Nanotube (SWNT) Fiber Field Emission Cathodes
Shokouhi, Babak High Sensitivity Electron Beam Lithography Using ZEP Resist and MEK:MIBK Developer
Shop, Nano/MEMS Studies in Synthetic Antiferromagnetically Coupled Ring Array Magnets via Hysteresis Loops
Shtokhamer, Roman High Accuracy Charged Beam Modeling in MICHELLE–eBEAM
Shulaker, Max Atomic Layer Deposition Encapsulation of Carbon Nanotubes with Al2O3
Shyu, JH Study of Optical Transmittance Through Tack-Typed and Goblet-Typed Dielectric Pillar Arrays
Shyu, Jia-Hong Tunable Waveguide-Plasmon Coupling in Silicon-Nitride Photonic Crystal Slabs with Double-coated Silver Films
Investigation of Surface Plasmons Coupling via Guided Modes in Metal/Dielectric/Metal Photonic Crystal Slabs
Coupling of Surface Plasmons in Au Nanorings with Subwavelength Holes Array
Siddiqui, Meena Near-Field 3D Lithography Using Self-Assembled Nanospheres
Sijbrandij, Sybren Scanning Transmission Ion Microscopy with the Helium Ion Microscope – Modeling and Selected Applications
Silver, Richard Fabrication of Nanoscale Structures on Micro Patterned Silicon (100) Surfaces
Sim, Jae In Enhancement of Light Extraction Efficiency in n-GaN Patterned Vertical Light-Emitting Diodes using Nanosphere Lithography
Simon, Waid Focused Beam Induced Etching - Making the Right Choice Between Ions and Electrons
Sinclair, M.B. Fabrication of Multilayer 3D Micron-Scale Metamaterials
Sivakumar, Sam Moore for Less: Lithography for the 15nm Node and Beyond
Sixt, Pierre Development of a 13 Silicon Suspended Stacked Nanowire Architecture for Gate-All-Around (GAA) Field Effect Transistors
Skinner, Jack L. Evolution of Critical Dimension and Line Edge Roughness During Block-Copolymer Nano-Patterning
Coupled Planar-Localized Surface Plasmon Resonance Device by Block-Copolymer and Nanoimprint Lithography Fabrication Methods
Skinner, Jack The Fabrication of Shallow Co-axial P-N Junctions on Silicon Micro/Nanopillars for Solar Cell Applications
Smetana, Alexander Tip Based Lithography for Biocompatible Materials
Smith, Bruce Image-Based EUVL Aberration Metrology
Image Invariant and Information Content Comparisons across Sub-32nm Technologies
Smith, Daryl A. High Growth Efficiencies in Helium Ion Beam Induced Deposition at Short Beam Dwell Times
Smith, Henry 3D Fabrication by Stacking Pre-patterned, Rigidly-held Membranes
3D Nanostructures by Stacking Pre-Patterned, Fluid-Supported Single-Crystal Si Membranes
Secondary-Electron Signal Levels of Self-Assembled Monolayers for Spatial-Phase-Locked Electron-Beam Lithography
Equivalent Chirped Bragg Gratings on SOI Using Optical Lithography
Smith, Joshua Localized Thermal Modification of Surfaces via Electron Bombardment from an STM Tip
Smith, Leslie Tantalum Electro-Mechanical Systems For Low Frequency Sensing In Biomimetical Applications
Snider, Gregory L. Novel method for Fabrication of Nanoscale Single-Electron Transistors: Electron Beam Induced Deposition of Pt and Atomic Layer Deposition of Tunnel Barriers
Snider, Gregory Fabrication of Hybrid Silicon/Metal Island Single Electron Transistors
Snow, Eric Tuning Graphene Nanomechanical Resonators
Solak, Harun Demonstration of Full 4-inch Patterning with Displacement Talbot Lithography
High-Contrast Images Obtained with Displacement Talbot Lithography
Soles, Christopher Mapping Resist Flow into Nanoscale Channels During Nanoimprint Lithography
The Effects of Thin Films and Confinement on Thermal Nanoimprint Lithography Patterning
Characterization of Cross Sectional Profile of Epitaxially Assembled Block Copolymer Domains using Transmission Small Angle X-Ray Scattering
Soman, Joel Fabrication of Carbon Nanotube Film-Piezoelectric (CNF-PZT) Microcantilevers for Energy Harvesting Application
Somu, Sivasubramanian Plasmonic Nanopillar Arrays for Enhanced Biosensing, Spectroscopy and Optical Trapping
Son, Jeong Gon Multi-Layer Block Copolymer Self-Assembled Structures Using Tilted Pillar Templates
High Throughput Sub-10-nm Fabrication Based on Templated Self-Assembly of Block Copolymer
Son, Sung Hun Enhancement of Light Extraction Efficiency in n-GaN Patterned Vertical Light-Emitting Diodes using Nanosphere Lithography
Soulan, Sébastien Challenges in Data Treatment and Proximity Effects Correction for Massively Parallel Electron Lithography
Sovernigo, Enrico Fabrication of Nickel Diffractive Phase Elements for X-Ray Microscopy at 8 keV Photon Energy
Spreu, Christian Combining Nanoimprint Lithography and a Molecular Weight Selective Thermal Reflow for the Generation of Mixed 3-D Structures
Stach, Eric Resolution Limits of 200 keV Electron-Beam Lithography Using Aberration-Corrected STEM
Stadler, Andrea DNA Arrays with Site-Specific Labels
Stadlober, Barbara Replication Of Undercut Trenches for Water Based Lift-Off Process by Residue-Free UV-NIL
Staufer, Urs Deposition and Structuring of Ag/AgCl Electrodes into a Closed Polymeric Microfluidic System for Electroosmotic Pumping
Steele, Adam (Invited) MOTIS: Focused Ion Beams from Laser-Cooled Atoms
MOTIS-Based Focused Ion Beams in Two Flavors
Stein, Aaron Table Top Nanopatterning by De-Magnified Talbot Effect
Stein, Aaron Long Range Magnetic Ordering in Nanofabricated Artificial Spin-Ice Arrays
Stein, Gila Characterization of Cross Sectional Profile of Epitaxially Assembled Block Copolymer Domains using Transmission Small Angle X-Ray Scattering
Steinberg, Christian Experimental Analysis For Process Control In Hybrid Lithography (T-NIL + UV-L)
Steinhaus, Chip A. Coupled Planar-Localized Surface Plasmon Resonance Device by Block-Copolymer and Nanoimprint Lithography Fabrication Methods
Steinrück, Hans-Peter Focused Electron Beam Induced Surface Activation: A Novel Lithographic Method to Fabricate Nanostructures
Addressing the Fabrication of Titanium Oxide Nanostructures by Electron Beam Induced Deposition
Stepanova, Maria Developer-Free Direct Patterning on PMMA by Low Voltage Electron Beam Lithography
Density Multiplication of Nanostructures Fabricated by Ultra Low Voltage Electron Beam Lithography Using PMMA as Positive and Negative Tone Resist
Comparison Between ZEP and PMMA Resists for Nanoscale Electron Beam Lithography Experimentally and by Numeric Modeling
Nano-Patterning of PMMA on Insulating Surfaces With Various Anti-Charging Schemes Using 30 Kev Electron Beam Lithography
Nanofabrication of Silicon Carbon Nitride Cantilevers – Comparison of PMMA and HSQ Based Processes
Stern, L. Patterning of Graphene on SiC using a He ion Nanobeam
Stern, Lewis Fabrication of Nanopores Using a Helium Ion Microscope
Scanning-Neon-Ion-Beam Lithography
Comparative Study of Nano-Pillar Growth by Helium Ion and Gallium Ion Focused Beams
Stipe, Barry Fabrication and Testing of 1.5 Terabit/in2 Bit-Patterned Media for Thermally-Assisted Magnetic Recording.
Stock, Hans-Juergen A Model Based Hybrid Proximity Effect Correction Scheme Combining Dose Modulation and Shape Adjustments
Stock, Hans-Jürgen Chemically Amplified Resist Modeling in High Compact Model Format for Photolithography Process Simulation
Strobel, Sebastian (Invited) Sub-10-nm Beam-Based Lithography and Applications
In-situ Study of Rate of Hydrogen Silsesquioxane Dissolution in both Alkaline and Electrochemical Systems
Sturtevant, John (Invited) Challenges for Patterning Process Simulation Models Applied to Large Scale
Su, Dong Resolution Limits of 200 keV Electron-Beam Lithography Using Aberration-Corrected STEM
Sue, Hung-Jue Template-Assisted Growth of ZnO Nanorod Arrays
Sugiyama, Kiyotaka Development of On-Chip Micro Vacuum System with Gas-Liquid Phase Transition
Sun, Jie Equivalent Chirped Bragg Gratings on SOI Using Optical Lithography
Sun, Peter DNA Arrays with Site-Specific Labels
Sun, Yong Subwavelength Optical Lithography of Complex Nanopatterns by Diffraction
Suthar, Kamlesh J. Patterning of Poly(N-Isopropylacrylamide) Hydrogel Nano Structures Using Soft X-Ray and EUV Lithography
Sutter, Eli Focused ion Beam Milling Directed by BASIC-Like Code
Suzuki, Hiroaki Master Pattern Formation of Bit-Patterned Media by E-Beam Direct Drawing
Suzuki, Ryosuke Shrinkage Pattern Correction (SPC) in Nanoimprint Lithography
Szeghalmi, Adriana Double Patterning Technology: Process Simulation and Fabrication of Optical Elements
Szymanska, Joanna Fabrication of Sub-15nm Nanostructures via Metal Lift-Off or Silicon Etching

T

Tabdanov, Erdem Micro and Nano Pillar Assay for T cell Activation
Taboryski, Rafael Fabrication of Nanostructures on Double-Curved PMMA Surfaces by Thermal Imprint with PDMS Stamp
Tada, Kazuhiro Atomic Step Pattering in Nanoimprint Lithography : Molecular Dynamics Study
Tada, Yasuhiko Directed Self-assembly with Density Multiplication of POSS-Containing Block Copolymer via Controlled Solvent Annealing
Takagi, Hideki Soft Patterning on Cylindrical Surface of Plastic Optical Fiber by Sliding Roller-Imprinting
takagi, takamitsu A Gradually Shifted Surface Plasmon Resonance with a Controlled Diameter of a Nano-Hole Structure by Self-Assembly Technique
Takahashi, Junki Deterioration Evaluation of Release Coated Surface for Nanoimprint by Macro Optical Inspection Method
Takami, Daiki Modeling of Charging Effect on Ion Induced Secondary Electron Emission from Nano-Structured Materials
Takamura, Yuzuru Development of On-Chip Micro Vacuum System with Gas-Liquid Phase Transition
Takashima, Yuzuru Crisp, High Aspect-Ratio, C-Shaped Nanoapertures Fabricated in Evaporated Aluminum Using Focused Helium Ions
Iterative Phase Recovery Using Wavelet Domain Constraints
Takenaka, Mikihito Directed Self-assembly with Density Multiplication of POSS-Containing Block Copolymer via Controlled Solvent Annealing
Takeuchi, Hidekazu Evaluation of Effect of Fluorine Additive Agent for Cationic UV-Nanoimprint Resin
Takizawa, Masahiro Multi Column Cell Writer Architecture and a Correction Technique for Consistent CD Uniformity Between Column Cells
Tamaru, Shingo High Current Pulse Generation for Thermal Surface Modification Using Standard STM
Tan, Shida Neon GFIS Nanomachining Applications –A Study Of The Machining Properties of Neon for Semiconductor FA and Circuit Edit
Tanaka, Hiroshi Master Pattern Formation of Bit-Patterned Media by E-Beam Direct Drawing
Tang, Cha-Mei Fabrication of High-Aspect-Ratio Nanopores by Interference Lithography
Taniguchi, Jun Roll Diameter Amplification Method Using Direct Transfer of Fine Patterned Small Roll Mold Fabricated by Electron Beam Lithography
Deterioration Evaluation of Release Coated Surface for Nanoimprint by Macro Optical Inspection Method
Fabrication of the Seamless Roll Mold Using Inorganic Electron Beam Resist with Post Exposure Bake
Dwell Time Adjustment for Focused Ion Beam Machining
Surface Deformation Of Ga+ Ion Collision Process via Molecular Dynamics Simulation
Taniguchi, Yukio Demonstration of Full 4-inch Patterning with Displacement Talbot Lithography
Tao, Li Nanofabrication Down to 10 nm on a Plastic Substrate
Tarucha, Seigo (Invited) Micro-Magnet Techniques for Implementing Spin Qbits with Quantum Dots
Tech, Seoul Investigation of Deposition Profile For Metal Structure Using Focused Ion Beam
Tedesco, J.L. Patterning of Graphene on SiC using a He ion Nanobeam
Teixeira, Fernando Investigation of Contacts Between Metal and Few Layer Graphene Using Focused Ion Beam Cross-Sectioning
Tennant, Don Improved Time Dependent Performance of HSQ Resist Using a Spin on Top Coat
Tervo, Jani Surface-Relief Polarization Gratings for Visible Light
Textor, Marcus Nanomenhirs for Surface-based Biosensing of Lipid Structures
Thébault, Pascal Diblock Copolymer Ordering by NanoImprint Lithography
Thirumalai, Venkatesan Direct-Write Lithography of Sub-10nm Features on Metallorganic Resists Using a Helium Ion Microscope
Thode, Christopher Towards an All-Track Process for DSA
Thompson, Michael Sub-millisecond Post-Exposure and Hard Bake of Chemically Amplified Photoresists
Thompson, William Crisp, High Aspect-Ratio, C-Shaped Nanoapertures Fabricated in Evaporated Aluminum Using Focused Helium Ions
Thoms, Stephen Comparison of HSQ Development Methods for Sub-10 nm Electron Beam Lithography Using Accurate Linewidth Inspection
Tian, Kehan (Invited) Computational Lithography: Exhausting Optical System Limits Through Intensive Optimization
Tian, Lei Near-Field 3D Lithography Using Self-Assembled Nanospheres
Tiron, Raluca Graphoepitaxy of Block Copolymers Using 193nm Lithography: A Process and Defectivity Study
Tomohiro, Kohei High Aspect Ratio Fine Pattern Transfer Using Novel Mold by Nanoimprint Lithography
Tong, William M. Resistivity Changes Due to Environmental Contamination In Films Used For Charge Reduction in Electrostatic Electron Optics
Tormen, Massimo Fabrication of Nickel Diffractive Phase Elements for X-Ray Microscopy at 8 keV Photon Energy
Torres, Clivia Marfa Sotomayor Characterisation of Optical Diffraction Metrology Templates for Self-Assembled Block Co-Polymers Fabricated by Nanoimprint Lithography
Torres, Clivia Sotomayor Direct Top-Down Ordering of Diblock Copolymers Through Nanoimprint Lithography
Tailored Synthesized Silsesquioxane Based Resists For UV-Assisted Nanoimprint Lithography
Tortai, Jean-Herve Comparison of PSF for non CAR and CAR Resists in E-Beam Lithography
Toth, Milos Investigation of Inter-Diffusion Between Layers in Cryogenic Enhanced Electron Beam-Induced-Deposition
Growth of SiOx Nano-Pillars Using Electron Beam Induced Deposition in an Environmental SEM
Toyama, Nobuhito Negative-tone E-beam Resist Patterning for more than 1 Tbit/in2 Bit-patterned Media NIL Mold
Treiblmayr, Dominik Hybrid Tri-Layer Stamps for Step and Repeat Imprint Lithography
Tritchkov, Alexander (Invited) Computational Lithography: Exhausting Optical System Limits Through Intensive Optimization
Trompenaars, Piet Direct Local Deposition of High-Purity Pt and Pd Nanostructures by a Novel Combination of EBID and ALD
Tsai, Kuen-Yu Lithography-Patterning-Fidelity-Aware Electron-Optical System Design Optimization
Tseng, Shao-Chin Use of Nanoimprint Lithography to Prepare Metallic Corrugated Structure Exhibiting Ultrasensitive Refractive Index Sensing
Using Intruded Gold Nanoclusters as Highly Active Catalysts to Fabricate Silicon Nano-Stalactite Structures
Tseng, Yu-Chih Improving PMMA Etch Resistance using Sequential Infiltration Synthesis
Tuchfeld, Eduard Time-Lapse Imaging of Thermally-Driven Signal Propagation in Nanomagnetic Logic
Tudorie, A. Towards a 100 wph e-Beam Direct Write Cluster
Turunen, Jari Perfect Matching of Experimental and Simulated Optical Responses of Metallic Nanostructures Obtained Through the Use of Correct Refractive Index
Tutt, Lee Vertical Transistors with High Alignment Tolerance

U

Uda, Mitsuru Deterioration Evaluation of Release Coated Surface for Nanoimprint by Macro Optical Inspection Method
Uhlen, Fredrik A New High-Aspect-Ratio Diamond Dry-Etch Process for Hard X-Ray FEL Radiation Zone Plates
Uhlén, Fredrik Towards High-Resolution High-Diffraction-Efficiency Soft X-Ray Zone Plate Lenses: Sub-15 Nm Pattern Transfer to Tungsten Using HSQ and Cryogenic RIE
Ukita, Yoshiaki Development of On-Chip Micro Vacuum System with Gas-Liquid Phase Transition
Umekawa, Hideki Evaluation of SiOx Containing UV Nanoimprint Resin
Unguris, John Electron Beams with Helical Wavefronts and Quantized Angular Momentum
Unno, Noriyuki Roll Diameter Amplification Method Using Direct Transfer of Fine Patterned Small Roll Mold Fabricated by Electron Beam Lithography
Fabrication of the Seamless Roll Mold Using Inorganic Electron Beam Resist with Post Exposure Bake
Uno, Yuhei Master Pattern Formation of Bit-Patterned Media by E-Beam Direct Drawing
Urbanski, Lukasz Table Top Nanopatterning by De-Magnified Talbot Effect
USA, Raith Dimensional Characterization Of Waveguide Coupling Device Structures Fabricated By The Fixed Beam Moving Stage (FBMS) Electron Beam Lithography

V

Vacic, Aleksandar Subwavelength Optical Lithography of Complex Nanopatterns by Diffraction
Valente, Gustavo Investigation of Contacts Between Metal and Few Layer Graphene Using Focused Ion Beam Cross-Sectioning
Valkering, A.M.C. Towards a 100 wph e-Beam Direct Write Cluster
van de Peut, T. Towards a 100 wph e-Beam Direct Write Cluster
van den Berg, C.R. Towards a 100 wph e-Beam Direct Write Cluster
van der Ploeg, Paul Deposition and Structuring of Ag/AgCl Electrodes into a Closed Polymeric Microfluidic System for Electroosmotic Pumping
van der Zande, Arend Fabricating Arrays of Graphene Mechanical Resonators with High, Size-Dependent Quality Factors
van Dorp, W.F. Functionalization of Focused Electron Beam Induced Deposits by Directed Self-Assembly
van Mil, I.L. Towards a 100 wph e-Beam Direct Write Cluster
van Oven, Jules C. Electron-Beam-Induced Deposition of 3.5 nm Half-Pitch Dense Patterns on Bulk Si by Using a Scanning Electron Microscope
Vancura, Tobias High-Resolution High-Sensitivity Characterization Of Nanoscale Structures in a New Combined SIMS-SPM Instrument: Correction of Topography Artifacts
Vartiainen, Ismo Surface-Relief Polarization Gratings for Visible Light
Vaz, Alfredo FIB Cross-Sections for Morphological Analysis of Ni-P Hard-Mask Transformation During Plasma Etching
Investigation of Contacts Between Metal and Few Layer Graphene Using Focused Ion Beam Cross-Sectioning
Veldhoven, Emile Van High Growth Efficiencies in Helium Ion Beam Induced Deposition at Short Beam Dwell Times
Characterization of Beam-Induced Chemical Structures in the Helium Ion Microscope
Venema, N. Towards a 100 wph e-Beam Direct Write Cluster
Venkatasubramanian, Chandru Process-Structure-Property Relationship of Nanocrystalline Vanadium Oxide Thin Films Used in Uncooled Infrared Focal Plane Arrays
Verduin, Thomas The Influence of Gun Design on Coulomb-Interactions in a Field Emission Gun
Statistical Coulomb Forces in Photo-Field Emitters for Ultrafast Microscopy
Vergeer, N. Towards a 100 wph e-Beam Direct Write Cluster
Vieu, Christophe Wafer Scale Integration Of Oriented Carbon Nanotubes Interconnects
Vila-Comamala, Joan 3D Nanostructuring of Hydrogen Silsesquioxane Resist by 100 keV Electron Beam Lithography
Villwock, Diana Multi-Tip AFM Lithography System for High Throughput Nano-patterning
Viswanathan, Vignesh Direct-Write Lithography of Sub-10nm Features on Metallorganic Resists Using a Helium Ion Microscope
Direct Patterning of Sub-10 nm Optical Apertures with a Helium Ion Microscope
VJ, Logeeswaran The Fabrication of Shallow Co-axial P-N Junctions on Silicon Micro/Nanopillars for Solar Cell Applications
Vlasov, Alexander High Accuracy Charged Beam Modeling in MICHELLE–eBEAM
Vogler, Marko Combining Nanoimprint Lithography and a Molecular Weight Selective Thermal Reflow for the Generation of Mixed 3-D Structures
Selective Profile Transformation of Electron-Beam Exposed Multilevel Resist Structures Based on a Molecular Weight Dependent Thermal Reflow
Vogt, Ulrich A New High-Aspect-Ratio Diamond Dry-Etch Process for Hard X-Ray FEL Radiation Zone Plates
Volland, Burkhard E. Integrated Tool and Feature 2D Plasma Processing Simulator, Used for a Modeling of Cryogenic Plasma Etching of Silicon
Vollnhals, Florian Focused Electron Beam Induced Surface Activation: A Novel Lithographic Method to Fabricate Nanostructures
Addressing the Fabrication of Titanium Oxide Nanostructures by Electron Beam Induced Deposition
Von Her, James Multiscale Hydrogen Depassivation Lithography Using a Scanning Tunneling Microscope
von Her, Jim Patterned Atomic Layer Epitaxy of Si / Si(001):H

W

Wachulak, Przemyslaw Table Top Nanopatterning by De-Magnified Talbot Effect
Waid, Simon Removal of FIB-Induced Amorphization and Gallium Contamination by Focused-Electron-Beam-Induced-Etching
3D Nano Patterning using Local Ga Implantation and Subsequent RIE Etch
Direct Hard Mask Patterning by Focused Ion Beam (FIB)
Waks, Edo Development of Metal Etch Mask by Single Layer Lift-Off for Silicon Nitride Photonic Crystals
Wakuda, Shinya Computational Study of Electron-Irradiation Effects in Carbon Nanomaterials on Substrates
Walz, Marie-Madeleine Focused Electron Beam Induced Surface Activation: A Novel Lithographic Method to Fabricate Nanostructures
Addressing the Fabrication of Titanium Oxide Nanostructures by Electron Beam Induced Deposition
Wang, Chao Design and Fabrication of High Enhancement Yet Wafer-Scale Uniform SERS/Plasmonic Structures Based on Nanoimprint Lithography and Self-Assembly
Extraction Efficiency Improvement of GaN-based Light-emitting Diodes Using Sub-wavelength Nanoimprinted Patterns on Sapphire Substrates
Nanofluidic Single DNA Sorter and Analyzer Fabricated by Nanoimprint and Wafer Bonding
Fabrication of Large-area Plasmonic Nano-cavity Antenna Array for High Efficiency Mid-and-Far Infrared Sensing
Large-area Patterning of Au Nano-particles Self-aligned to Fluidic Channels for Enhancement of Molecule Detection
Wang, Chengqing Characterization of Cross Sectional Profile of Epitaxially Assembled Block Copolymer Domains using Transmission Small Angle X-Ray Scattering
Wang, Qing Anisotropic Filling Phenomenon of Trenches in UV Nanoimprint
Wang, Risheng DNA Assembly on Patterned Surfaces
Wang, Yuanyuan Large Area Fast Patterning in High Resolution by a Combined Near-Field Exposure and Reversal Imprint Lithography
Wang, Yue Direct-Write Lithography of Sub-10nm Features on Metallorganic Resists Using a Helium Ion Microscope
Direct Patterning of Sub-10 nm Optical Apertures with a Helium Ion Microscope
Wanzenboeck, Heinz D. Removal of FIB-Induced Amorphization and Gallium Contamination by Focused-Electron-Beam-Induced-Etching
Local, Direct-Write, Damage-Free Thinning of Germanium Nanowires
Etching of Germanium by Chlorine Gas using a Focused Electron Beam
Wanzenboeck, Heinz 3D Nano Patterning using Local Ga Implantation and Subsequent RIE Etch
Direct Hard Mask Patterning by Focused Ion Beam (FIB)
Warisawa, Shin'ichi Multi-Layer Block Copolymer Self-Assembled Structures Using Tilted Pillar Templates
Carbon Nanomechanical Resonator Fabrication from PMMA by FIB/EB Dual-Beam Lithography
A Gradually Shifted Surface Plasmon Resonance with a Controlled Diameter of a Nano-Hole Structure by Self-Assembly Technique
Quality Factor Enhancement on Nano Mechanical Resonators Utilizing Stiction Phenomena
Dynamic Characteristics Control of DLC Nano-Resonator Fabricated by Focused-Ion-Beam Chemical Vapor Deposition
Selective Graphene Growth from DLC Thin Film Patterned by Focused-ion-beam Chemical Vapor Deposition
Washburn, C. M. Structural Influence of 3D Pyrolyzed Carbon Electrodes on Electrochemical Behavior
Watanabe, Takeo EUV-Mask Pattern Imaging by the Coherent Scatterometry Microscope
Extreme Ultraviolet Interference Lithography toward 1X nm Nodes
Wathuthanthri, Ishan Large-Area Pattern Transfer of Metal Nanostructures via Interference Lithography
Single-Step Interferometric Patterning of High-Aspect-Ratio Three-Dimensional Nanostructures
Weber-Bargioni, Alex Elucidating Effects of Nanoscale Structural Variations on Local Plasmonic Modes via Photon Localization Microscopy
Weber, Matthieu Direct Local Deposition of High-Purity Pt and Pd Nanostructures by a Novel Combination of EBID and ALD
Weber, Thomas Double Patterning Technology: Process Simulation and Fabrication of Optical Elements
Wegmann, Urs High-Resolution High-Sensitivity Characterization Of Nanoscale Structures in a New Combined SIMS-SPM Instrument: Correction of Topography Artifacts
Wellenzohn, Roman Bruck Markus Ion Beam Lithography for Sub-50 nm Patterning of Metal Mold Inserts and Replication by Injection Molding
Wen, Juanjuan Fabrication of Complex Nanostructures of P(VDF-TrFE) by Dual Step Hot-embossing
Wen, Xuejin Cell Electroporation – A Diffusion Process or a Drive-In Process?
Wendt, J.R. Fabrication of Multilayer 3D Micron-Scale Metamaterials
Westly, Daron Improved Time Dependent Performance of HSQ Resist Using a Spin on Top Coat
Westra, Ken L. Comparison Between ZEP and PMMA Resists for Nanoscale Electron Beam Lithography Experimentally and by Numeric Modeling
Wheeler, D. R. Structural Influence of 3D Pyrolyzed Carbon Electrodes on Electrochemical Behavior
Whitney, William Fabricating Arrays of Graphene Mechanical Resonators with High, Size-Dependent Quality Factors
Wiedemann, Pablo 5kV Multi Electron Beam Lithography MAPPER Tool: From 32nm to 22nm Resolution Capability
Wieland, M.J. Towards a 100 wph e-Beam Direct Write Cluster
Wieldermann, Pablo Challenges in Data Treatment and Proximity Effects Correction for Massively Parallel Electron Lithography
Wiersma, A.D. Towards a 100 wph e-Beam Direct Write Cluster
Wilkinson, Ray Espinal David Commissioning of the 20-bit Vistec EBPG5000plus at the Melbourne Centre for Nanofabrication
Williams, R. Stanley A Molecule Trapping and SERS Sensing Device by 3-D Nanoimprint
Williamson, Lance Evolution of Critical Dimension and Line Edge Roughness During Block-Copolymer Nano-Patterning
Willson, Richard (Invited) Applied Biochemistry Meets Microfabrication and Nanotechnology: Micro- and Nano-Labels for Biomedical Diagnostics
Suspended, Micron-scale Corner Cube Retroreflectors as Ultra-bright Optical Labels
Wind, Shalom DNA Assembly on Patterned Surfaces
DNA Arrays with Site-Specific Labels
A New Approach for Measuring Protrusive Forces in Cells
Selective Biomolecular Nanoarrays for Parallel Single-Molecule Investigations
Winston, Donald Scanning-Neon-Ion-Beam Lithography
Wirtz, Tom High-Resolution High-Sensitivity Characterization Of Nanoscale Structures in a New Combined SIMS-SPM Instrument: Correction of Topography Artifacts
Wojcik, Michael High Aspect Ratio Zone Plate Fabrication Using a Bilayer Mold
Woszczyna, M. Self-Actuated Piezoresistive 512-Cantilever Arrays for Large-Area Imaging and Manipulation
Woutersen, S. Towards a 100 wph e-Beam Direct Write Cluster
Wright, John Electron-Beam Induced Deposition of Transition Metals from Bulk Liquids: Ag, Cr, and Ni
Wu, Yueying The Directed Assembly of Metallic Nanoparticle Chains by Pulsed Laser Induced Dewetting and Nanolithography
Wu, J.C. Studies in Synthetic Antiferromagnetically Coupled Ring Array Magnets via Hysteresis Loops
Wu, Jong-Ching Tunable Waveguide-Plasmon Coupling in Silicon-Nitride Photonic Crystal Slabs with Double-coated Silver Films
Investigation of Surface Plasmons Coupling via Guided Modes in Metal/Dielectric/Metal Photonic Crystal Slabs
Coupling of Surface Plasmons in Au Nanorings with Subwavelength Holes Array
Wu, Jong Study of Optical Transmittance Through Tack-Typed and Goblet-Typed Dielectric Pillar Arrays
Wu, Nathanael Block Copolymers for High-Resolution Nanopatterning
Wu, Teho Studies in Synthetic Antiferromagnetically Coupled Ring Array Magnets via Hysteresis Loops
Wu, Wei A Molecule Trapping and SERS Sensing Device by 3-D Nanoimprint
Wu, Wen-li Characterization of Cross Sectional Profile of Epitaxially Assembled Block Copolymer Domains using Transmission Small Angle X-Ray Scattering
Wu, Xiaofei Fast Aerial Image Simulations Using One Basis Mask for Optical Proximity Correction
Kernel Based Parametric Analytical Model of Source Intensity Distributions in Lithographic Tools
Wu, Ying Fabrication of Nanoparticles Deposited Photonic Crystals
Nanoimprint Induced Block Copolymer Self-Assembly
Cryogenic Silicon Process for Etching 15 nm Trenches and Beyond
Integrated Tool and Feature 2D Plasma Processing Simulator, Used for a Modeling of Cryogenic Plasma Etching of Silicon

X

Xia, Qiangfei Arrays of 25 ×25 nm2 Cross-Point Resistive Switching Devices Fabricated with Nanoimprint Lithography
Xiao, Shuaigang Integration of Directed Block Copolymer Self-Assembly with Nanoimprint Lithography for Addressable Nanoarray Fabrication over Large Area
Solvent Annealing Conbined with Surface Resconstruction towards the Fabrication of Silicon Nanodots with Areal Density beyond 1 Teradots/Inch2
Xiao, X. Structural Influence of 3D Pyrolyzed Carbon Electrodes on Electrochemical Behavior
Xie, Peng Image Invariant and Information Content Comparisons across Sub-32nm Technologies
Xu, Ji Solvent Annealing Conbined with Surface Resconstruction towards the Fabrication of Silicon Nanodots with Areal Density beyond 1 Teradots/Inch2
Xu, Ting High Efficiency Plasmonic Color Filters Fabricated Using Imprint Lithography
Solar Energy Harvesting Photonic Color Filters
Xu, Wei Large-Area Pattern Transfer of Metal Nanostructures via Interference Lithography

Y

Yaghoobi, Parham Broadband Light-Induced Thermionic Electron Emission from Arrays of Carbon Nanotubes using Laser Pointers
Polarization-Sensitive Visible-Light-Induced Thermionic Electron Emission from Carbon Nanotube Forests
Yagnyukova, Mariya Nanofabrication of Photonic Crystal-Based Devices Using Electron Beam Spot Lithography Technique
Yahiro, Takehisa On-Machine Wavefront Evaluation of the Full-Field Extreme Ultra-Violet Lithography Exposure System
Yamada, Akio Multi Column Cell Writer Architecture and a Correction Technique for Consistent CD Uniformity Between Column Cells
Yamaguchi, Yuya Extreme Ultraviolet Interference Lithography toward 1X nm Nodes
Yamanaka, Takuya Modeling of Charging Effect on Ion Induced Secondary Electron Emission from Nano-Structured Materials
Yamashita, Daisuke Comparison of Surface Condition of Nanoimprint Antisticking Layers Formed by CVD And Dip-Coat Methods
Yan, Hanfei Fabrication of High-Aspect-Ratio Nanostructures to Characterize High-resolution Hard X-ray Nano-probe
Yang, Chu Yeu Peter Coupled Planar-Localized Surface Plasmon Resonance Device by Block-Copolymer and Nanoimprint Lithography Fabrication Methods
yang, Elaine Lai Coupled Planar-Localized Surface Plasmon Resonance Device by Block-Copolymer and Nanoimprint Lithography Fabrication Methods
Yang, Henry Integration of Directed Block Copolymer Self-Assembly with Nanoimprint Lithography for Addressable Nanoarray Fabrication over Large Area
Yang, Ji won Enhancement of Light Extraction Efficiency in n-GaN Patterned Vertical Light-Emitting Diodes using Nanosphere Lithography
Yang, Jijin Fabrication of Nanopores Using a Helium Ion Microscope
Yang, Joel Fabrication of Sub-10-Nm-Gapped Gold Structures For Plasmonic Applications
(Invited) Sub-10-nm Beam-Based Lithography and Applications
Plasmonics On Lithographically-Defined Nanostructures as Studied in Electron Energy-Loss Spectroscopy
In-situ Study of Rate of Hydrogen Silsesquioxane Dissolution in both Alkaline and Electrochemical Systems
Yang, Muchuan EWOD-Based Droplet Actuation by Active-Matrix Electrode Array
Yang, Xiaomin Integration of Directed Block Copolymer Self-Assembly with Nanoimprint Lithography for Addressable Nanoarray Fabrication over Large Area
Yang, Yi Dehydration Assisted Nanoimprint Of PEDOT:PSS Nanogratings To Improve Organic Photovoltaics
Yang, Yugu Real-time Dose Control for Electron-Beam Lithography
Secondary-Electron Signal Levels of Self-Assembled Monolayers for Spatial-Phase-Locked Electron-Beam Lithography
Yanik, Ahmet Ali Plasmonic Nanopillar Arrays for Enhanced Biosensing, Spectroscopy and Optical Trapping
High-throughput Fabrication of Engineered Plasmonic Nanoantenna Arrays with Nanostencil Lithography
Yankov, Vladimir Digital Planar Holograms Fabricated by Step and Repeat UV Nanoimprint Lithography
Fabrication of Digital Planar Holograms for Brighter Power Laser Diodes
Yasuda, Masaaki Molecular Dynamics Study on Polymer Filling Process in Nanoimprint Lithography for Multi-Layered Resist
Shrinkage Pattern Correction (SPC) in Nanoimprint Lithography
High Aspect Ratio Fine Pattern Transfer Using Novel Mold by Nanoimprint Lithography
Novel Nanoimprint Lithography Using Dispersed Molecular Weights
Atomic Step Pattering in Nanoimprint Lithography : Molecular Dynamics Study
Computational Study of Electron-Irradiation Effects in Carbon Nanomaterials on Substrates
Ye, Jun Detection and Characterization of Buried Structures by Exploring Patterns in Angle- and Energy- Filtered Back-Scattered Electrons
Yesilkoy, Filiz Zero-bias Rectifying Performance Enhancement of MIM Tunneling Diodes by Geometric Field Enhancement and Boiling Water Oxidation
Improving the Zero Bias Performance of MIM Tunneling Diodes by Introducing Traps in the Barrier
Yildiz, I. High Precision FIB Fabrication of Customizable AFM Probes
Yilmaz, Cihan Plasmonic Nanopillar Arrays for Enhanced Biosensing, Spectroscopy and Optical Trapping
Yoshida, Hiroshi Directed Self-assembly with Density Multiplication of POSS-Containing Block Copolymer via Controlled Solvent Annealing
Yoshimoto, Mamoru Atomic Step Pattering in Nanoimprint Lithography : Molecular Dynamics Study
Young, Richard A Comparison of Xe+ Plasma FIB Technology with Conventional Gallium LMIS FIB
Young, Tony Time-Lapse Imaging of Thermally-Driven Signal Propagation in Nanomagnetic Logic
Younkin, Todd Sub-millisecond Post-Exposure and Hard Bake of Chemically Amplified Photoresists
Yu, Chen-Chieh Use of Nanoimprint Lithography to Prepare Metallic Corrugated Structure Exhibiting Ultrasensitive Refractive Index Sensing
Using Intruded Gold Nanoclusters as Highly Active Catalysts to Fabricate Silicon Nano-Stalactite Structures
Yu, Zhaoning Study of Spin-coated Resist Coverage on Nanoscale Topography Using Spectroscopic Ellipsometry
Yuji, Kang Annealing Dependence of Deposit Morphology for Fe-Ga Contained DLC Film Formed by FIB-CVD with Ferrocene Source Gas
Evaluation of SiOx Containing UV Nanoimprint Resin
Yukawa, Takao Evaluation of Effect of Fluorine Additive Agent for Cationic UV-Nanoimprint Resin

Z

Zakhidov, Anvar Dehydration Assisted Nanoimprint Of PEDOT:PSS Nanogratings To Improve Organic Photovoltaics
Zalalutdinov, Maxim Tuning Graphene Nanomechanical Resonators
Zawierucha, P. Self-Actuated Piezoresistive 512-Cantilever Arrays for Large-Area Imaging and Manipulation
Zeeb, Bastian Fabrication of Plasmonic Nanostructures by Etch Mask Transfer
Zelsmann, Marc Direct Top-Down Ordering of Diblock Copolymers Through Nanoimprint Lithography
Tailored Synthesized Silsesquioxane Based Resists For UV-Assisted Nanoimprint Lithography
Zepka, Alex A Model Based Hybrid Proximity Effect Correction Scheme Combining Dose Modulation and Shape Adjustments
Zewail, Ahmed 4D Electron Microscopy: History, Developments and Applications
Zhang, Chuanwei Fast Aerial Image Simulations Using One Basis Mask for Optical Proximity Correction
Kernel Based Parametric Analytical Model of Source Intensity Distributions in Lithographic Tools
Zhang, Jian High Sensitivity Electron Beam Lithography Using ZEP Resist and MEK:MIBK Developer
Zhang, Jingyu Fabrication of Nanoparticles Deposited Photonic Crystals
Fabrication of Sub-20nm Nanochannels Integrated with Bowtie Nanoantenna
Zhang, Lan Commissioning of the 20-bit Vistec EBPG5000plus at the Melbourne Centre for Nanofabrication
Zhang, Qi Fabrication of Large-area Plasmonic Nano-cavity Antenna Array for High Efficiency Mid-and-Far Infrared Sensing
Zhang, Weihua Design and Fabrication of High Enhancement Yet Wafer-Scale Uniform SERS/Plasmonic Structures Based on Nanoimprint Lithography and Self-Assembly
Zhang, Xingcai Nanocomposite-Beam Based Microresonator Fabricated by Combining Microlithography And Layer-By-Layer Nanoassembly
Zheng, David Ai Zhi Developer-Free Direct Patterning on PMMA by Low Voltage Electron Beam Lithography
Zheng, Xiaohu Investigation of Graphene Piezoresistor for Use as Strain Gauge Sensors
Zhu, Diling Optimizing the Performance of Keyhole Diffraction Microscopy
Zhu, Xieqing E-beam Energy Dissipation in Complex Solid Targets
Zhu, Yanwu Patterned Graphene Oxide Films by a Simple Method
Zimmermann, Rainer A Model Based Hybrid Proximity Effect Correction Scheme Combining Dose Modulation and Shape Adjustments
Zoellner, J.-P. Self-Actuated Piezoresistive 512-Cantilever Arrays for Large-Area Imaging and Manipulation
Zonnevylle, A. Christiaan Individual Beam Control for MEMS Multi Electron Beam Systems
Zschornack, Günter Fine-Focused Beams of Highly Charged Ions

EIPBN Abstracts