EIPBN

EIPBN 2011 Table of Contents


Section Abstract and Authors
Plenary 1

4D Electron Microscopy: History, Developments and Applications, Ahmed Zewail, California Institute of Technology

Ahmed Zewail is the Linus Pauling Chair professor of chemistry and professor of physics at the California Institute of Technology (Caltech). For ten years, he served as the Director of the National Science Foundation’s Laboratory for Molecular Sciences (LMS), and is currently the Director of the Moore Foundation’s Center for Physical Biology at Caltech. Professor Zewail was awarded the 1999 Nobel Prize in Chemistry for his work using ultrafast optics, showing that it is possible with rapid laser technique to see how atoms in a molecule move during a chemical reaction. More recently, he and his group have developed the field of 4D electron microscopy for the direct visualization of matter’s behavior, from atoms to biological cells, in the four dimensions of space and time. A significant effort is also devoted to giving public lectures on science and on the promotion of education and partnership for world peace, and he continues to serve on national and international boards for academic, cultural, and world affairs. On April 27, 2009, President Barack Obama appointed him to the President’s Council of Advisors on Science and Technology, and in November of the same year, he was named the First United States Science Envoy to the Middle East.

Plenary 2

History and Development of the Helium Ion Microscope, Nicholas P. Economou, PointSpectrum LLC

Dr. Economou is president of PointSpectrum, a developer and manufacturer of advanced optical devices for the solar energy, lighting and display industries. He is the former president of Carl Zeiss SMT, Inc., the North American operation of Carl Zeiss SMT AG. He was co-founder of the venture capital funded start-up ALIS Corporation, which developed the helium ion microscope and was acquired by Zeiss in July 2006. Dr. Economou began his professional career as a researcher at Bell Laboratories and MIT Lincoln Laboratory. In 1984, he became involved in his first start-up company, Micrion Corporation, which was the first to develop commercial systems utilizing gallium focused ion beam technology. Over the last 25 years, he has served as a senior executive with several successful technology ventures in the semiconductor and telecommunications industries. He also serves as a director on the boards of a number of private and public technology companies. Dr. Economou received his B.A. in physics from Dartmouth College and his M.A. and Ph.D. in physics from Harvard University. He is a Fellow of the IEEE.

Plenary 3

Moore for Less: Lithography for the 15nm Node and Beyond, Sam Sivakumar, Intel Corporation

Sam Sivakumar is an Intel Fellow and Director of Lithography in Intel’s Portland Technology Development Group in Oregon. He is responsible for the definition and development of Intel’s next generation lithography processes, resolution enhancement techniques and OPC. Sivakumar joined Intel in 1990 after graduating from the University of Illinois at Urbana-Champaign. Throughout his career with the company, he has worked in the lithography area on photoresists, patterning equipment and process development. He has contributed to lithography development, characterization and transfer to high-volume manufacturing of every submicron process technology generation at Intel since 1990. He co-invented industry-leading interconnect patterning techniques for aluminum metallization on the 180 nanometer process, dual-damascene copper metallization on the 130 nanometer and newer processes and various double patterning techniques for advanced technologies. Sivakumar has published 18 papers on semiconductor processing. He holds 30 patents with several more pending. He was born in 1966 in Madras, India.

1A-1
Directed Self Assembly 1

Multi-Layer Block Copolymer Self-Assembled Structures Using Tilted Pillar Templates, Shin'ichi Warisawa, Jeong Gon Son*, Jae Byum Chang*, Caroline Ross* and Karl Berggren*, The University of Tokyo, *Massachusetts Institute of Technology

We used an array of 35 – 105 nm spaced and 60 deg. tilted pillars, prepared by electron-beam lithography of HSQ. Experiments show that the pillars can control orientation and alignment of a self-assembled cylindrical morphology of PS-b-PDMS with 35 nm of the natural length in a multi-layer.

1A-2
Directed Self Assembly 1

Towards an All-Track Process for DSA, Chi-Chun Liu, Christopher Thode, Paulina Rincon Delgadillo, Paul Nealey and Roel Gronheid*, The University of Wisconsin-Madison, *IMEC

 

1A-3
Directed Self Assembly 1

Pattern Transfer of Block Copolymer Template from Density Multiplication on Chemically Patterned Surface, Guoliang Liu, Ricardo Ruiz*, Elizabeth Dobisz*, Kanaiyalal Patel*, Paul F. Nealey and Thomas Albrecht*, The University of Wisconsin, * Hitachi Global Storage Technologies

We characterized the pattern transfer properties of polystyrene-block-poly(methyl methacrylate), PS-b-PMMA, after density multiplication on a chemical pattern.  Every other PMMA domains required more etching time to be fully removed.   This gives insight into the boundary condition optimization for block copolymer directed assembly to improve the pattern transfer properties.

1A-4
Directed Self Assembly 1

Spatial-Frequency Doubling Below the Block Copolymer Period by Templated Self-Assembly, Amir Tavakkoli K. G., Kevin Gotrik, Adam Floyd Hannon, Jae-Byum Chang, Sam Nicaise*, Caroline Ross and Karl K. Berggren, Massachusetts Institute of Technology, *NUS

In this study, we demonstrated a high-resolution method for doubling the spatial frequency of lines and dots of structures defined by electron-beam-lithography, as well as a method for achieving a rectangular lattice in the case of dots by using an array of structures defined by EBL to template block copolymers.

1B-1
Nanoimprint 1

Combining Nanoimprint Lithography and a Molecular Weight Selective Thermal Reflow for the Generation of Mixed 3-D Structures, Arne Schleunitz, Christian Spreu, Hakan Atasoy, Marko Vogler* and Helmut Schift*, Paul Scherrer Insitut, *Micro Resist Technology GmbH

The combination of nanoimprint lithography (NIL) for pre-patterning of nanoreliefs on top of a resist, grayscale electron beam lithography (EBL) to generate stepped 3-D structures, and thermal reflow for exclusively transforming these stepped structures into continuous slopes enables mixed micro and nanostructures with sloped and vertical sidewalls in single resists.

1B-2
Nanoimprint 1

Roll Diameter Amplification Method Using Direct Transfer of Fine Patterned Small Roll Mold Fabricated by Electron Beam Lithography, Jun Taniguchi, Noriyuki Unno and Hiroki Maruyama, Tokyo University of Science

We investigate roll diameter amplification method using direct transfer of patterned small mold to large diameter roll mold. The small roll mold was fabricated electron beam lithography. Then large roll mold was lapped with UV-curable film and transferred patterns were used for roll to roll UV nanoimprint lithography.

1B-3
Nanoimprint 1

Digital Planar Holograms Fabricated by Step and Repeat UV Nanoimprint Lithography, Christophe Peroz, Scott Dhuey*, Alexander Goltsov**, Bruce Harteneck*, Igor Ivonin**, Deirdre Olynick*, Stefano Cabrini*, Sergey Babin and Vladimir Yankov**, aBeam Technologies, *The Molecular Foundry, **Nano-Optic Devices

We report for the first time the fabrication of digital planar hologram by a novel nanoimprint process. Spectrometer chip have been fabricated and their experimental characterization are in agreement with the theory.

1B-4
Nanoimprint 1

Mapping Resist Flow into Nanoscale Channels During Nanoimprint Lithography, Hyun Wook Ro, Bulent Akgun, Sushil Satija And Christopher Soles, National Institute of Standards and Technology

Here we present a complementary technique to address the flow field of a multi-component resist system during NIL process using x-ray and neutron reflectivities for bilayer and homogenous mixture systems. The results provide the flow field during NIL with respect to the viscoelasticity of the resist system.

1C-1
Emerging Technologies 1

Fabrication of Nanopores Using a Helium Ion Microscope, Colin Sanford, David Ferranti, Lewis Stern, Jijin Yang, Jason Huang and Adam Hall*, Carl Zeiss, *Univesity of North Carolina

Nanopore fabrication has become an area of intense research due to the many potential applications of nanopores such as DNA sequencing, molecular detection, optical enhancement, etc. In this paper we present a new nanopore fabrication method using a Helium Ion Microscope that eliminates complexities of existing methods, and also present initial functional characterization data.

1C-2
Emerging Technologies 1

3D Fabrication by Stacking Pre-patterned, Rigidly-held Membranes, Amil Patel, Corey Fucetola, Euclid Moon and Henry Smith, Massachusetts Institute of Technology

We describe initial research on a new approach to fabricating 3-D nanostructures based on the aligned stacking of pre-patterned membranes. The approach promises higher yield and greater flexibility than a layer-by-layer application of planar fabrication.

1C-3
Emerging Technologies 1

Fabrication of Nanoparticles Deposited Photonic Crystals, Jingyu Zhang, Emory Chan, Scott Dhuey, Ying Wu, Bruce Harteneck, Deirdre Olynick, Xiaogan Liang, Stefano Cabrini, Luca Businaro*, Vito Mocella**, Lawrence Berkeley National Laboratory, *CNR-IFN, **Unita di Napoli

Super lattice Bragg media of both negative and positive refraction index can confine light in collimation or resonance in the near infrared (NIR) spectral region. We present the use of upconversion nanoparticles (NaYF4:Yb3+, Er3+), to enable the visualization of NIR light propagation in PC waveguide with conventional optical microscopy.

1C-4
Emerging Technologies 1

A Novel Low Energy Electron Imaging Technique for DNA Sequencing and Surface Analysis, Marian Mankos, Electon Optica

This paper describes the concept of a novel electron microscope technique capable of imaging a DNA base sequence of unlimited length at low cost with the high accuracy needed for full-scale sequencing, without labeling and radiation damage.

2A-1
Electron/Ion Lithography 1

Investigation of Inter-Diffusion Between Layers in Cryogenic Enhanced Electron Beam-Induced-Deposition, Matthew Bresin, Milos Toth* and Kathleen Dunn, University at Albany-SUNY, *FEI Company

Cryogenic electron beam-induced-deposition was used to develop 3D structures with incorporated air gaps.  Multiple layers of exposed Tungsten and Platinum precursor were investigated by TEM analysis to examine possible layer intermixing. Control of intermixing is critical for future applications, such as in-situ device fabrication.

2A-2
Electron/Ion Lithography 1

Developer-Free Direct Patterning on PMMA by Low Voltage Electron Beam Lithography, David Ai Zhi Zheng, Mohammad Ali Mohammad, Steven K. Dew and Maria Stepanova, University of Alberta

We present an emerging method of avoiding liquid development of e-beam patterned PMMA resist. AFM studies of low-keV exposures show that resist height is considerably reduced. The effects of various experimental conditions (voltage, dose, film thickness) and techniques (sample heating, reactive gas flow) are explored.

2A-3
Electron/Ion Lithography 1

Crisp, High Aspect-Ratio, C-Shaped Nanoapertures Fabricated in Evaporated Aluminum Using Focused Helium Ions, Yao-Te Cheng, Yuzuru Takashima, Juan R. Maldonado*, David Ferranti**, William Thompson**, Lambertus Hesselink and R. Fabian Pease, Stanford University, *SLAC National Accelorator Laboratory, **Carl Zeiss NTS, Inc.

We fabricated a 20 nm wide C-shaped nanoaperture for use as a sub-20 nm photoelectron source, using focused helium ion beam milling in a 40 nm evaporated aluminum film.  The milled C-aperture has crisp square corners with < 5 nm radii of curvature.

2A-4
Electron/Ion Lithography 1

Electron-Beam Induced Deposition of Transition Metals from Bulk Liquids: Ag, Cr, and Ni, Adam Chamberlain, Eugene Donev, Chandan Samantaray, Gregory Schardein, John Wright and J. Todd Hastings, University of Kentucky

Metallic nanostructures can be deposited using focused electron-beam induced deposition from bulk liquids.  Here we report the first deposition of Ag, Cr, and Ni from aqueous solutions containing metal salt precursors.  We present the pattern resolution and the elemental compositions for each material.

2B-1
Nanoimprint 2

Mechanical Characteristics Of Nanostructures Fabricated By Nanoimprint, Yuji Kang, Yasuki Nakai, Makoto Okada, Yuichi Haruyama and Shinji Matsui, Univesit of Hyogo

In order to design the devices using imprinted structure, the mechanical data were required. However, it is difficult to measure Young’s modulus of nanostructures by the conventional macroscale method such as a nanoindenter. In this work, we directly evaluated the Young’s modulus of imprinted nanopillars.

2B-2
Nanoimprint 2

Progress in Jet and Flash Imprint Defectivity Reduction Towards Semiconductor Manufacturing Requirements,  Matt Malloy, Lloyd C. Litt, Stephen C. Johnson, Douglas J. Dave Lovell

Several cycles have been completed in SEMATECH’s nanoimprint lithography defect assessment exercise.  Defect types will be explained, and requirements for low defect nanoimprint will be discussed.  Plans for continued cycles on features sized down to 22nm, and an assessment of Jet and Flash Imprint Lithography defectivity will be shared.

2B-3
Nanoimprint 2

Experimental Analysis For Process Control In Hybrid Lithography (T-NIL + UV-L), Khalid Dhima, Christian Steinberg, Andre Mayer, Saskia Möllenbeck and Hella-chirstin scheer, University of Wuppertal

Hybrid lithography combining T-Nil with conventional photolithography requires characterisation of the resits applied far beyond lithjography. Stress measurements were used for glass temperature identification. Imprint with flat stamps was used to characterize the degardation of optical response (exposure + development) by laser interferometry.

2B-4
Nanoimprint 2

Arrays of 25 ×25 nm2 Cross-Point Resistive Switching Devices Fabricated with Nanoimprint Lithography, Qiangfei Xia, University of Massachusetts

We present TiO2-based cross point resistive switching devices with junction area of 25 × 25 nm2. The device arrays were fabricated using NIL, and the mold feature size was shrunk using diluted HF.

2C-1
Nanophotonics 1

Fabrication of Multilayer 3D Micron-Scale Metamaterials, D.B. Burckel, J.R. Wendt, J.C. Ginn, I. Brener and M.B. Sinclair, Sandia National Laboratories

We report our recent progress in expanding membrane projection lithography to create optically thick micron-scale 3D metamterials in a layer-by-layer fashion. The individual unit cells contain resonators arranged along each of the coordinate axes. Detailed fabrication steps and optical characterization will be presented.

2C-2
Nanophotonics 1

Plasmonic Light Trapping in Nanostructural Metal Surfaces, Aleksandr Polyakov, Howard A. Padmore, Stefano Cabrini, Scott Dhuey, Bruce Harteneck and Xiaogan Liang

In this work, we demonstrate a practical realization of a new method recently proposed theoretically where light is converted into plasmons, which are trapped in nano-grooves on the metal surface. This effect results in tunable complete absorption from UV to the IR and extreme field enhancement at the surface.

2C-3
Nanophotonics 1

Fabrication of Sub-10-Nm-Gapped Gold Structures For Plasmonic Applications, Huigao Duan, Xiongyeu Chew, Karthik Kumar, Joel Yang, Hailong Hu* and Zexiang Shen*, A*STAR, *Nanyan Technological University

We used a hydrogen silsesquioxane-based lift-off process to fabricate gold structures with sub-10-nm gaps. These fabricated structures were used for surface enhanced Raman scattering.

2C-4
Nanophotonics 1

High Efficiency Plasmonic Color Filters Fabricated Using Imprint Lithography, Alex Kaplan, Ting Xu and L. Jay Guo, University of Michigan

Thin-film alternatives to color filtering dyes are being investigated. Certain applications require simultaneous polarization of the outgoing light or sharper spectral widths. We propose a robust hybrid plasmonic waveguide structure that can be tailored to meet these desired functions and fabricated over large areas using imprint lithography.

3A-1
DSA 2

(Invited) Block Copolymer Self-assembly as an Extension of Lithography: Status, Applications, Current Research and Future Directions, Dan Sanders, Joy Cheng and Bill Hinsberg, IBM Almaden Research Center

In this talk we will provide an overview of block copolymer DSA, describe examples of how it may be incorporated in device fabrication, summarize recent progress in its practical implementation, and describe examples of future opportunities for its use.

3A-2
DSA 2

High Throughput Sub-10-nm Fabrication Based on Templated Self-Assembly of Block Copolymer, Jae-Byum Chang, Jeong Gon Son, Caroline A. Ross and Karl K. Berggren, Massachusetts Institute of Technology

We achieved high throughput sub-10-nm fabrication using templated self-assembly of block copolymer. With topographical templates and 16kg/mol PS-PDMS, long-range ordered 9-nm half-pitch lines were fabricated and their orientation angles were controlled by lattice parameters of templates. In this approach, the throughput was increased by 70-fold compared with electron beam lithography.

3A-3
DSA 2

DNA Assembly on Patterned Surfaces, Erika Penzo, Matteo Palma, Risheng Wang and Shalom Wind, Columbia University

We explore various techniques which combine nanolithographic patterning with DNA-based assembly in an effort toward controlling the placement and integration of functional nanostructures.

3A-4
DSA 2

Directed Self-assembly with Density Multiplication of POSS-Containing Block Copolymer via Controlled Solvent Annealing, Yasuhiko Tada, Hiroshi Yoshida, Yoshihito Ishida*, Elizabeth Dobisz^, Joan Bosworth^, Ricardo Ruiz^, Teruaki Hayakawa*, Mikihito Takenaka** and Hirokazu Hasegawa**, Hitachi Ltd., *Tokyo Institute of Technology, **Kyoto University, ^Hitachi Global Storage Technologies

We report directed self-assembly with density multiplication of strongly segregating polyhedral oligomeric silsesquioxan containing diblock copolymer employing controlled solvent annealing.  Hexagonally closed packed pattern with >3Tdot/inch2 was demonstrated with improved fidelity by applying a solvent neutral to both of the blocks, and optimizing degree of swelling in solvent annealing process.

3A-5
DSA 2

Process Simulation of Block Copolymer Nanofabrication, Sang-Kon Kim, Hanyang University

Block copolymer lithography (BCL) has been recently attracting considerable attention as a potential candidate for sub-20 or 10-nm lithography. Understanding of the mechanism of BCL and the modeling of BCL in mesoscale and molecular scale are useful for the predicting of self-assembled structures. The simulation results in the self-assembly of block copolymer will be presented in the conference.

3B-1
Electron and Ion Sources and Systems 1

(Invited) Cold Cathodes of Low Electron Affinity and Negative Electron Affinity Thin Films And Nanoclusters, Marc Cahay, Steve Fairchild*, Terry Murray**, Tyson Back***, Vu Thien Binh^ and David Lockwood^^, University of Cincinnati, *AFRL/RXPS, **University of Dayton, ***Universal Technology Corporation, ^LMPMC-CNRS, ^^National Research Council

We have developed new reliable and durable new cold cathodes based on rare-earth monosulfides with turn out to have an effective work function as low as 1 eV. The physical reasons for these highly desirable properties are explained using a patchwork field emission model of the emitting surface.

3B-2
Electron and Ion Sources and Systems 1

Electron Beams with Helical Wavefronts and Quantized Angular Momentum, Benjamin McMorran, Amit Agrawal, Henri Lezec, Jabez McClelland, John Unguris, Ian Anderson and Andrew Herzing, National Institute for Standards and Technology

We generated free electron vortex beams using nanofabricated diffraction holograms in a TEM. The beams are composed of free electrons with helical wavefunctions that carry quantized orbital angular momentum. We demonstrated beams with free electron orbital states with up to 100 quanta of angular momentum about the beam axis.

3B-3
Electron and Ion Sources and Systems 1

The Influence of Gun Design on Coulomb-Interactions in a Field Emission Gun, Thomas Verduin, Pieter Kruit and Ben Cook, Delft University of Technology

We have shown that gun design should be taken into consideration for achieving the highest possible brightness electron sources.

3B-4
Electron and Ion Sources and Systems 1

Individual Beam Control for MEMS Multi Electron Beam Systems, A. Christiaan Zonnevylle, Carel Th. C. Heerkens, Kees Hagen and Pieter Kruit, Delft University of Technology

We will present a prototype multi electron beam quadruple deflector system. Our fabrication process is bipolar compatible allowing local electronics to be incorporated, for example sample and hold functionality. We will present the fabrication process, fabrication results and electron optical deflection measurements thatare ongoing.

3B-5
Electron and Ion Sources and Systems 1

Broadband Light-Induced Thermionic Electron Emission from Arrays of Carbon Nanotubes using Laser Pointers, Parham Yaghoobi, Mehran Vahdani Moghaddam and Alireza Nojeh, The University of British Columbia

We demonstrate thermionic electron emission from a forest of vertically aligned carbon nanotubes, induced by heating the nanotubes using low-power, hand-held, battery-operated lasers with wavelengths in a broad visible/infra-red range. This nanotube forest cathode could have numerous applications ranging from vacuum electronics to solar energy conversion.

3C-1
Nanostructures/Devices 1

(Invited) Large-Area Negative Index Metamaterials and Plasmonic Devices by Printing and Molding, John Rogers, University of Illinois

 

3C-2
Nanostructures/Devices 1

High Growth Efficiencies in Helium Ion Beam Induced Deposition at Short Beam Dwell Times, Paul F. A. Alkemade, Hozanna Miro, Emile Van Veldhoven*, Diederik J. Maas*, Daryl A. Smith** and Philip D. Rack**, Delft Univerity of Technology, *TNO Science and Industry, **University of Tennessee

PtC nanopillars were grown with helium ion beam induced deposition at various beam currents, beam dwell times, and gas refreshment times. The deposition efficiency at short dwell times and sufficiently long refreshment times can be as high as 0.3 nm3 per ion. Simulations aid the interpretation of the experimental data.

3C-3
Nanostructures/Devices 1

A New High-Aspect-Ratio Diamond Dry-Etch Process for Hard X-Ray FEL Radiation Zone Plates, Fredrik Uhlen, Julia Reinspach, Daniel Nilsson, Ulrich Vogt, Hans Hertz and Anders Holmberg, Royal Institute of Technology

We report on a new tungsten-hardmask-based diamond dry-etch process suited for making very high-aspect-ratio diamond nanostructures. The process is developed for making diamond zone plates that can be used with the new and upcoming x-ray free electron laser sources.

3C-4
Nanostructures/Devices 1

Removal of FIB-Induced Amorphization and Gallium Contamination by Focused-Electron-Beam-Induced-Etching, Peter Roediger, Heinz D. Wanzenboeck, Gottfried Hochleitner, Emmerich Bertagnolli and Simon Waid, Vienna University of Technology

A process for the removal of FIB-inflicted Gallium contamination and amorphized material is presented. This process leverages focused electron beam induced etching. New insights into the physics of FIB-damage progress on a silicon substrate with time is shown.

3C-5
Nanostructures/Devices 1

Improving PMMA Etch Resistance using Sequential Infiltration Synthesis, Yu-Chih Tseng, Qing Peng, Leonidas Ocola, David Czaplewski, Jeffrey Elam and Seth Darling, Argonne National Laboratory

We improve the etch resistance of patterned poly(methyl methacrylate) (PMMA) by reacting it directly with precursors for atomic layer deposition.  The resulting material shows substantial etch resistance to HBr plasma etching, having an etch rate one-tenth of single-crystal silicon, with no change in line edge roughness or pattern dimensions.

4A-1
DSA 3 / Advanced Lithography

(Invited) Computational Lithography: Exhausting Optical System Limits Through Intensive Optimization, David Melville, Alan Rosenbluth, Kehan Tian*, Kostas Adam** and Alexander Tritchkov**, IBM TJ Watson Research Center, *IBM Semiconductor Research and Development Center, **Mentor Graphics Corporation

Computational Lithography is enabling the extension of optical lithography. The status of computational lithography will be reviewed, and the motivation for using intensive optimization techniques in optical lithography will be explained. The intensive optimization technique called Source-Mask-Optimization will be discussed and the benefits and challenges demonstrated.

4A-2
DSA 3 / Advanced Lithography

Graphoepitaxy of Block Copolymers Using 193nm Lithography: A Process and Defectivity Study, Raluca Tiron, Xavier Chevalier, Christophe Couderc, Stephanie Gaugiran, Celine Lapeyre, Jerome Belledent, Christophe Navarro**, Thierry Chevolleau***, Gilles Cunge***, Guilleaume Fleury* and Georges Hadziioannou*, CEA LETI MINATEC, *Laboratory of Organic Polymer Chemistry, **Arkema, ***LTM-CNRS, CEA-LETI

In this paper the work is focused on the direct assembly flow of PS-PMMA block copolymer in association with conventional 193nm lithography. More precisely, in order to follow process robustness, a new methodology to qualify and quantify defects has been elaborated.

4A-3
DSA 3 / Advanced Lithography

Direct Top-Down Ordering of Diblock Copolymers Through Nanoimprint Lithography, Mathieu Salaun, Nikolaos Kehagias*, Billel Sahli, Thierry Baron, Jumana Boussey, Clivia Sotomayor Torres* and Marc Zelsmann, LTM-CNRS, CEA-LETI-MINATEC, *Catalan Institute of Nanotechnology

Thermal nanoimprint lithography is used to imprint a PS-b-PMMA copolymer layer. It is shown that the copolymer can self-organize during the imprinting step and that this organization is guided by the mold's features. Similar results are also obtained after a short imprinting step followed by thermal annealing.

4A-4
DSA 3 / Advanced Lithography

Nanoimprint Induced Block Copolymer Self-Assembly, Sang-Min Park, Xiaogan Liang, Bryan Cord, Bruce Harteneck, Ying Wu, Teresa Pick, Brett Helms and Deirdre Olynick, Lawrence Berkeley National Laboratory

We performed directed self-assembly of block copolymers (BCP) via nanoimprint technique. Eventually we succeed to transfer sub-10 nm BCP patterns onto the underlying substrates using plasma etch.

4A-5
DSA 3 / Advanced Lithography

Evolution of Critical Dimension and Line Edge Roughness During Block-Copolymer Nano-Patterning, Matthew George, Lance Williamson*, Alex Raub**, Chi-chun Liu*, Jack L. Skinner, D. Bruce Burckel, Geoff Brennecka, Steven R.J. Brueck** and Paul Nealey*, Sandia National Laboratories, *University of Wisconsin, **University of New Mexico

We discuss a lithographic approach that couples ArF immersion lithography, directed block-copolymer assembly, and nano-imprint lithographic techniques.  To determine the basic feasibility of this approach for IC mass production, we characterize the evolution of critical dimension, line edge roughness, and defect density between processing steps.

4B-1
Extreme UV Lithography

(Invited) Challenges and Progress in Extreme Ultraviolet Mask Development, Patrick Naulleau, Ted Liang*, Robert Chen*, Kenneth Goldberg, Eric Gullikson, Brittany McClinton**, Lawrence Berkeley National Laboratory, *Intel Corporation, **University of California Berkeley

 

4B-2
Extreme UV Lithography

Impact of EUV Lithography Line Edge Roughness on 16 nm Memory Generation, Alessandro Vaglio Pret, Pavel Poliakov, Davide Bianchi*, Roel Gronheid, Pieter Blomme, Miguel Corbalan, Jan Van Houdt And Wim Dehaene, IMEC, *AC2 T Research GmbH

LER is the main effects of process uncertainties in EUV-lithography. In this paper, the impact of smoothing processes is reported: line profiles were injected in an electrical simulator: low-frequency roughness highly impacts memory performance. Combining resist roughness and electrical assessment, we so quantify the contribution of smoothing processes on electrical performance.

4B-3
Extreme UV Lithography

EUV Mask Repair Using a Combination of Focused-Electron-Beam-Induced Processing and Vacuum AFM, Klaus Edinger, Gabriel Baralia, Christof Baur, Tristan Bret, Michael Budach and Thorsten Hofmann, Carl Zeizz SMS GmbH

The current technology for mask repair involves gas-assisted processing with focused electron beams. Extending this technology from 193 nm lithography to EUV masks requires being able to locate and visualize 3D defects invisible in the SEM. Therefore, a AFM system was successfully integrated into the vacuum chamber next to the electron column.

4B-4
Extreme UV Lithography

Actinic Characterization of EUV Bump-Type Phase Defects, Kenneth Goldberg, Iacopo Mochi and Ted Liang*, Lawrence Berkeley National Laboratory, *Intel Corporation

Aerial image measurements from programmed, bump-type phase defects, using the SEMATECH Berkeley Actinic Inspection Tool (AIT). Measurements were performed through-focus. Defects were observable down to 1.0-nm height, and 43-nm FWHM, where they begin to disappear into the multilayer phase roughness. We compare measurements with simulations made using top surface sizes.

4B-5
Extreme UV Lithography

Table Top Nanopatterning by De-Magnified Talbot Effect, Lukasz Urbanski, Przemyslaw Wachulak*, Artak Isoyan**, Aaron Stein^, Carmen Menoni, Jorge Rocca and Mario Marconi, Colorado State University, *Military University of Technology, **Synopsys Inc., ^Brookhaven National Lab

We describe the extension of the coherent imaging by Talbot effect as an alternative to nanofabrication of periodic arrays of a unit cell containing arbitrary design.  Illuminating the periodic transmission mask with a convergent coherent extreme ultraviolet (EUV) beam, we demonstrated the possibility to print de-magnified replicas of the mask.

4C-1
MEMS / NEMS

(Invited) ElectroMechanical Resonators based on Nanotube and Graphene, Adrian Bachtold, CIN2 (CSIC-ICN)

Carbon nanotubes and graphene offer unique scientific and technological opportunities as nanoelectromechanical systems (NEMS). In this talk, I will review some of our group's recent results on nanotube and graphene NEMSs, including the control of the mechanical oscillation using individual electrons tunneling onto and out of the nanotube.

4C-2
MEMS / NEMS

Fabricating Arrays of Graphene Mechanical Resonators with High, Size-Dependent Quality Factors, Robert Barton, B Ilic, Arend van der Zande, Carlos Ruiz-Vargas, Jonathan Alden, William Whitney, Jiwoong Park, Paul McEuen, Jeevak Parpia and Harold Craighead, Cornell University

Graphene’s strength, stiffness, and density make it an ideal material for nanoelectromechanical systems, but graphene resonators have been challenging to fabricate and have exhibited poor quality factor.  We overcome both of these obstacles using CVD-grown graphene to produce large arrays of graphene resonators with high quality factor.

4C-3
MEMS / NEMS

Tuning Graphene Nanomechanical Resonators, Maxim Zalalutdinov, Jeremy Robinson, Eric Snow and Brian Houston, Naval Research Laboratory

We demonstrate 500% tunability in frequency and 20X increase in quality factor for graphene-based nanomechanical resonators, achieved through chemical modification of the graphene film, that can be used as a general approach for preparing high-strength, ultra-thin films with tunable mechanical properties for NEMS applications.

4C-4
MEMS / NEMS

Micromachined Video Rate AFM Silicon Cantilever, Manuel Hofer and Ivo W Rangelow, TU Ilmenau

The slowing factor of AFM imaging is the scan speed – whereby the time for one image can rise up to few minutes. We developed micromachining process by decreasing the physical dimensions down to nanometer scale - the cantilevers weight reaches attogramm with resonance frequency ranging from 20- up to 150 MHz.

4C-5
MEMS / NEMS

Carbon Nanomechanical Resonator Fabrication from PMMA by FIB/EB Dual-Beam Lithography, Reo Kometani, Taiki Hatakeyama, Shin'ichi Warisawa and Sunao Ishihara, The University of Tokyo

The 3-D nanostructure fabrication by FIB/EB dual-beam lithography and ion-beam modification technique was researched to achieve the arbitrary carbon nanoresonator. As a result, carbon resonator made from PMMA had useful vibration characteristics as the nanomechanical structure. Carbon nanoresonator fabrication from PMMA and their mechanical characteristics will be reported in detail.

5A-1
Electron/Ion Lithography 2

(Invited) Sub-10-nm Beam-Based Lithography and Applications, Karl Berggren, Huigo Duan*, Katherine Harry, Vitor Manfrinato, Sebastian Strobel and Joel Yang*, Massachusetts Insitute of Technology, *Institute of Materials Research and Engineering

Presentation of latest results regarding beam-based lithography of sub-10-nm structures across energy scales and charged-particle species. Discussion of methods of exposure, development, and metrology at this length scale. Finally, presentation applications of lithography at this length scale to guiding self assembly and device fabrication.

5A-2
Electron/Ion Lithography 2

Direct-Write Lithography of Sub-10nm Features on Metallorganic Resists Using a Helium Ion Microscope, Vignesh Viswanathan, Chao Fang, Mallikarjuna Rao Motapothula, Heyjin Park, Hanfang Hao, Yue Wang, Mark Breese, Venkatesan Thirumalai, Daniel Pickard, Saifullah Mohammad *and Michel Bosman*, National University of Singapore, *Institute of Materials Research and Engineering

We evaluate two metallorganic resists to directly pattern metal nanostructures using the Helium Ion Microscope. The small probe size of the helium ion beam enables fabrication of high aspect ratio structures with sub-10nm features. Reduction methods to enhance metal content and characterization of patterned features using Rutherford backscattered spectroscopy is in progress.

5A-3
Electron/Ion Lithography 2

Focused Electron Beam Induced Surface Activation: A Novel Lithographic Method to Fabricate Nanostructures, Marie-Madeleine Walz, Florian Vollnhals, Michael Schirmer, Thomas Lukasczyk, Florian Rietzler, Hans-Peter Steinrück and Hubertus Marbach, Universitat Erlangen-Nurnberg

We present a novel mask- and resitstless two step process to locally generate pure iron nanostructures on different oxide substrates. First, the surface is locally activated by a focused electron beam. Second the activated structures are exposed to Fe(CO)5, which decomposes and autocatalytically grows to pure Fe deposits.

5A-4
Electron/Ion Lithography 2

Resolution Limits of 200 keV Electron-Beam Lithography Using Aberration-Corrected STEM, Vitor Manfrinato, Dong Su*, Eric Stach* and Karl Berggren, Massachusetts Instiute of Technology, *Brookhaven National Laboratory

We studied the resolution limits of electron-beam lithography by suppressing the spot size and electron scattering. For this, we used an aberration-corrected scanning transmission electron microscope to exposure 20-nm-thick hydrogen silsesquioxane at 200 keV. Preliminary results show feature size down to 3 nm and a relatively concentrated point-spread function.

5A-5
Electron/Ion Lithography 2

Electron-Beam-Induced Deposition of 3.5 nm Half-Pitch Dense Patterns on Bulk Si by Using a Scanning Electron Microscope, Jules C. van Oven, Frans Berwald, Karl K. Berggren*, Pieter Kruit and Cornelis W. Hagen, Delft University of Technology, *Massachusetts Institute of Technology

Using Electron-Beam-Induced Deposition we deposited and imaged 3.5 nm half-pitch dense patterns on bulk Si in an SEM. This required synchronization of the writing sequence with the 50Hz line frequency, as well as a careful choice of the dwell time per pixel, number of passes, and waiting time between passes.

5B-1
Nanoimprint 3

(Invited)  Release Property of Fluorinated Silica Surfaces for UV-Curable Resins Evaluated by Fluorescence Microscopy and Mechanical Measurement, Masaru Nakagawa, Tohoku University and JST-CREST

I introduce our recent results on release property of fluorinated silica surfaces for UV-curable resins evaluated by fluorescence microscopy and mechanical measurement.

5B-2
Nanoimprint 3

A Molecule Trapping and SERS Sensing Device by 3-D Nanoimprint, Wei Wu, Min Hu, Fung-Soung Ou, Zhiyoung Li, R. Stanley Williams, Hewlett-Packard Co.

We demonstrated a molecule trapping and sensing device based on the nano-pillar array.        The pillar tips were closed under the capillary force to trap molecules in the gaps between tips, where have the best enhancement factor.

5B-3
Nanoimprint 3

High Aspect-ratio Nanograting Formation on Liquid Resists by a Continuous Mold-assisted Direct-write Process, Jong G. Ok, Se Hyun Ahn, Hui Joon Park, Hyoung Won Baac and L. Jay Guo, The University of Michigan

We demonstrate a new nanopatterning technique by adopting UV-curable liquid resist materials to the Dynamic NanoInscribing (DNI) process where liquid resist lines are smoothly delineated and promptly UV-cured as the grating mold moves over, enabling continuous formation of high aspect-ratio nanogratings free from elastic recovery that inevitably happens in solids.

5B-4
Nanoimprint 3

The Effects of Thin Films and Confinement on Thermal Nanoimprint Lithography Patterning, Christopher Soles and Hyun-Wook Ro, National Institute for Standards and Technology

We provide evidence for an enhanced resistance to polymer flow during the nanoimprint lithography patterning of thin polymer films.  When the thickness of the initial resist film decreases, one must increase the imprint temperature to achieve mold fill.  We relate this directly to reduced molecular mobility with inelastic neutron scattering.

5B-5
Nanoimprint 3

Liquid Transfer Imprint Lithography: A New Route to Residual Layer Thickness Control, Namil Koo, Jung Wuk Kim, Martin Otto, Christian Moormann and Heinrich Kurz, Advanced Microelectronic Center Aachen

Control of the residual layer thickness is of utmost importance for nanoimprint technology. We will present a technology on non flat substrates which separates parameters that have been regarded as conflicting up to now. Both complete and defect free stamp filling as well as minimum layer thickness can be achieved.

5C-1
Nanophotonics 2

(Invited) Applications of Nanofabrication: Structural Absorption Engineering and Optomechanically-Responsive Photonic Circuits, Michelle Povinelli, University of Southern California, Los Angeles

Nanofabrication technologies enable the patterning of materials on the scale of the wavelength of light, opening up new vistas in the photonic designer’s toolkit. We will present our recent research on the design of photonic materials for thin-film photovoltaics and reconfigurable photonic integrated circuits.

5C-2
Nanophotonics 2

Design and Fabrication of High Enhancement Yet Wafer-Scale Uniform SERS/Plasmonic Structures Based on Nanoimprint Lithography and Self-Assembly, Wen-Di Li, Fei Ding, Yixing Liang, Chao Wang, Hao Chen, Jonathan Hu, Weihua Zhang and Stephen Chou, Princeton University

We present a new SERS architecture, consisting a 3D cavity nanoantenna array coupled with dense plasmonic nanodots through nano-gaps, that has achieved high area-average SERS enhancements over 1.7x109 and a good uniformity (deviation < 22.4%) over an entire 4” wafer. The architecture and fabrication method can be extended to other plasmonic systems. 

5C-3
Nanophotonics 2

Direct Patterning of Sub-10 nm Optical Apertures with a Helium Ion Microscope, Vignesh Viswanathan, Zhongkai Ai, Hanfang Hao, Masoud Mahmoudi, Yue Wang, Chao Fang and Daniel Pickard, National University of Singapore

We employ a Helium Ion Microscope to demonstrate direct patterning of sub-10nm features (free of implanted metal impurities) through optically thick metallic films. Our demonstration explores fractal apertures, which feature higher order structures requiring critical dimensions of ~10 nm and structures with dimensions appropriate for ultraviolet resonance. Limitations will be discussed.

5C-4
Nanophotonics 2

Extraction Efficiency Improvement of GaN-based Light-emitting Diodes Using Sub-wavelength Nanoimprinted Patterns on Sapphire Substrates, Hao Chen, Chao Wang and Stephen Chou, Princeton University

Our work present a novel and effective method of patterning subwavelength patterns on LED sapphire substrates, and demonstrated that the nanostructures can not only significantly enhance the light extraction than the flat or micron-sized patterns, but also can improve the GaN crystal quality grown.

5C-5
Nanophotonics 2

Plasmonics On Lithographically-Defined Nanostructures as Studied in Electron Energy-Loss Spectroscopy, Huigao Duan, Michel Bosman, Xiong Yew Chew, Joel Yang, Ai Leen Koh*, Antonio Fernandez-Dominguez**, David McComb** and Stefan Maier**, Institute of Materials Research and Engineering, *Stanford University, **Imperial College London

We show the imaging and analysis of plasmon modes on various gold nanostructure configurations. The nanostructures were fabricated using electron beam lithography on a TEM membrane combined with electron-beam sculpting. Plasmon resonances and images were obtained using electron energy-loss spectroscopy (EELS) in a TEM setup.

6A-1
DSA 4 / Patterned Media

Extensive Tunability of Self-Assembled Block Copolymer Patterns for Nanolithography, Yeon Sik Jung, Woon Ik Park and Jae Won Jung, Korea Advanced Institute of Science and Technology

One of the major technical challenges for block copolymer self-assembly is limited tunability in pattern geometry and dimension. We suggest methods for extending the degree of tunability by choosing highly incompatible polymer blocks and utilizing solvent vapor treatment techniques. We also introduce a new templating technique based on metal films deposited on block copolymer films.

6A-2
DSA 4 / Patterned Media

The Directed Assembly of Metallic Nanoparticle Chains by Pulsed Laser Induced Dewetting and Nanolithography, Jason Fowlkes, Javier Diez*, Lou Kondic**, Yueying Wu^ and Philip Rack^, Oak Ridge National Laboratory, *Universidad Navional del Centro de la Provincia de Buenes Aires,  **New Jersey Institute of Technology, ^The University of Tennessee

Directly–assembly via nanolithography and pulsed laser heating was used to assemble nanoparticle chains with precise size and spacing.  Specifically, liquid–phase, pulsed laser induced dewetting (PLiD) was used to convert metallic thin film strips into nanoparticle chains exhibiting an unnaturally low deviation in particle size and spacing.

6A-3
DSA 4 / Patterned Media

Fabrication and Recording Performance of Bit Patterned Media, Elizabeth Dobisz, Michael Grobis, Dan Kercher, Olav Hellwig, Manfred Schabes and Thomas Albrecht, Hitachi Global Storage Technologies

Bit Patterned Media with integrated data and servo patterns are fabricated by e-beam lithography and etching at bit densities of 500 Gb/in2 to 950 Gb/in2.  The patterns enable recording performance to be evaluated using conventional read/write heads. At 720 Gb/in2, on track error rates of ~ 5X10-3 are shown.

6A-4
DSA 4 / Patterned Media

Fabrication and Testing of 1.5 Terabit/in2 Bit-Patterned Media for Thermally-Assisted Magnetic Recording., Jordan Katine, Barry Stipe and Olav Hellwig, Hitachi Global Storage Technologies Research Center

We demonstrate thermally-assisted magnetic recording on bit patterned magnetic multilayer media at 1.5 Terabits per square inch.  After e-beam exposing the HSQ resist, a combination of reactive ion etching and ion milling was used to transfer the patterns into the media.

6A-5
DSA 4 / Patterned Media

Integration of Directed Block Copolymer Self-Assembly with Nanoimprint Lithography for Addressable Nanoarray Fabrication over Large Area, Shuaigang Xiao, Henry Yang, Justin Hwu, Xiaomin Yang, Kim Lee and David Kuo, Seagate Technology

Nanoimprint lithography is proved as a high-throughput and low-cost method to create high-quality prepatterns for directed self-assembly of addressable 1-D or 2-D PS-b-PDMS nanoarrays over large area. Pattern transfer from PS-b-PDMS nanotemplates with a pattern density of 1.3 teradot/in2 was successfully demonstrated, into various functional materials, like carbon, silicon, quartz, and magnetic materials.

6B-1
Novel Optical Lithography<

Breaking the Diffraction Barrier in Nanopatterning with Optical Saturable Transformations, Nicole Brimhall, Trisha Andrews*, Precious Cantu, Rajakumar Manthena and Rajesh Menon, University of Utah, *Massachusetts Institute of Technology

Here we demonstrate a far-field nanopatterning technique that uses photochemical transitions to break the diffraction barrier using only low light intensities, opening the door to massive parallelization. We call this method Patterning via Optical Saturable Transformations (POST).

6B-2
Novel Optical Lithography<

Subwavelength Optical Lithography of Complex Nanopatterns by Diffraction, Yong Sun, Yeonwoong Jung, Aleksandar Vacic, Evangelos Hadjimichael and Mark Reed, Yale University

By carefully controlling the separation between the mask and wafer, we were able to utilize the high orders of light diffraction patterns, to create both 2D and 3D nanostructures of deep sub-wavelength dimensions on the wafer. We further show that this technique may be used to map the intensity of light.

6B-3
Novel Optical Lithography<

Near-Field 3D Lithography Using Self-Assembled Nanospheres, Chih-Hao Chang, Lei Tian, William Hesse, Hanhong Gao, Hyungryul Choi, Jeong-gil Kim, Meena Siddiqui and George Barbastathis, Massachusetts Institute of Technology

We propose a maskless near-field phase lithography process.  Instead of using a physical mask, colloidal lenses are assembled directly on the substrate to provide the phase modulation required to generate the near-field intensity distribution. The proposed method uses a simple 2D self-assembled geometry to pattern more complex 3D structures.

6B-4
Novel Optical Lithography<

Demonstration of Full 4-inch Patterning with Displacement Talbot Lithography, Christian Dais, Francis Clube, Harun Solak, Kimio Itoh*, Yukio Taniguchi* and Morihisa Hoga*, Eulitha AG, *Dai Nippon Printing Co. Ltd.

A growing number of photonic applications require patterning of large areas with periodic structures. Displacement Talbot Lithography (DTL) will be introduced as a new method for meeting these needs. DTL is based on the Talbot effect but free of its depth of field limitation. Here we demonstrate the successful patterning of 4" wafers in a single exposure step with DTL.

6B-5
Novel Optical Lithography<

A Comparative Study on Absorbance-Modulated Interference Lithography, Charles Holzwarth, John Foulkes and Richard Blaikie, University of Canterbury

Absorbance-modulated interference lithography (AMIL) is an optical patterning technique where photochromic molecules are placed between the optics and photoresist. These molecules can be made transparent or opaque by illuminating with wavelengths lambda1 or lambda2, respectively. In this paper we compare four basic forms of AMIL utilizing numerical and experimental results.

6C-1
Emerging Technologies 2

Sub-millisecond Post-Exposure and Hard Bake of Chemically Amplified Photoresists, Byungki Jung, Jing Jiang, Christopher Ober, Michael Thompson, Todd Younkin* and Manish Chandhok*, Cornell University, *Intel Corporation

We use a scanned CW laser source to shift the time/temperature regime of the PEB process from tens of seconds at 90-130C (hot-plate PEB) to sub-millisecond times at temperatures of 250-450C to reduce LWR by ~20%. Kinetic rates for resist deprotection and acid diffusion are investigated to understand this behavior.

6C-2
Emerging Technologies 2

3D Nanostructures by Stacking Pre-Patterned, Fluid-Supported Single-Crystal Si Membranes, Lin Cheong, Shabnam Ghadarghadr, Corey Fucetola, Euclid Moon and Henry Smith, Massachusetts Institute of Technology

We describe initial research on a new approach to fabricating 3D nanostructures based on the aligned stacking of pre-patterned membranes.  The approach promises higher yield and greater flexibility than a layer-by-layer application of planar fabrication.

6C-3
Emerging Technologies 2

Templated Photo-Ablation of Graphene, Euclid Moon, Massachusetts Institute of Technology

Free-standing graphene is patterned using photo-induced bond breaking in open regions of a template absorber.

6C-4
Emerging Technologies 2

Multiscale Hydrogen Depassivation Lithography Using a Scanning Tunneling Microscope, Joshua Ballard, James Owen, John Randall, Justin Alexander and James Von Her, Zyvex Labs

Working on hydrogen passivated silicon, we create lithographic patterns using an STM tip for localized electro-stimulated desorption of hydrogen.  By combining high yield/large spot sizes with lower yield/extremely small spot sizes, we fabricate quarter square micron structures with two nanometer line edge roughness in just a few minutes.

6C-5
Emerging Technologies 2

Thermo-Mechanical Probe Lithography at 500 kHz Pixel Rate, Philip C. Paul, Armin W. Knoll, Felix Holzner, James L. Hedrick, Michel Despont and Urs Duerig, IBM Research

In thermo-mechanical scanning probe lithography the resist is removed locally and directly without further development steps. We report the acceleration of our probe-based lithography process to 500 kHz pixel rate. Imaging can be done in-situ at similar rates, hence we demonstrate a lithographic process with an unprecedented turnaround time of the order of minutes.

7A-1
Resists/Process Modeling

(Invited) Challenges for Patterning Process Simulation Models Applied to Large Scale, John Sturtevant, Mentor Graphics Corporation

A review of the progress and remaining challenges for the development of fast and accurate models for patterning processes used in semiconductor manufacturing.

7A-2
Resists/Process Modeling

Comparison of HSQ Development Methods for Sub-10 nm Electron Beam Lithography Using Accurate Linewidth Inspection, Douglas Macintyre and Stephen Thoms, University of Glasgow

Hydrogen Silsequioxane ( HSQ) is finding increasing use as a negative tone  electron beam sensitive resist capable of defining sub 10 nm features.   In this paper we  use an accurate linewidth  inspection technique to  compare the results  from a range of high resolution HSQ  processing methods reported in the literature.

7A-3
Resists/Process Modeling

Improved Time Dependent Performance of HSQ Resist Using a Spin on Top Coat, Daron Westly, Don Tennant, Yukinori Aida*, Hirofumi Ohki* and Takashi Ohkubo**, Cornell University, *JEOL Ltd., **Showa Denko K.K.

It is known that the time delay between spinning and e-beam exposure has an effect on the sensitivity and contrast of HSQ. We report a significantly improved time dependent performance of HSQ by using a water-soluble, spin on top coat developed by Showa Denko.

7A-4
Resists/Process Modeling

Correlated Surface Roughening During Photoresist Development, Chris Mack, Lithoguru.com

Dynamical scaling concepts are applied to simulation of open-frame photoresist dissolution.  Correlations in the underlying noise only partially influence final surface height correlations, depending on the mean development rate and the steepness of the development rate gradient at the final resist edge.

7A-5
Resists/Process Modeling

High Acceleration Voltage Characterization of SML Electron Beam Resist for Ultra High Aspect Ratio Nano-Lithographic Applications, Scott Lewis, Guy DeRose*, Damien Jeanmaire, Bophan Chhim*, Axel Scherer* and Lucio Piccirillo, The University of Manchester, *California Institute of Technology

A new electron beam resist called SML2000 has been investigated at 100KeV. The nanostructures produced had a trench line width and height was >30 and 2131nm resulting with an aspect ratio of ~75:1. This is significant, as this result cannot be achieved with any other industry standard electron beam resists.

7B-1
Biomedical Diagnostics

(Invited) Applied Biochemistry Meets Microfabrication and Nanotechnology: Micro- and Nano-Labels for Biomedical Diagnostics, Richard Willson, University of Houston

A discussion of several approaches to medical diagnostics, each enabled by micro- or nano-fabrication.

7B-2
Biomedical Diagnostics

Nanofluidic Single DNA Sorter and Analyzer Fabricated by Nanoimprint and Wafer Bonding, Siran Li, Chao Wang, Yan Chen and Stephen Chou, Princeton University

We present a method to fabricate perfectly sealed nanofluidic device for DNA sorting and analysis using air cushion based nanoimprint lithography and a room-temperature wafer bonding based on sodium silicate, and the results of successful DNA flow in a single 55 nm wide nano-fluidic channel.

7B-3
Biomedical Diagnostics

DNA Concentrating by Electro-Kinetic Forces in Nano-bridge FET Array for DNA Hybridization Detection, Kosar Parizi and Yoshio Nishi, Stanford University

Electrical biosensors are a class of biosensors that show promise for disease discovery due to real time, low cost, ease of miniaturization and label-free operation. We have introduced Nano-bridge biosensor as an improved structure with leads to higher Signal to Noise Ratio that has significant improvement in signal to noise ratio, active exposed surface, and lower target bio-species detection.

7B-4
Biomedical Diagnostics

DNA Arrays with Site-Specific Labels, Teresa Fazio, Andrea Stadler*, Peter Sun*, Oleg Gang* and Shalom Wind, Columbia University, *Brookhaven National Labs

We explore ways of binding functional nano-objects to specific sequences on double stranded DNA via three techniques: Strand invasion by PNA (peptide nucleic acid) strand invasion or LNA (locked nucleic acid)and nick translation.

7B-5
Biomedical Diagnostics

The Mechanism of Nano-Sculpturing by Focused Electron Beam for DNA Translocation Control, Hyun-Mi Kim, Min-Hyun Lee and Ki-Bum Kim, Seoul National University

The fabrication of sub-10 nm solid state nanopore by a focused e-beam in a TEM now becomes a common practice. However, it is still not yet clear how the membrane structure is perforated by the focused e-beam. The mechanism is well predicted by the scattering between electron and atom.

7C-1
Nanostructures/Devices 2

(Invited) Self Perfection of Nanostructures – A New Frontier in Nanofabrication, Stephen Chou, Stanford University

We have developed a nanostructure self perfection technology, “self-perfection by liquefaction” (SPEL), which transitly melts nanostructures while applying certain boundary conditions to reshape them.  Results will be presented for both soft and hard materials showing how SPEL can be effective at reducing imperfections and/or changing feature sizes/shapes in contollable ways.

7C-2
Nanostructures/Devices 2

Drawing with Nanostencils on Flexible Substrates, Veronica Savu, Oliver Peric and Juergen Brugger, Ecole Polytechnique Federale de Lausanne

Nanostencils are used in dynamic mode to pattern on top of flexible substrates. As the stencil is moving during the material evaporation, the obtained structures are the convolution between the stencil trajectory and the apertures’ geometry. Variable-thickness and arbitrary shapes are obtained at 100 mm wafer scale.

7C-3
Nanostructures/Devices 2

Structural Influence of 3D Pyrolyzed Carbon Electrodes on Electrochemical Behavior, D. B. Burckel, X. Xiao, C. M. Washburn, D. R. Wheeler and R. Polsky, Sandia National Laboratories

We report our recent progress in fabrication of interferometric lithography defined 3D porous carbon electrodes for fuel cell and battery applications. The 3D porous carbon electrodes are comparable to standard glassy carbon electrodes, but demonstrate several behaviors which are unique to their sub-micron 3D nature, offering potential application benefits.

7C-4
Nanostructures/Devices 2

Study of Spin-coated Resist Coverage on Nanoscale Topography Using Spectroscopic Ellipsometry, Zhaoning Yu, Justin Hwu, Yongdong Liu, Gene Gauzner, Kim Lee and David Kuo, Seagate Technology

We have applied spectroscopic ellipsometry to the characterization of spin-coated resist on high-density line-and-space patterns used in discreet track recording. From the measured spectra, critical coverage information can be extracted using rigorous coupled wave analysis.

7C-5
Nanostructures/Devices 2

A New Process for Electron Beam Induced Deposition of Cobalt with Excellent Properties, L.M. Belova and J.J.L. Mulders*, Royal Institute of Technology, *FEI Electron Optics

A new catalytically enhanced EBID process was discovered for Co deposition from Co2(CO)8 by using a carbon seed layer. Between 55°C and 70°C of the substrate temperature growth of the patterned Co structures on C seed is enhanced (~10-2 μm3/nC yield), while the purity is close to 100 at%.

8A-1
Electron/Ion Lithography 3

(Invited) Electromagnetic Radiation Pressure on Left- and Right-handed Dissipative Media, Henri Lezec, A Agrawal, M Abashin, S Rajauria and K Chau

We investigate the radiation pressure exerted by plane waves on flat slabs of dissipative left-handed or right-handed metamaterials. A fully-absorbing left-handed metamaterial can experience a pull when illuminated at normal incidence.  Radiation-induced pull is further confirmed by observation of levitation of free-standing slabs of the metamaterial.

8A-2
Electron/Ion Lithography 3

Selective Profile Transformation of Electron-Beam Exposed Multilevel Resist Structures Based on a Molecular Weight Dependent Thermal Reflow, Arne Schleunitz, Vitaliy Guzenko, Andreas Schander, Marko Vogler* and Helmut Schift, Paul Scherrer Institut, *Micro Resist Technology GmbH

In this contribution we present the use of grayscale electron-beam lithography combined with a molecular weight selective thermal reflow for the generation of novel 3-D resist profiles, with smooth slopes as well as stepped resist contours on the same substrate and in very close vicinity to each other.

8A-3
Electron/Ion Lithography 3

Density Multiplication of Nanostructures Fabricated by Ultra Low Voltage Electron Beam Lithography Using PMMA as Positive and Negative Tone Resist, Adegboyega Paul Adeyenuwo, Maria Stepanova and Steven K. Dew, University of Alberta

Nanostructure density multiplication in PMMA is reported using 1keV EBL exposure and cold development. The density multiplication is achieved by PMMA performing as a positive and negative tone resist in a single EBL process step. Strong potential of pattern quality and size control, and in-silico process design is demonstrated.

8A-4
Electron/Ion Lithography 3

Measurement of Surface Potential of Insulating Film on Conductive Substrate in a Scanning Electron Microscope, Masatoshi Kotera, Akira Osada, Takeshi Kawamura and Kazuhito Arita, Osaka Institute of Technology

We measured directly the surface potential of an insulator film on a conductive substrate by Kelvin-probe-force microscopy, which was irradiated by EB in a specimen chamber of an ordinary scanning electron microscope, and analyzed by numerical calculations, incorporating a Monte Carlo simulation of electron trajectories and electron beam induced conduction.

8A-5
Electron/Ion Lithography 3

3D Nanostructuring of Hydrogen Silsesquioxane Resist by 100 keV Electron Beam Lithography, Joan Vila-Comamala, Sergey Gorelick, Vitaliy A. Guzenko and Christian David, Paul Scherrer Institut

We investigate the 3D nanostructring of hydrogen silsesquioxane (HSQ) resist by two-step 100 keV electron beam lithography. Two consecutive exposures were used to fabricate two-level high aspect ratio structures with lateral dimensions below 50 nm in resist thicknesses of 700—900 nm.

8B-1
Nanobiology/Microfluidics

(Invited) Optical Sensors for Spatially-Resolved Measurement of Oxygen in Microfluidic Devices, Volker Nock, Maan M. Alkaisi, Richard J. Blaikie and Tim David, University of Canterbury

Lab-on-a-chip (LOC) devices provide excellent oxygen control. To facilitate the measurement of oxygen in LOCs we have developed a process for the integration of polymer-encapsulated optical oxygen sensors. In this paper we introduce the fabrication process, discuss patterning result and demonstrate the use of these sensors for spatially-resolved oxygen measurement.

8B-2
Nanobiology/Microfluidics

Simultaneous Positioning and Orienting of a Single Nano-object Using Flow Control, Pramod Mathai, Peter Carmichael, Andrew Berglund, Benjamin Shapiro* and Alexander Liddle, National Institute of Standards and Technology, *University of Maryland

We describe a newly-developed technique for simultaneously controlling both the position and orientation of a single nano-object in a fluid by creating and precisely manipulating the flow around the object.

8B-3
Nanobiology/Microfluidics

Micro and Nano Pillar Assay for T cell Activation, Alexander Gondarenko, James Hone, Erdem Tabdanov, Edward Judokusumo and Lance Kam, Columbia University

Mechanical interaction of cells and their immediate environment is one of the basic cellular signaling pathways. Physical forces exerted on a cell are translated into biochemical signals to influence cell behavior. We demonstrate a well plate with submicron pillars to assay effects of pillar geometry on T cell activation.

8B-4
Nanobiology/Microfluidics

A New Approach for Measuring Protrusive Forces in Cells, Anurag Mathur, Michael Sheetz, Shalom Wind and James Hone, Columbia University

We describe a device for measuring cellular protrusive forces. The elastomeric device combines a large area pad for stimulating cell spreading with micron-scale pillars extending beyond the device surface. Measurement of the pillar deflection by a spreading cell yields quantitative information regarding the cellular protrusive force.

8B-5
Nanobiology/Microfluidics

Cell Electroporation – A Diffusion Process or a Drive-In Process?, Hyunchul Jung, Brian E. Henslee, Pouyan Boukany, Wei-Chiang Liao, Xuejin Wen, L. James Lee and Wu Lu, The Ohio state University

We employ an optical tweezer to facilitate the control of cell position at micro/nano-channels instead of using a pressure difference and apply the electric field across the cell for localized drug/gene delivery. We focus on the mechanism of electroporation of cells at nature state in devices with different scales.

8C-1
Nanoelectronics 1

(Invited) Spin-based Quantum Information Processing in Silicon, Andrew Dzurak, University of New South Wales

We review electron spin qubits in silicon based on both dopant atoms and gate-defined quantum dots. Single-shot readout of an electron spin in Si was demonstrated with readout fidelity > 90% and spin lifetime T1 ~ 6 s. Valley splitting and spin filling in Si MOS quantum dots is also discussed.

8C-2
Nanoelectronics 1

Time-Lapse Imaging of Thermally-Driven Signal Propagation in Nanomagnetic Logic, David Carlton, Brian Lambson, Andreas Scholl*, Tony Young*, Scott Dhuey*, Paul Ashby*, Eduard Tuchfeld and Jeffrey Bokor, The University of California, Berkeley, *Lawrence Berkeley National Laboratory

We directly imaged the thermal random walk dynamics of a digital signal in nanomagnetic logic using a novel time-lapse imaging technique. Nanoscale magnetic contrast imaging was obtained by x-ray photoelectron emission microscopy (X-PEEM).

8C-3
Nanoelectronics 1

Vertical Transistors with High Alignment Tolerance, Lee Tutt and Shelby Nelson, Eastman Kodak Company

We present a vertical transistor architecture that has both high alignment tolerance as well as submicron channel lengths and is further compatible with flexible supports. This condition is accomplished by constructing vertical devices that are conformally coated and combined with a beam (line-of-sight) deposition process such as sputtering or evaporation.

8C-4
Nanoelectronics 1

Local, Direct-Write, Damage-Free Thinning of Germanium Nanowires, Peter Roediger, Heinz D. Wanzenboeck, Gottfried Hochleitner, Alois Lugstein and Emmerich Bertagnolli, Vienna University of Technology

A process for locally thinning germanium nanowires is presented. The presented process enables a highly-controllable, damage-free diret-wrtie technique to reduce the diameter of the Ge-NW to almost any desired size.

8C-5
Nanoelectronics 1

Nanofabrication Down to 10 nm on a Plastic Substrate, Li Tao, Jongho Lee and Deji Akinwande, The University of Texas at Austin

In this work, we have shown the feasibility of nanofabrication down to 10 nm  using e-beam lithography.  High throughput nanoimprint with high resolution (20-50 nm) will also be demonstrated on plastic substrates.  This paves the way for fundamental studies and large-scale manufacturing of nanoelectronics with advanced performance on plastic subst. rates.

9A-1
Electron and Ion Sources and Systems 2

(Invited) MOTIS: Focused Ion Beams from Laser-Cooled Atoms, Jabez McClelland, Adam Steele, Brenton Knuffman, Mostafa Maazouz*, Gregory Schwind* and Jon Orloff*, National Institute of Standards and Technology, *FEI Co.

We present Li+ and Cr+ focused ion beam systems based on the magneto-optical trap ion source (MOTIS).  Ions created by photoionization of laser cooled neutral atoms are coupled into a conventional focused ion beam column.  High resolution images at low beam energy will be presented.

9A-2
Electron and Ion Sources and Systems 2

5kV Multi Electron Beam Lithography MAPPER Tool: From 32nm to 22nm Resolution Capability, Christophe Constancias, David Rio, Pablo Wiedemann*, Bernard Dal'zotto, Mickael Martin, Béatrice Icard and Laurent Pain, CEA LETI-MINATEC, *MAPPER Lithography B.V.

A 300mm pre-alpha platform (110 beams, 5keV) from MAPPER lithography was installed into LETI mid 2009. This study will present a review of the tool status including 32nm capability. A study of the exposure parameters’ impact has been carried out to optimize the lithography performance. 27nm structures have been fabricated.

9A-3
Electron and Ion Sources and Systems 2

Carbon Nanotube Field Emission Electron Gun Microassembly for Maskless Lithography, Bryan Ribaya, Darrell Niemann, Joseph Makarewicz and Cattien Nguyen, NASA Ames Research Center

We report the development of a carbon nanotube field emission electron gun microassembly and its integration into a micro-column array for maskless e-beam lithography. A new nanotube cathode design produces less field screening and lower operating voltages, which is critical for alleviating high fields and for device miniaturization.

9A-4
Electron and Ion Sources and Systems 2

Towards a 100 wph e-Beam Direct Write Cluster, C.R. van den Berg, G. de Boer, S Boschker, E.A. Hakkennes*, M. Hoving*, R. Jager, J.J. Koning, V. Kuiper, I.L. van Mil, H.W. Mook, T. Ooms, T. van de Peut, S. Postma, M. Sanderse, A. Tudorie, A.M.C. Valkering, N. Venema*, N. Vergeer, A.D. Wiersma*, S. Woutersen*, M.J. Wieland and B.J. Kampherbeek, MAPPER Lithography, *Technolution B.V.

In the past years MAPPER has been developing its maskless lithography system. This has resulted in two prototypes. In parallel developments have been ongoing on the main subsystems of the machine: Electron optics, data path and wafer stage. In this presentation we will present development highlights of these three subsystems.

9A-5
Electron and Ion Sources and Systems 2

Scanning-Neon-Ion-Beam Lithography, Linlee Cheong, Vitor Manfrinato, Samuel Nicaise, Donald Winston, Karl Berggren, Shawn McVey, David Ferranti and Lewis Stern, Massachusetts Institute of Technology

A helium-ion microscope modified for operation with neon gas may enable a lithography process with higher resolution than any scanning-particle system to date. We exposed test structures in hydrogen silsesquioxane resist on bulk silicon, measuring both the critical dose-to-print and the lithographic point-spread function.

9B-1
Photonic Imaging/Systems

(Invited) Coherent Diffraction Imaging, Jianwei Miao, University of California, Los Angeles

In this talk, I will present the principle of coherent diffraction imaging and illustrate its broad application in materials/nano-science and biology.

9B-2
Photonic Imaging/Systems

E-Beam Writing Strategies for Low-loss Optical Waveguides, Richard Bojko, Jing Li, Li He, Tom Baehr-Jones, Michael Hochberg and Yukinori Aida*, University of Washington, *JEOL USA Inc.

E-beam lithography parameters such as writing grid, and write strategies including over-writing and field shift writing are evaluated for improvement of low-loss waveguides, showing significant improvement in transmission loss.  With automated testing, data from thousands of waveguides shows effects with high statistical confidence.

9B-3
Photonic Imaging/Systems

Elucidating Effects of Nanoscale Structural Variations on Local Plasmonic Modes via Photon Localization Microscopy, Alex McLeod, Alex Weber-Bargioni, Jeff Neaton, Stefano Cabrini and P. James Schuck, Lawrence Berkeley National Lab

In this work, we use the recently-demonstrated non-perturbative all-optical probing technique of two-photon photoluminescence-based photon localization microscopy as well as electromagnetic simulations to reveal how small structural variations often significantly impact plasmonic properties, particularly for devices with ~ zeptoliter mode volumes.

9B-4
Photonic Imaging/Systems

Design and Fabrication of Broadband Diffractive Optics, Jason Kleinschmidt, Ganghun Kim and Rajesh Menon, University of Utah

In this presentation, we will describe the design, fabrication and characterization of multi-level diffractive optics that is optimized to operate efficiently over a broad spectrum.

9B-5
Photonic Imaging/Systems

Fabrication of Large-area Plasmonic Nano-cavity Antenna Array for High Efficiency Mid-and-Far Infrared Sensing, Chao Wang, Qi Zhang and Stephen Chou, Princeton University

We present a new approach for patterning large-area periodic bar-shaped nanoimprint molds with high aspect-ratio (length/width as large as 7), and demonstrate a nanoimprint-based simple method for fabricating a new plasmonic nanoantenna array of high-absorbance for IR detection (~55% at 3.8 μm).

9C-1
Nanoelectronics 2

(Invited) Micro-Magnet Techniques for Implementing Spin Qbits with Quantum Dots, Seigo Tarucha, The University of Tokyo

Electron spin qubits have been demonstrated using various techniques with quantum dots (QDs), and it is now getting crucial to prepare multiple spin qubits as the next step. We have recently proposed and demonstrated a micro-magnet technique for making spin qubits and qubit gates, which may meet the requisite for the qubit multiplication.

9C-2
Nanoelectronics 2

Atomic Layer Deposition Encapsulation of Carbon Nanotubes with Al2O3, Max Shulaker, J Provine, Roger Howe and Subhasish Mitra, Stanford University

The need to protect CNTs for further processing has been reported, but a study of the quality of protection has not been undertaken.  To that end, we have explored Atomic Layer Deposition (ALD) as a method to encapsulate CNTs in order to protect them from future processing steps.

9C-3
Nanoelectronics 2

Zero-bias Rectifying Performance Enhancement of MIM Tunneling Diodes by Geometric Field Enhancement and Boiling Water Oxidation, Kwangsik Choi, Filiz Yesilkoy, Geunmin Ryu, Mario Dagenais and Martin Peckerar, University of Maryland

Metal-Insulator-Metal (MIM) tunneling diodes have potential application as rectifying, mixing, and harmonic generating devices operating at infrared frequencies. Our research focuses on the rectifying performance of MIM diodes for infrared energy harvesting application.Here, we describe how improved the rectifying performance at zero-bias by using a geometric field enhancement (GFE) technique and boiling water oxidation.

9C-4
Nanoelectronics 2

Development of a 13 Silicon Suspended Stacked Nanowire Architecture for Gate-All-Around (GAA) Field Effect Transistors, Sébastien Pauliac-Vaujour, Pierre Brianceau, Corinne Comboroure, Christian Arvet, Jean-Michel Hartmann, Alexandre Hubert, Thomas Ernst, Marie-Emmanuelle Faivre, Nadine Bove and Pierre Sixt, CEA/LETI-Minatec

Stacked nanowire MOSFET devices with gate-all-around (GAA) or independent double gates (ΦFET) are well known for being potential solution for sub-32nm nodes. Our purpose is now to increase their current density per layout surface by stacking the highest number of nanowires. In this work, we present the fabrication of a 13 silicon stacked nanowire architecture for GAA transistors

9C-5
Nanoelectronics 2

Top-Down Approaches for the Fabrication of Titanium Nanostructures, Serge Ecoffey, Jean-François Morissette, Marc Guilmain, Frédéric Bourque, Jérémy Pont, Bruno Lee Sang and Dominique Drouin, Universite de Sherbrooke

This paper presents two approaches for the fabrication of top-down titanium nanostructures. The advantages and limitations of each process will be discussed, and the results will be compared with titanium structures obtained with a PMMA two layer lift-off process.

10A-1
Imaging and Metrology

(Invited) Creative Metrology Development for EUVL: Flare and Out-of-Band Qualification, Gian lorusso, Eric Hendrickx, Natalia Davydova*, Yue Peng*, Mark Eurlings*, Kees Feenstra* and Jiong Jiang**, IMEC, *ASML Netherlands, **Brion Technologies Incorporated

Extreme Ultraviolet Lithography imposes not only tighter requirements for CD metrology, but also the need for creative metrology approaches to quantify and monitor EUV-specific parameters. In this paper, we will discuss our approaches to the estimate of two key EUV imaging parameters: flare and out-of-band radiation.

10A-2
Imaging and Metrology

Optimizing the Performance of Keyhole Diffraction Microscopy, Bing Dai, Diling Zhu, Leili Baghaei Rad, Piero Pianetta and Fabian Pease, Stanford University

This paper reports our latest progress on optimizing the performance of keyhole diffraction microscopy for integrated circuit inspection. The theoretical limitations (reconstruction algorithms, illumination patterns, samples’ geometry/periodicity, etc.) were studied. The improvement on resolution/detectability were demonstrated by scaled optical experiments, one soft x-ray experiment and one hard x-ray experiment.

10A-3
Imaging and Metrology

Measurement of Acid Induced Blur in Polymer Films by Single-Molecule Fluorescence Microscopy, Adam Berro, Peter Carmichael, Andrew Berglund and Alexander Liddle, National Institute of Standards and Technology

In this work, single molecule fluorescence spectroscopy will be used to provide information on the acid and latent images in a PMMA polymer film containing photoacid generator following exposure and without any subsequent development.  This information will be used to determine the associated blur from exposure and post-exposure bake.

10A-4
Imaging and Metrology

High-Resolution High-Sensitivity Characterization Of Nanoscale Structures in a New Combined SIMS-SPM Instrument: Correction of Topography Artifacts, David Dowsett, Yves Flemming, Tom Wirtz, Urs Gysin*, Thilo Glatzel*, Ernst Meyer*, Urs Wegmann**, Urs Maier** and Tobias Vancura***, Gabriel Lippmann, *University of Basel, **Ferrovac GmbH, ***SPECS Zurich GmbH

A novel approach for correcting topography artifacts in SIMS 3D reconstructions is currently under investigation. A scanning probe microscopy (SPM) head is being integrated into the analysis chamber of the NanoSIMS50 at the CRP-GL. Topographical information from SPM measurements taken during SIMS analysis will be used to correct artifacts.

10A-5
Imaging and Metrology

Fabrication of Nickel Diffractive Phase Elements for X-Ray Microscopy at 8 keV Photon Energy, Gianluca Grenci, Alessandro Pozzato, Mauro Prasciolu, Alessandro Carpentiero, Enrico Sovernigo and Massimo Tormen, IOM-CNR

We present the fabrication of a Ni phase shifting zone plate for hard X-rays (8 keV) with 100 nm resolution and 3 microns thickness of absorbing structure. The methodology we developed uses plasma etching for pattern transfer in a hard template and electroplating for the growing of final metallic nano-structures

10B-1
Single-Molecule Detection

(Invited) Dynamic Single Particle Probes of Temperature and Viscosity in Aqueous Media, Brett A Helms, A. E. Albers, T. E. Pick, Lawrence Berkeley National Laboratory

 

10B-2
Single-Molecule Detection

Fabrication of Sub-20nm Nanochannels Integrated with Bowtie Nanoantenna, Irene Fernandez-Cuesta, Anna Laura Palmarelli, Xiaogan Liang, Jingyu Zhang, Scott Dhuey, Deirdre Olynick, Anders Kristensen* and Stefano Cabrini, Lawrence Berkeley National Laboratory, *Technical University of Denmark

We present a parallel aproach for the nanofabrication a fluidic system (based on nanoimprint lithography), of micro/nanochannels (12 nm lateral size), connected by tapered inlets, integrated with bowtie nanoantenna. The devices will be used for DNA single molecule detection.

10B-3
Single-Molecule Detection

Selective Biomolecular Nanoarrays for Parallel Single-Molecule Investigations, Matteo Palma, Justin Abramson, Alon Gorodetsky, Colin Nuckolls, James Hone and Shalom Wind, Columbia University

We have developed a strategy to control the immobilization of biomolecules at surfaces in arrayed nanodomains, allowing for the simultaneous monitoring of specific protein/DNA binding events in parallel and at the single molecule level.

10B-4
Single-Molecule Detection

Nanomenhirs for Surface-based Biosensing of Lipid Structures, Karthik Kumar, Andreas Dahlin*, Takumi Sannomiya*, Marcus Textor* and Erik Reimhult*, Institute for Materials Research and Engineering, *Swiss Federal Institute of Technology

A new surface-based nanoplasmonic biosensor dubbed nanomenhirs has been fabricated, which can be used for the study of large transmembrane proteins located within living cell membranes. The nanomenhirs were embedded within silicon nitride; having been fabricated via patterning with a random assembly of particles and etched using reactive ion etching.

10B-5
Single-Molecule Detection

Large-area Patterning of Au Nano-particles Self-aligned to Fluidic Channels for Enhancement of Molecule Detection, Chao Wang and Stephen Chou, Princeton University

We present a new method of patterning Au nano-dots in selected regions of fluidic channels and self-aligned to the channels, based on multiple resist layer etching and nanoimprint lithography. This method can be directly used for fast and real-time biochemical sensing, and extended to high-throughput fabrication of other 2D arbitrary nano-patterns.

10C-1
Nanostructures/Devices 3

(Invited) CMOS Density Scaling in Non-Planar Multi-Gate Silicon on Insulator Devices, Michael Guillorn, Josephine Chang, Sarunya Bangsaruntip, Chung-Hsun Lin and Wilfried Haensch, IBM TJ Watson Research Center

In this talk, we will discuss the challenges of fabricating non-planar multi-gate devices from Si on insulator substrates.  We will present experimental results from advanced prototypes of these devices fabricated at densities and dimensions relevant to 14 and 10 nm node technology.

10C-2
Nanostructures/Devices 3

Focused Ion Beam Induced Synthesis of Free-Standing Graphite Nanosheets, Alois Lugstein, Rupert Langegger, Markus Glaser and Emmerich Bertagnolli, Vienna University of Technology

In recent years, carbon nanostructures have attracted great attention as building blocks in nanotechnology. Highly ordered pyrolitic graphite was irradiated with FIB at various angles of ion beam incidence, fluences and substrate temperatures. At 600°C we achieved FIB induced synthesis of free-standing graphite nanosheets showing strong photoluminescence at telecommunication wavelength.

10C-3
Nanostructures/Devices 3

Direct Local Deposition of High-Purity Pt and Pd Nanostructures by a Novel Combination of EBID and ALD, Adrie Mackus, Bas Dielissen, Matthieu Weber, Erwin Kessels, Piet Trompenaars* and Hans Mulders*, Eindhoven University of Technology, *FEI Electron Optics

A novel approach is introduced for direct-write deposition of high-quality Pt and Pd nanostructures. The combinatorial EBID-ALD approach combines the patterning capability of EBID with the high material quality and thickness control of ALD. In addition to the results for Pt, recent results for Pd EBID-ALD will be presented.

10C-4
Nanostructures/Devices 3

Gas Sensors Based on Vertically Aligned Nanowire Arrays, Hyun Jin In, Christopher Field and Pehr Pehrsson, US Naval Research Laboratory

We present a new nanosphere-based fabrication method for creating large arrays of vertical SiNWs with a periodically perforated top electrode layer. This process is ideal for gas sensing devices but can easily be extended to a wide array of future applications.

10C-5
Nanostructures/Devices 3

Fabrication of Carbon Nanotube Film-Piezoelectric (CNF-PZT) Microcantilevers for Energy Harvesting Application, Zhongcheng Gong, Venu Kotipalli, Yuan He, Joel Soman, Chad O'Neal and Long Que, Louisiana Technical University

Recently self-reciprocating characteristic of carbon nanotube film (CNF)-based cantilever has been observed upon exposure to the light and thermal radiation, which offers a unique way to harvest both light and thermal energy. This paper reports the successful fabrication of CNF-PZT microcantilevers by combining CNF-transfer and microlithography for the first time

 

Invited Posters

Poster 1-1 (Invited)

Fabrication of Plasmonic Nanostructures by Etch Mask Transfer, Monika Fleischer, Christian Schäfer, Yuliya Fulmes, Dominik Gollmer, Bastian Zeeb, Andreas Horrer and Dieter P. Kern, Eberhard Karls Universitat Tubingen

A process for the fabrication of plasmonic nanostructures is presented based on  thin film metallization, local creation of etch masks, and argon ion milling.  Metallic nanocones, rings, cups, corrals, and triangles are demonstrated.  Single cones are integrated for near field microscopy, while arrays of  nanostructures are employed for Raman spectroscopy.

Poster 1-2 (Invited)

Deposition and Structuring of Ag/AgCl Electrodes into a Closed Polymeric Microfluidic System for Electroosmotic Pumping, Urs Staufer, Paul van der Ploeg and Friedjof Heuck, TU Delft

This work presents the fabrication and characterization of an electro-osmotic  (eo) pump with a low actuation voltage integrated into a polymeric microfluidic  chip. We used electrolessly deposited Ag/AgCl electrodes, which were structured  using stop-valves.  The experimentally determined pump rate was 0.12 nl / s / V

Poster 1-3 (Invited)

Ionic Liquid Ion Sources as a Unique and Versatile Option in Focused Ion Beam Applications, Carla Perez-Martinez, Stephane Guilet, Jacques Gierak and Paulo Lozano, Massachusetts Institute of Technology, *CNRS-LPN

Ionic Liquid Ion Sources (ILIS) are ion sources based on room-temperature  molten salts, which could be used in Focused Ion Beam (FIB) applications. The  profile distribution of the ILIS beam is measured; a magnetic filter is  proposed to separate the different ion species extracted in order to  characterize their energy profiles.

Poster 1-4 (Invited)

Extreme Brightness: Reaching the Ultimate Limits of the Electron Beam, Jonathan Jarvis, Borislav Ivanov, Jonathan Kohler, Charles Brau, Nikkon Ghosh, Weng Poo Kang and Jimmy Davidson, Vanderbilt University

We report recent results from field emission studies of diamond field emitters  and carbon nanotubes.  The emission is found to be dominated by resonant  tunneling through adsorbed molecules.  For these emitters, resonant tunneling  can be exploited to produce beams of unprecedented brightness, perhaps up to  the quantum degenerate limit.

P1-1
Directed Assembly Poster

Towards Reliable Fabrications of Qdot-Nanopatterns on DNA Origami, Seung Hyeon Ko, Gregg M. Gallatin and J. Alexander Liddle, National Institute of Standards and Technology

We monitored binding of streptavidin-coated Qdots to biotinylated DNA origami  to determine the several factors governing the speed and the yield of Qdot  binding to DNA origami. In addition, we determined forward and backward  reaction rate coefficients through analysis of time course data.

P1-2
Directed Assembly Poster

Direct Carbon Deposition by EBID at Low Substrate Temperatures, Johannes Mulders, FEI Electron Optics

Conventional EBID processes can not be used at low temperature, due to the  sticking coefficient of the precursor which approaches unity. In this paper  carbon deposition EBID is reported using alkanes as precursor and a substrate  temperature between -50 and -150 C. A process at -150 C now is possible.

P1-3
Directed Assembly Poster

Patterned Atomic Layer Epitaxy of Si / Si(001):H, James Owen, Josh Ballard, John Randall, Justin Alexander and Jim von Her, Zyvex Labs

We present results from Patterned Atomic Layer Epitaxy experiments of Si using  H-terminated Si patterned by STM lithography, and disilane. We discuss the  effect of substrate temperature and disilane flux on the average island size,  and characterise the types of defect found in the first ML of growth.

P1-4
Directed Assembly Poster

Characterization of Cross Sectional Profile of Epitaxially Assembled Block Copolymer Domains using Transmission Small Angle X-Ray Scattering, Chengqing Wang, August Bosse, Joseph Kline, Christopher Soles, Wen-li Wu and Gila Stein*, National Institute of Standards and Technology, *University of Houston

Transmission small angle X-ray scattering was used to characterize the cross  section of diblock copolymer line gratings assembled on chemically templated  substrates with  a pitch less than 50 nm. The cross section and the interface  roughness value obtained from X-ray data will be discussed and compared with  computer simulation results.

P1-5
Directed Assembly Poster

Functionalization of Focused Electron Beam Induced Deposits by Directed Self-Assembly, W.F. van Dorp, S.K. de Boer, J.T.M. de Hosson, T. Kudernac, J.M. Beierle, B.L. Feringa and J.P. Hoogenboom*, University of Groningen, *Delft University of Technology

Our new approach combines cutting-edge lithography with molecular  self-assembly. SiO2 patterns are written in a single step with focused electron  beam induced deposition. Currently, 80 nm patterns can be readily  functionalized with fluorescent molecules. Results of our efforts to increase  the resolution to 20 nm and beyond are presented.

P1-6
Directed Assembly Poster

Block Copolymers for High-Resolution Nanopatterning, Nathanael Wu, Kenneth Harris and Jillian Buriak, University of Alberta

We present an approach for increasing structural densities in block copolymer  self assembly.

P1-7
Directed Assembly Poster

Wafer Scale Integration Of Oriented Carbon Nanotubes Interconnects, Florent Seichepine, Sven Salomon*, Maéva Collet*, Liviu Nicu*, Elena Bedel-Pereira*, Emmanuel Flahaut and Christophe Vieu*, Institut Carnot Cirimat, *CNRS-LAAS

It is mandatory to develop adapted integration protocols for large scale  integration of carbon nanotubes to use them as next generation materials in  microelectronics devices. We present dielectrophoretic manipulations of CNTs  that produce oriented CNT patterns at the wafer scale.

P1-8
Directed Assembly Poster

Localized Thermal Modification of Surfaces via Electron Bombardment from an STM Tip, Joshua Smith, David Ricketts and Jim Bain, Carnegie Mellon University

In this work we demonstrate that short duration (≈ 1μs) pulses have  sufficient energy to modify the surface.

P1-9
Directed Assembly Poster

Diblock Copolymer Ordering by NanoImprint Lithography, Stefan Landis, Nicolas Chaix, Pascal Thébault*, Stefan Niedermayer*, Patrick Guenoun*, Jean Daillant*, Xingkun Man**, David Andelman** and Henri Orland***, CEA-LETI, *CEA, **Tel Aviv University, ***CEA-Saclay

In this paper we propose a new nanoscale patterning technique for large  surfaces based on BCP ordering and NanoImprint Lithography. In our approach  molecular ordering is provided by imprinted BCP formulations and self-assembly  while long-scale organisation is driven by topography induced with NIL mold.

P1-10
Directed Assembly Poster

Block-Copolymer Thin Film Templates for Nanoscale Fabrication Processes: Reactive Ion Etching, E-Beam Deposition and Ion Beam Synthesis, Gerard BenAssayag, Sylvie Schamm-Chardon, Michele Perego*, Grabriele Seguini*, Paolo Pelligrino**, **Arnau Pou Raurell and Andrea Andreozzi*, CEMES-CNRS, *IMM-CNR, **Universitat de Barcelona

Block copolymer (BC) lithography is used to fabricate silicon nanoobjects using  three processes.  Selective reactive ion etching process to fabricate  nanopores, e-beam evaporation of Si or SiO to get silicon nanopilars and ULE  implantation of Si+ and thermal annealing to locally precipitate Si  Nanocrystals. TOF-SIMS, AFM, Photoluminescence and EF-TEM is used as  characterization methods.

P1-11
Directed Assembly Poster

Template-Assisted Growth of ZnO Nanorod Arrays, Tao-Hua Lee, Hung-Jue Sue and Xing Cheng, Texas A&M University

We present the solution-based growth of ZnO nanowire arrays from a nanoparticle  seed layer patterned by nanoimprint lithography.

P1-12
Directed Assembly Poster

A Parametric Study of Electrophoretic Deposition of Single Wall Nanotubes In Nanoscale Windows, Shanmugamurthy Lakshmanan, Alokik Kanwal, Anitha Patlolla, Zafar Iqbal and Reginald Farrow, New Jersey Institute of Technology

We varied critical parameters influential to electrophoretic deposition of  single wall carbon nanotubes (metallic and semiconducting) in nanoscale windows  (isolated and dense patterns). Control of the number of nanotubes and their  distribution is discussed along with their implications for wafer scale  processing and commercialization of novel vertical nanotube devices.

P2-1
Electron or Ion Beam Lithography Poster

Patterning of Graphene on SiC using a He ion Nanobeam, Alexandra Curtin, K. Aschenbach, M.S. Fuhrer, H.D. Drew, J. Melngailis, D.K. Gaskill*, J.L. Tedesco*, L.O. Nyakiti*, R.L. Myrs-Ward*, C.R. Eddy*, C. Huynh, L. Stern and M. Ananth, University of Maryland, *US Naval Research Laboratory

To evaluate the utility of using a helium ion nanobeam the pattern graphene we  have measured the sheet resistance of graphene on silicon carbide as a function  of ion dose. A dose above 1xE17/cm2 is needed to significantly increase the  sheet resistance.

P2-2
Electron or Ion Beam Lithography Poster

Study of Optical Transmittance Through Tack-Typed and Goblet-Typed Dielectric Pillar Arrays, YC Lee, HM Lee, JH Shyu and Jong Wu,  National Changhua University of Education

We report a study of optical transmittance on tack-typed and goblet-typed  dielectric pillar arrays.

P2-3
Electron or Ion Beam Lithography Poster

Real-time Dose Control for Electron-Beam Lithography, Yugu Yang, Stephen Maloney and Todd Hastings, University of Kentucky

Dose variation limits the critical dimension control, line-edge roughness and  throughput of EBL. Here we describe the first steps toward providing real-time  feedback control of dose for each exposed pixel based on a signal from the  sample to be patterned, rather than from the source or another point in the  column.

P2-4
Electron or Ion Beam Lithography Poster

3D Nano Patterning using Local Ga Implantation and Subsequent RIE Etch, Simon Waid and Heinz Wanzenboeck, Vienna University of Technology

We employ the local implantation of Ga into Si and subsequent reactive ion  etching as a 3D patterning technique. The technique promises to provide higher  resolutions for 3D patterns than EBL due to the absence of the proximity effect  while still being significantly faster than other 3D nano patterning methods  such as FIB milling or FIB gas assisted etching.

P2-5
Electron or Ion Beam Lithography Poster

Negative-tone E-beam Resist Patterning for more than 1 Tbit/in2 Bit-patterned Media NIL Mold, Morihisa Hoga, Kimio Itoh, Mikio Ishikawa, Nobuhito Toyama*, Hiroaki Kitahara**, Tadashi Fujinawa**, Tetsuya Iida**, Syuhei Kurokawa***, Osamu Ohnishi*** and Toshiro Doi***, Dai Nippon Printing Co. Ltd., *DNP Corporation USA, **PIONEER Corporation, ***Kyushu University

Three kinds negative-tone EB resist (Nega-A, Nega-B and Nega-C) patterning  performances with 100kV xy-stage EB writer (Jeol/JBX-9300FS) for process  development and with rotary stage EB writer (Pioneer/EBR-402) for BPM pattern  formation will be discussed. 25nm pitch pillar array were resolved.

P2-6
Electron or Ion Beam Lithography Poster

Improving the Zero Bias Performance of MIM Tunneling Diodes by Introducing Traps in the Barrier, Filiz Yesilkoy, Kwangsik Choi, Mario Dagenais and Martin Peckerar, University of Maryland

In our work, we claim that the lower bias tunneling efficiency of MIM tunnel  junctions can be improved by introducing traps in the tunnel barrier. We  achieve this by oxidizing the first electrode in ZnCl saturated boiling water.  Performance improvement is tested by comparing the zero bias resistance.

P2-7
Electron or Ion Beam Lithography Poster

Surface Electron Emission Lithography with Electron Source of High Emission Efficiency, Akira Kojima, Hideyuki Ohyi, Toshiyuki Ohta* and Nobuyoshi Koshida*, Crestec Corp., *Tokyo University of A&T

We have developed Surface Electron emission Lithography (SEL) to improve the  throughput of electron beam lithography. In this study, SEL that is  1:1  electron projection lithography using a planar type silicon nanowire array  ballistic electron emitter (PBE) with high emission efficiency is demonstrated.

P2-8
Electron or Ion Beam Lithography Poster

In-situ Study of Rate of Hydrogen Silsesquioxane Dissolution in both Alkaline and Electrochemical Systems, Katherine Harry, Sebastian Strobel, Joel Yang, Huigao Duan and Karl Berggren, Massachusetts Institute of Technology

In order to better characterize the development of HSQ, we used a quartz  crystal microbalance to study its rate of dissolution. We used this technique  to determine the development rate in salty developer solution (0 – 20% NaCl  in 1% NaOH) and using electrochemical techniques (0 – 2.5 V in 1% NaOH).

P2-9
Electron or Ion Beam Lithography Poster

Single Crystal Silicon Nanowires used as Cantilever for Femtonewton Detection, Manuel Hofer, Ivo W Rangelow, Babak Sanii* and Paul D Ashby*, TU Ilmenau, *Lawrence Berkeley National Laboratory

A critical limitation of nanoelectromechanical systems (NEMS) is the lack of a  high-sensitivity position detection mechanism. We introduce a  noninterferometric optical approach to determine the position of nanowires with  high sensitivity and bandwidth.

P2-10
Electron or Ion Beam Lithography Poster

Comparison Between ZEP and PMMA Resists for Nanoscale Electron Beam Lithography Experimentally and by Numeric Modeling, Maria Stepanova, Mohammad Ali Mohammad*, Kirill Koshelev, Taras Fito, Ken L. Westra* and Steven K. Dew*, National Institute for Nanotechnology NRC, *University of Alberta

We report a detailed comparative analysis of performance of PMMA950k and ZEP520  EBL resists for fabrication of dense nanoscale grating structures, by varying  the  grating pitch, voltage, dose, and development temperature. We also report a 3D  simulation of exposures in PMMA and ZEP explaining the observed difference in  sensitivities.

P2-11
Electron or Ion Beam Lithography Poster

Challenges in Data Treatment and Proximity Effects Correction for Massively Parallel Electron Lithography, Patrick Schiavone, Sébastien Bayle, Luc Martin, Jérôme Belledent*, Sébastien Soulan*, Pablo Wieldermann**, Abdi Farah** and Serdar Manakli, ASELTA Nanographics, *CEA-LETI MINATEC, **MAPPER Lithography B.V.

Among the developments to be performed to secure the take off of the multi-beam  technology, the availability of a rapid and robust data treatment solution will  be one of the major challenges. This paper will identify the key challenges and  provide a status of the development work already done in our group.

P2-12
Electron or Ion Beam Lithography Poster

Resistivity Changes Due to Environmental Contamination In Films Used For Charge Reduction in Electrostatic Electron Optics, Juan R. Maldonado, Roger Fabian Pease, Charles J. Hitzman, Alan D. Brodie*, Paul Petric*, Chris Bevis*, Mark McCord*, William M. Tong*, Francoise Kidwingira*, Piero Pianetta**, Matt Bibee**, Apurva Mehta** and Ritwik Bhatia***, Stanford University, *KLA-Tencor, **Stanford National Accelerator Laboratory, ***Cambridge Nanotech Inc.

In electrostatic electron optics charging of surfaces of insulators separating  the electrodes can cause undesired beam fluctuation. Here we describe changes  in resistivity of ALD films utilized to mitigate the charges under different  operating conditions. It was found that carbon contamination due to poor vacuum  conditions can greatly affect the film resisitivity.

P2-13
Electron or Ion Beam Lithography Poster

Addressing the Fabrication of Titanium Oxide Nanostructures by Electron Beam Induced Deposition, Florian Vollnhals, Michael Schirmer, Marie-Madeleine Walz, Thomas Lukasczyk, Chen Chen, Hans-Peter Steinrück and Hubertus Marbach, Universitat Erlangen-Nurnberg

Titanium tetraisopropoxide (TTIP) was investigated as a precursor for Electron  Beam Induced Deposition (EBID) in ultrahigh vacuum (UHV). Herein, we report on  the fabrication of localized, pure titanium oxide nanocrstals by post-treatment  of the initial deposits. Furthermore, we considerably expanded the EBID  technique to the fabrication of layered nanostructures by the consecutive use  of two precursor gases, TTIP and iron pentacarbonyl.

P2-14
Electron or Ion Beam Lithography Poster

Selective Area ALD Deposition with Nanolithography using SAM as a Resist, Jie Huang, Mingun Lee, Jiyoung Kim, University of Texas at Dallas

We explored the limitation of scaling down of selective ALD with EBL patterning  on OTS monolayer. These findings highlight the significance of functional group  of SAMs to those scattered electrons with high accelerated energy. Therefore,  we designed a new approach to pattern SAMs using low energy electrons for  nano-scale lithography applications.

P2-15
Electron or Ion Beam Lithography Poster

Electron-Beam Lithography of Photonic Waveguides: Measurement of the Effect of Field Stitching Errors on Optical Performance and Evaluation of a New Compensation Method, Alexei L. Bogdanov, Jean Lapointe and Jens Schmid, National Research Council of Canada

A method of stitching errors compensation was applied for fabrication of  photonic waveguides with e-beam lithography. Optical losses were measured for  waveguides with various intentional stitching errors. A significant improvement  of waveguides after the errors compensation is shown.

P2-16
Electron or Ion Beam Lithography Poster

Surface-Relief Polarization Gratings for Visible Light, Ismo Vartiainen, Jani Tervo and Markku Kuittinen, University of Eastern Finland

Polarization gratings are space-variant subwavelength structured photonic  devices that modulate the state of polarization. Using electron beam  lithography and reactive ion etching, we have fabricated such devices in the  form of dielectric and metallic surface-relief profiles for operation in the  visible wavelength region.

P2-17
Electron or Ion Beam Lithography Poster

Secondary-Electron Signal Levels of Self-Assembled Monolayers for Spatial-Phase-Locked Electron-Beam Lithography, Lin Cheong, Jose Lobez, Euclid Moon, Yugu Yang*, Todd Hastings* and Henry Smith, Massachusetts Insitute of Technology, *University of Kentucky

To apply spatial-phase-locked electron-beam lithography at 1 to 5 keV, we  measured the secondary-electron yield and contrast of a variety of  self-assembled monolayers on aluminum and titanium.

P2-18
Electron or Ion Beam Lithography Poster

Dimensional Characterization Of Waveguide Coupling Device Structures Fabricated By The Fixed Beam Moving Stage (FBMS) Electron Beam Lithography, Jason Sanabia, Joseph Klingfus, Kevin Burcham, Guido Piaszenski* and Frank Nouvertné*, Raith USA, *Raith GmbH

Fixed Beam Moving Stage electron beam lithography on the Raith e_LiNE system is  used to fabricate waveguide coupling device structures, where the relative  positions and dimensions of the structures must be reliably controlled.  The  system’s metrology toolset is used to perform dimensional characterization on  the resulting structures.

P2-19
Electron or Ion Beam Lithography Poster

Three-Dimensional Proximity Effect Correction for Large-Scale Uniform Patterns, Qing Dai, Soo-Young Lee, Sang-Hee Lee*, Byung-Guk Kim* and Han-Ku Cho*, Auburn University, *Samsung Electronics

A new method which takes a systematic approach to 3-D PEC in avoiding the  feature-by-feature correction without sacrificing the quality of correction  result is proposed for large-scale uniform patterns.  The method consisting of  three steps attempts to achieve the target 3-D resist profile of feature with  vertical sidewall throughout a large pattern.

P2-20
Electron or Ion Beam Lithography Poster

Development of Metal Etch Mask by Single Layer Lift-Off for Silicon Nitride Photonic Crystals, Kangmook Lim, Shilpi Gupta, Chad Ropp and Edo Waks, University of Maryland

We present a method for fabrication of photonic crystals in SiN using chrome  mask formed by metal liftoff with negative ebeam resist. We demonstrate the  ability to fabricate feature sizes as small as 50nm onto 200nm thick SiN  membrane with straight sidewalls, excellent etch uniformity, and very high  aspect ratios.

P2-21
Electron or Ion Beam Lithography Poster

Enhancement of Spatial Resolution in Generating Point Spread Functions by Monte Carlo Simulation in Electron-beam Lithography, Qing Dai, Soo-Young Lee, Sang-Hee Lee*, Byung-Guk Kim* and Han-Ku Cho*, Auburn University, *Samsung Electronics

A novel method for enhancing the spatial resolution of PSF without increasing  the size of memory has been developed, based on the mathematical formulation.   The key idea is to reduce the dimensionality in the representation of the  simulation space, exploiting the fact that the PSF is radially symmetric, and  increase the spatial resolution in the remaining dimension(s)

P2-22
Electron or Ion Beam Lithography Poster

A Model Based Hybrid Proximity Effect Correction Scheme Combining Dose Modulation and Shape Adjustments, Thomas Klimpel, Hans-Juergen Stock, Martin Schulz, Rainer Zimmermann and Alex Zepka*, Synopsys GmbH, *Synopsys Inc.

We show how to combine dose modulation schemes and shape adjustment schemes  into hybrid correction schemes for e-beam lithography. We test the correction  quality of such hybrid schemes for some patterns in the presence of process  variations and also compare to the corresponding results for dose only  correction.

P2-23
Electron or Ion Beam Lithography Poster

High Resolution Electron Beam Lithography Using Polystyrene Negative Resist, Celal Con, Shirley Ma and Bo Cui, University of Waterloo

The ultimate resolution of polystyrene as a negative electron beam resist was  studied. Under optimum condition, we were able to achieve the patterning of 20  nm period lines and 15 nm period 2D dot array, which is believed to be the  highest pattern density ever obtained using organic EBL resists.

P2-24
Electron or Ion Beam Lithography Poster

E-beam Energy Dissipation in Complex Solid Targets, Eric Munro, Victor Katsap*, John Rouse and Xieqing Zhu, Munro’s Electron Beam Software Ltd., *NuFlare Technology

E-beam exposure resolution depends on the electron energy loss distribution in  the resist.  We have modeled electron scattering in the mask with MONSEL software and  developed an algorithm for extracting the energy loss distribution. Results  obtained are in agreement with known resist exposure data.

P2-25
Electron or Ion Beam Lithography Poster

Comparison of PSF for non CAR and CAR Resists in E-Beam Lithography, Jean-Herve Tortai, Thiago Figuiero*, Luc Martin* and Patrick Schiavone*, LTM, *Aselta Nanographics

Multiple electron beam lithography could be in the future a serious candidate  to address 15 nm nodes as throughput key point might be overcome. However  achieving such high resolution means extreme proximity effect correction.  Accurate experimental determination of PSF is needed. This paper contributes to  this goal.

P2-26
Electron or Ion Beam Lithography Poster

High Current Pulse Generation for Thermal Surface Modification Using Standard STM, Weihua Hu, Shingo Tamaru, Jim Bain, David Ricketts, Carnegie Mellon University

We measured tunneling current as high as 20 micro ampere caused by short pulses  on standard scanning tunneling microscope. By thermal simulation we  demonstrated that the pulse duration can be significantly longer than the  thermal time constant of many conductive substrates, suggesting that surface  heating can be accomplished with these short pulses.

P2-27
Electron or Ion Beam Lithography Poster

Experimental Evaluation Method of Point Spread Functions in EBL Used for Proximity Effect Correction, Bengt Nilsson, Chalmers University

Experimental evaluation of monte-carlo generated point-spread functions used in  EBL proximity correction, by visual inspection of the partially developed  resist. A uniform interference color represents a uniform remaining thickness  and exposure energy distribution, and the uniformity relates to how well the  used point-spread function corresponds with the physical reality.

P2-28
Electron or Ion Beam Lithography Poster

Nano-Patterning of PMMA on Insulating Surfaces With Various Anti-Charging Schemes Using 30 Kev Electron Beam Lithography, Mustafa Muhammad, Steve Buswell, Steven Dew and Maria Stepanova, University of Alberta

We compare the performance of four different anti-charging schemes for  nanopatterning of PMMA on an insulating substrate using EBL. Coatings of PMMA  with Al and a conducting polymer, and Cr and Al films deposited between the  PMMA and the substrate are explored, and the sensitivities and process  robustnesses are reported.

P2-29
Electron or Ion Beam Lithography Poster

Electron Beam Lithography Tools for Low Cost Inspection of Extreme Ultraviolet Lithography Masks, Adam Lyons and John Hartley, College of Nanoscale Science and Engineering

The current state of the art for defect inspection of EUVL masks relies on  expensive inspection tools. The authors present a method to perform EUVL mask  defect detection using a Vistec Lithography VB300 EBL tool. This can lower the  cost and time to manufacture EUVL masks significantly.

P2-30
Electron or Ion Beam Lithography Poster

Novel Nanopatterning Strategies for Focused Ion Beam Lithography of Nanophotonic Structures, Jason Sanabia, Joseph Klingfus, Kevin Burcham, Joel Fridmann, Achim Nadzeyka*, Sven Bauerdick*, Lloyd Peto* and Frank Nouvertné*, Raith USA, *Raith GmbH

A Raith nanolithography system fitted to a FIB-SEM instrument was used for the  ion beam lithography nanofabrication of a photonic array of elliptical  structures.  A multipass, spiral-outward patterning strategy for each ellipse,  combined with beam position drift correction, mitigated redeposition effects  and produced smooth edges in the ellipses.

P2-31
Electron or Ion Beam Lithography Poster

Direct Hard Mask Patterning by Focused Ion Beam (FIB), Simon Waid, Heinz Wanzenboeck and Anton Koeck*, Vienna University of Technology, *Austrian Institute of Technology GmbH

In this paper we propose the direct patterning of inorganic hard mask materials  by FIB and subsequent pattern transfer by reactive ion etching (RIE) as an  alternative to FIB direct milling.

P2-32
Electron or Ion Beam Lithography Poster

The New Method of Electron-Beam Lithography on HSQ at Overlay Writing, Hirofumi Ohki, JEOL Ltd.

We found the method to enhance and to maintain HSQ sensitivity during an E-Beam  pattern writing.   Adding to the sensitivity enhancement effect, this method can be applied at  HSQ pattern exposure to act as the alignment mark fabrication for overlay writing.

P2-33
Electron or Ion Beam Lithography Poster

Lithography-Patterning-Fidelity-Aware Electron-Optical System Design Optimization, Sheng-Yung Chen, Hoi-Tou Ng, Shiau-Yi Ma, Hsing-Hong Chen and Kuen-Yu Tsai, National Taiwan University

The electron-optical system (EOS) performance indices related to focusing  properties have no direct relationship to lithography patterning fidelity which  is judged by the quality of developed resist patterns. A new EOS design  methodology which directly incorporates lithography patterning fidelity metrics  into the optimization flow is proposed and demonstrated.

P2-34
Electron or Ion Beam Lithography Poster

Liftoff Lithography of Chrome for Extreme Ultraviolet Lithography Mask Absorber Layer Patterning, Adam Lyons and John Hartley, College of Nanoscale Science and Engineering

The authors demonstrate sub-50nm lift-off lithography of chrome absorber layers  on EUVL masks and characterize both the quality of the resulting lithography,  and the defects introduced by the process.

P2-35
Electron or Ion Beam Lithography Poster

Commissioning of the 20-bit Vistec EBPG5000plus at the Melbourne Centre for Nanofabrication, Matteo Altissimo, Ray Espinal* David Wilkinson*, Daniel Mai*, Gregory Burkhalter* and Lan Zhang*, Melbourne Centre for Nanofabrication, *Vistec Lithography

Summary of the installation results for the 20bit, 50 MHz Vistec EBPG5000plus  at the Melbourne Centre for Nanofabrication. Highlight is sub-10 nm resolution  on 1 mm field size.

P2-36
Electron or Ion Beam Lithography Poster

Fabrication of Sub-15nm Nanostructures via Metal Lift-Off or Silicon Etching, Fay Hudson, Joanna Szymanska and Andrew Dzurak, University of New South Wales

We report on fabrication of sub-15 nm feature sizes via 30keV electron beam  lithography. With an emphasis on successful pattern transfer via metal lift-off  or etching, we compare three commonly used resists: positive resists PMMA and  ZEP520 and negative resist NEB-22.

P2-37
Electron or Ion Beam Lithography Poster

High Sensitivity Electron Beam Lithography Using ZEP Resist and MEK:MIBK Developer, Jian Zhang, Babak Shokouhi and Bo Cui, University of Waterloo

We show that the sensitivity for ZEP-520A resist can be substantially increased  by using MEK:MIBK=40:60 developer. When exposed at 5 keV, we achieved a  sensitivity of only 2.6 µC/cm^2, though at a cost of reduced contrast of 1.8.  The process was able to define 60 nm half pitch grating patterns.

P2-38
Electron or Ion Beam Lithography Poster

Focused ion Beam Milling Directed by BASIC-Like Code, Fernando E. Camino and Eli Sutter, Brookhaven National Laboratory

Focused ion beam (FIB) is an ideal instrument for milling micron- and  submicron-size structures on a wide range of substrates. We have developed a  simple and versatile approach for milling structures in FIB systems interfaced  to the commercially available Nanometer Pattern Generation System (NPGS).

P3-1
Electron or Ion Sources and Systems Poster

Ion Beams in SEM: An Experiment towards a High Brightness Low Energy Spread Electron Impact Gas Ionization Source, David Jun and Pieter Kruit, Delft University of Technology

We are currently developing a high brightness, low energy spread electron  impact gas ionization source.  The source is intended for use in high  resolution FIB applications.  As a proof-of-concept experiment, we have tested  our prototype gas chamber devices inside a SEM.  We have successfully acquired  ion beam patterns and measured ion beam currents using helium, argon, xenon,  and air.

P3-2
Electron or Ion Sources and Systems Poster

Parallel Electron-Beam-Induced Deposition using a Multi- Beam Scanning Electron Microscope, A. Mohammadi-Gheidari, P.C. Post, C.W. Hagen and P. Kruit, Delft University of Technology

We present the first results of parallel electron-beam-induced deposition in a  multi-electron beam scanning electron microscope. Arrays of dots are deposited  from a Pt-precursor with 196 electron beams simultanously.

P3-3
Electron or Ion Sources and Systems Poster

Single Walled Nanotube (SWNT) Fiber Field Emission Cathodes, Steven Fairchild, Benji Maruyama, John Boeckl, Matteo Pasquali*, Naty Behabtu, Donald Shiffler and Nathaniel Lockwood*, Air Force Research Laboratory, *Rice University

The field emission properties of fiber cathodes that consist only of highly  aligned single-walled carbon nanotubes will be presented.  The fibers are 100  microns in diameter and 70-80% dense. They operated stably to within 0.6% with  a 5mm gap at 7keV and 2.4mA current for hundreds of hours.

P3-4
Electron or Ion Sources and Systems Poster

A Comparison of Xe+ Plasma FIB Technology with Conventional Gallium LMIS FIB, Chad Rue, Richard Young, Steven Randolph, Clive Chandler, Theresa Graupera and German Franz, FEI Company

A comparison of conventional gallium Liquid Metal Ion Source (LMIS) and Xe  plasma source Focused Ion Beam (FIB) systems is presented.  Imaging resolution  and milling acuity are examined in both the high-current and low-current  regimes.  Gas-assisted etching and deposition are also examined.

P3-5
Electron or Ion Sources and Systems Poster

Polarization-Sensitive Visible-Light-Induced Thermionic Electron Emission from Carbon Nanotube Forests, Mehran Vahdani Moghaddam, Parham Yaghoobi and Alireza Nojeh, The University of British Columbia

We investigate the effect of the polarization of light in laser-induced  thermionic emission from carbon nanotube forests. We observe that when the  electric field of the laser is polarized parallel to the nanotubes, the  emission current is approximately two orders of magnitude higher than in the  case of perpendicular polarization.

P3-6
Electron or Ion Sources and Systems Poster

Statistical Coulomb Forces in Photo-Field Emitters for Ultrafast Microscopy, Ben Cook, Thomas Verduin, Kees Hagen and Pieter Kruit, Delft University of Technology

Ultra fast electron microscopes often use one electron per pulse to avoid  Coulomb forces, but have many electrons, at the source and later aperture.  However electron-electron interactions can reduce brightness before the  aperture. We show a detailed study of Coulomb forces in pulsed photofield  emitters using analytical and Monte-Carlo methods.

P3-7
Electron or Ion Sources and Systems Poster

Neon GFIS Nanomachining Applications –A Study Of The Machining Properties of Neon for Semiconductor FA and Circuit Edit, Shida Tan, Richard Livengood, Roy Hallstein, Shawn McVey and John Notte, Naval Research Lab

In this paper, we will discuss major considerations in charged particle beam  development for circuit edit, TEM, and FA nanomachining applications.        We will  provide a quantitative comparison between the latest experimental results and  the theoretical expectations.

P3-8
Electron or Ion Sources and Systems Poster

Characterization of a Saddle-Field Ion Source for Proximity Lithography, Azeem Nasrullah, Tim Sherlock and Paul Ruchhoeft, University of Houston

A cold-cathode saddle-field ion source was constructed and characterized for  proximity lithography. The source operates at low pressures by trapping  electrons in a long-oscillating path and generates a broad beam of energetic  helium ions. We characterize the source by measuring its virtual source size,  current uniformity, and through resist exposures.

P3-9
Electron or Ion Sources and Systems Poster

Filtering and Energy Characterization of Ion Species from Ionic Liquid Ion Sources for Focused Ion Beam Applications, Carla Perez-Martinez, Stephane Guilet*, Jacques Gierak* and Paulo Lozano, Massachusetts Institute of Technology, *CNRS-LPN

Ionic Liquid Ion Sources (ILIS) are ion sources based on room-temperature  molten salts, which could be used in Focused Ion Beam applications. The ILIS  beam contains several ion species, which are separated in order to characterize  their energy profile; the probe size of the filtered beam is also investigated.

P3-10
Electron or Ion Sources and Systems Poster

Fine-Focused Beams of Highly Charged Ions, Mike Schmidt, Günter Zschornack*, Vladimir Petrovich Ovsyannikov and Jacques Gierak**, DREEBIT GmbH, *Dresden University of Technology, **LPN-CNRS

In this contribution we introduce a FIB solution featuring an Electron Beam Ion  Source for sub-micrometer beams of noble gas ions and various species of ions  of different elements ranging from high to low charge states. Hence different  ion ranges, implantation depths as well as sputter yields can be realized.

P3-11
Electron or Ion Sources and Systems Poster

Defined Emission Area and Custom Thermal Electron Sources, William Mackie and Gerald Magera, Applied Physics Technologies Inc.

We report new work from single-crystal boride and carbide thermionic and field  emitters.  We describe thermionic sources having unique properties including  defined emission area, increased stability, and with source diameters from 50  μm to 2 mm.  For extreme operating conditions we embedded HfC(310) cathodes  which can be circular or shaped.

P3-12
Electron or Ion Sources and Systems Poster

MOTIS-Based Focused Ion Beams in Two Flavors, Brenton Knuffman, Adam Steele, Jabez McClelland, Mostafa Maazouz*, Greg Schwind* and Jon Orloff*, National Institute of Standards and Technology, *FEI Co.

In this poster we will describe the new MOT-based ion beam source.  We will  detail the aperatus and show images collected with the system. The poster is  designed to suppliment the invited talk of Jabez McClelland

P3-13
Electron or Ion Sources and Systems Poster

New Applications of XeF2 Chemistry with Focused Ion Beam, Jason Huang, Carl Zeiss NTS, LLC

This paper will present applications examples of XeF2-assisted milling of three  categories of materials: hard ceramics, metals, and Ga-sensitive compound  semiconductors.

P3-14
Electron or Ion Sources and Systems Poster

Investigation of Deposition Profile For Metal Structure Using Focused Ion Beam, Dae Keun Choi and Sang Hoon Lee, Seoul Tech

In this paper, we established deposition conditions of metal structure using  focused-ion-beam (FIB) system. The parameters such as beam current, deposition  time and designed circle diameter are adjusted, and established conditions are  used for FIB tip fabrication.

P3-15
Electron or Ion Sources and Systems Poster

Pitfalls in the Measurement of FIB Beam Size, David Logan, Charles Otis, Mostafa Maazouz and Jon Orloff, FEI Company

FIB resolution is difficult to measure.   Instead of resolution beam size is  often chosen as a system metric.  To compare instruments there needs to be a  standard way of doing the measurement.        We have found some pitfalls in the  procedure that need to be taken into account to avoid errors.

P3-16
Electron or Ion Sources and Systems Poster

Advanced Microcolumn with a Quadrupole Electrostatic Lens, Tae-Sik Oh, Dae-Wook Kim, Seungjoon Ahn, Young Chul Kim and Ho Soeb Kim, Sun Moon University, *Eulji University

A new design of a microcolumn is proposed in order to achieve a low-aberration  and low-distortion electron beam while maintaining the wide deflection field.

P3-17
Electron or Ion Sources and Systems Poster

Emission Imaging of a LaB6 Emitter, Gerald Magera, Victor Katsap* and Chising Lai*, Applied Physics Technologies Inc., *NuFlare Technology

In LaB6 cathodes, the (100) plane is used as the emissive surface. It may have  microscopic defects. We have obtained LaB6 cathode emission images,  showing  features unavailable to optical and electron microscopy. This technique can be  used for LaB6 quality evaluation during standard cathode test runs.

P4-1
Emerging Technologies Poster

In situ Optical Imaging and Laser Processing in the SEM/FIB: A True EIPBN System, Nick Roberts, Jason Fowlkes*, Philip Rack, Greg Magel**, Herschel Marchman**, Cheryl Hartfield** and Tom Moore**, University of Tennessee, *Oak Ridge National Labs, **Omniprobe Inc.

This submission highlights a combined scanning electron microscope and focused  ion beam system with the capability of laser induced processing.

P4-2
Emerging Technologies Poster

Nanocomposite-Beam Based Microresonator Fabricated by Combining Microlithography And Layer-By-Layer Nanoassembly, Yuan He, Xingcai Zhang, Promise Dahal, Ashutosh Koirala, Zhongcheng Gong, Alfred Gunasekaran, Yuri Lvov and Long Que, Louisiana Technological University

This paper reports the fabrication of nanocomposite-beam (NanoBeam) based  microresonators by combining microlithography and layer-by-layer nanoassembly  (LbL). Using this combined approach, both the composition and the thickness of  the NanoBeam can be readily tuned at nanoscale resolution for optimum  performance, which is impossible or very difficult using other fabrication  techniques.

P4-3
Emerging Technologies Poster

Fabrication of Nanoscale Structures on Micro Patterned Silicon (100) Surfaces, Kai Li, Pradeep Namboodiri, Sumanth Chikkamaranahalli, Joe Fu and Richard Silver, University of Washington

The fabrication of novel silicon-based quantum devices or atomically-precise  calibration structures requires atomic control of the silicon substrate. A  proceduce is developed to create fiducial marks that can be observed with  external metrology tools, which also enables fabrication of large atomically  ordered terraces.

P4-4
Emerging Technologies Poster

Growth of SiOx Nano-Pillars Using Electron Beam Induced Deposition in an Environmental SEM, Matthew Phillips, Richard Crendal, James Bishop and Milos Toth, University of Technology Sydney

SiOx nanopillars have been grown as a function of silicon substrate temperature  using tetraethyl orthosilicate (TEOS) precursor gas in an environmental SEM.  The results indicate that additional temperature dependent growth mechanisms  are possible, which are attributed to electron beam assisted thermal  dissociation of TEOS and thermally enhanced precursor surface diffusion.

P4-5
Emerging Technologies Poster

Patterned Graphene Oxide Films by a Simple Method, Huifeng Li, Hengxing Ji, Yujie Ren, Yufeng Hao, Charles Amos, Yanwu Zhu, Richard Piner, Iskandar Kholmanov and Rodney Ruoff, University of Texas at Austin

We report a simple process to rapidly fabricate patterned G-O films on  dielectric substrates without the need for dry etching and/or additional layer  transfer. The patterned film can be reduced by chemical or possibly thermal  treatments, for further studies.

P4-6
Emerging Technologies Poster

Microstructural Investigations Using Correlative Microscopy in Materials Analysis, Michelle Husain, Carl Zeiss NTS GmbH & AG

Austempered Ductile Iron (ADI) is used in combustion engines and gear box  components.For the micro and nanoscopic analysis of the structure and  precipitations, scientists typically use both light and electron microscopes.  We show results using a new interface for correlative microscopy in materials  analysis which offers an easy-to-use solution, allowing seamless integration of  these two complementary technologies for the first time.

P5-1
Extreme UV Lithography Poster

On-Machine Wavefront Evaluation of the Full-Field Extreme Ultra-Violet Lithography Exposure System, Katsura Otaki, Takehisa Yahiro, Kohei Matsumoto, Osamu Arai, Yoshiaki Kohama and Katsuhiko Murakami, Nikon Corp.

We have been evaluated an accuracy of aberration monitor for the full-field  EUVL projection optics.  By comparing the measured and predicted wavefront,  0.05 nmrs of an accuracy have been obtained.

P5-2
Extreme UV Lithography Poster

EUV-Mask Pattern Imaging by the Coherent Scatterometry Microscope, Tetsuo Harada, Masato Nakasuji, Teruhiko Kimura, Takeo Watanabe and Hiroo Kinoshita, University of Hyogo

For mask inspection and metrology, we have developed the coherent EUV  scatterometry microscope. This microscope is simple lens-less system that has  no objective. The pattern image is reconstructed with the iterative  calculation. In this paper, we demonstrate the observation result of the  various images on the EUV mask.

P5-3
Extreme UV Lithography Poster

Patterning of Poly(N-Isopropylacrylamide) Hydrogel Nano Structures Using Soft X-Ray and EUV Lithography, Kamlesh J. Suthar, Derrick C. Mancini, Chi-Chun Liu* and Paul F. Nealey*, Argonne National Laboratory, *University of Wisconsin

We have reported various fabrication methods for synthesizing and direct  patterning of hydrogels using optical, hard X-ray , and e-beam lithography. We  have sought to develop new techniques to produced hydrogel nanostructures with  high throughput by using soft x-ray and extreme ultra violate (EUV)  interference lithography.

P5-4
Extreme UV Lithography Poster

Image-Based EUVL Aberration Metrology, Germain Fenger and Bruce Smith, Rochester Institute of Technology

 

P5-5
Extreme UV Lithography Poster

Generation of Highly Coherent, Bright 13 nm Light with Phase-Matched High-Order Harmonics for Coherent Scatterometry Microscope, Yutaka Nagata, T. Harada*, H. Kinoshita*, K. Midorikawa, ASI RIKEN, *University of Hyogo

Highly spatial coherent, bright 13 nm light has been generated by phase-matched  high-order harmonics with a commercial, Table-top, sub-TW laser system.  Measured beam divergence of the 13.5 nm HHs were 0.21 and 0.17 mrad at  optimized gas density for He and Ne, respectively.

P5-6
Extreme UV Lithography Poster

Extreme Ultraviolet Interference Lithography toward 1X nm Nodes, Yuya Yamaguchi, Yasuyuki Fukushima, Tetsuo Harada, Takeo Watanabe and Hiroo Kinoshita, University of Hyogo

Exposure tool of EUV interference lithography (EUV-IL) has been developing. The  fabrication of the transmission grating is a key technology in EUV-IL.  Transmission grating patterns for 1X nodes were fabricated. And 17.5 nm L/S  resist pattern was replicated. The EUV-IL has a capability to replicate 1X nm  for the resist evaluation.

P5-7
Extreme UV Lithography Poster

Nanoparticle Modified Developers for Enhanced Dissolution Control Studied by Simultaneous Light Reflectance and Quartz Crystal Microbalance Techniques, Mark Roll and Vivek Prabhu, National Institute of Standards and Technology

We will present unified instrumental approach to investigate the pH response of  photoresists and other polyelectrolytes and demonstrate its utility by  quantifying the behavior of a series of nanoparticle based developer solutions.  These solutions potentially offer a new opportunity to control the swelling and  rate of the development process.

P5-8
Extreme UV Lithography Poster

Native Blank Defect Analysis for the Study of Printability, Hyuk Joo Kwon, Jenah Harris-Jones, Teki Ranganath, Vibhu Jindal, David Chan, Frank Goodwin, Masahiro Kishimoto*, Toshio Nakajima*, Iacopo Mochi and Kenneth A. Goldberg**, SEMATECH, *AGC Electronics America, **LBNL

This study focuses on changes in the multilayer structure due to the presence  of defects. Defect images in the AIT at different focal depths will be  correlated to TEM cross sections of the multilayer and AFM dimensions of the  defects on top of the multilayer.

P5-9
Extreme UV Lithography Poster

Advanced Metrology For Extreme Ultraviolet (EUV) Mask Blank Defect Reduction, Jenah Harris-Jones, Vibhu Jindal, C.C. Lin and Junichi Kageyama*, SEMATECH Inc., *AGC Electronics America Inc.

A top challenge for the commercialization of extreme ultraviolet (EUV)  lithography is the production of defect-free mask blanks.  This paper outlines  the rising issues with metrology of increasingly small mask blank defects.   Further, SEMATECH’s approach and existing capabilities, including a  state-of-the-art metrology toolset to analyze these defects will be  illustrated.

P6-1
Imaging and Metrology Poster

Self-Actuated Piezoresistive 512-Cantilever Arrays for Large-Area Imaging and Manipulation, Yanko Sarov, Andreas Frank, Tzvetan Ivanov, J.-P. Zoellner, Ivo W. Rangelow, M. Woszczyna*, P. Zawierucha*, T. Gotszal*k and N. Nikolov**, Ilmenau University of Technology, *Wroclaw University of Technology, **Microsystems Ltd.

Parallel operation of large Scanning Proximity Probes arrays makes possible  high rate imaging/measurement. Here, the fabrication and application of arrays  of up to 512 independently addressed, thermally driven, piezoresistive sensing  cantilevers with vertical interconnections is reported. Results of parallel  imaging with overlapping of the single scanned fields are demonstrated.

P6-2
Imaging and Metrology Poster

Characterisation of Optical Diffraction Metrology Templates for Self-Assembled Block Co-Polymers Fabricated by Nanoimprint Lithography, Timothy Kehoe, Nikolaos Kehagias and Clivia Marfa Sotomayor Torres*, Catalan Institute of Nanotechnology, *ICREA

Optical diffraction metrology has been used to characterise the dimensions of  shallow silsesquioxane structures, fabricated by a double nanoimprinting  process, for use as templates in the self-assembly of block co-polymers.   Measured diffraction intensities agree well with optical simulations and AFM  measurements.

P6-3
Imaging and Metrology Poster

Focused Ion Beam Enabled Characterization of Nanostructured Polymeric Materials, William DiNatale and Steven Kooi, Massachusetts Institute of Technology

We report the use of focused ion beam (FIB) techniques to characterize both  block copolymer samples as well as 3D structured polymeric structures produced  by multi-beam interference lithography. Ion beam induced amorphization, sample  damage and local heating effects are characterized and FIB prepared samples are  compared to cryo-microtomed samples.

P6-4
Imaging and Metrology Poster

Transmission Electron Microscopy Study of Annealed Platinum Films Made by Ion Beam Induced Deposition, Byong Chon Park, Yun Chang Park*, Kyung Jin Park*, Hwack Joo Lee and Sang Jung Ahn, Korea Research Institute of Standards and Science, *National NanoFab Center

In-situ TEM annealing experiments of the gallium ion beam deposited platinum  films on the silicon substrate was performed. We observed the vigorous Pt-Si  combination in contrast to Pt-C separation. Throughout we could anneal our  previously suggested scenario on the film formation along with the assumptions  which it bases on.

P6-5
Imaging and Metrology Poster

Detection and Characterization of Buried Structures by Exploring Patterns in Angle- and Energy- Filtered Back-Scattered Electrons, Jack Guo, Jun Ye and Fabian Pease, Stanford University

From simulations of the energy and direction of backscattered electrons  collected in a scanning electron microscope, we should be able to improve  images of structures such as copper interconnects buried in SiO2. The depth and  thickness information of the buried structure can be retrieved by exploring  patterns in electron loss spectroscopy of the angle-filtered electron  population.

P6-6
Imaging and Metrology Poster

FIB Cross-Sections for Morphological Analysis of Ni-P Hard-Mask Transformation During Plasma Etching, Clóvis Fischer, Alcinei Nunes, Alfredo Vaz, Aleksander Flacker and Stanislav Moshkalev, UNICAMP

FIB morphological analysis of fluorocarbon (FC) films grown during ICP-DRIE Si  etching over electroless Ni-P hard-mask employed in MEMS fabrication. The  formation of FC-hillocks on the Ni-P surface is probably due to increased  mobility and charging of a FC layer under energetic CxFy+ ion bombardment.

P6-7
Imaging and Metrology Poster

Scanning Transmission Ion Microscopy with the Helium Ion Microscope – Modeling and Selected Applications, Sybren Sijbrandij, John Notte, Shawn McVey, Ray Hill and Colin Sanford, Carl Zeiss NTS, LLC

The performance of the helium ion microscope, when used in transmission mode,  was studied. Computer simulations of ion transmission were mined for  performance characteristics - such as beam-spread, transmission ratio and  angular dispersion - as a function of beam energy, sample thickness and sample  material. Experimental images were also obtained.

P6-8
Imaging and Metrology Poster

Iterative Phase Recovery Using Wavelet Domain Constraints, Leili Baghaei Rad, Yuzuru Takashima, Piero Pianetta, Jianwei Miao and Fabian Pease, Stanford University

Phase recovery in x-ray diffraction microscopy is investigated here using  wavelet-based constrained low-resolution a priori information such as  large-area SEM scans.

P7-1

Scanning Proximal Probe Lithography (SPPL) with Sub-10nm Resolution on Calix[4]resorcinarene, Marcus Kaestner and Ivo W. Rangelow, Ilmenau University of Technology

In this study, we have demonstrated a maskless nanoscale lithography method  based on patterning of 10 to 50nm thick spin-coated molecular resist material,  especially calix[4]resorcinarene, by extreme spatial confined  electric-field-induced interactions.

P7-2

Multi Column Cell Writer Architecture and a Correction Technique for Consistent CD Uniformity Between Column Cells, Masaki Kurokawa, Hideaki Isobe, Tatsuro Ohkawa, Masahiro Takizawa and Akio Yamada

We will report that the CD-control for multi column system is possible by a  simple dosage modulation technique like a method for single-column tools, which  was evaluated in the MCC-Proof of Concept (POC) tool consisted of 4-Column Cell  (CC).

P7-3

Solid-Immersion Interference Lithography Using a Lloyd’s Mirror, Prateek Mehrotra and Richard Blaikie, University of Canterbury

We describe a cost-effective technique to perform ultra high-NA interference  lithography based on the Lloyd’s mirror that requires only the use of a high  index prism, index matching liquid and a coherent laser source. The method  eliminates the need for beam splitters, beam blocks and some steering optics.

P7-4

Focused Beam Induced Etching - Making the Right Choice Between Ions and Electrons, Wanzenboeck Heinz, Rödiger Peter, Waid Simon, Hochleitner Gottfried and Emmerich Bertagnolli, Vienna University of Technology

Gas assisted etching with a focused particle beam is popular as maskless  direct-write lithography. An overview of etching with ion beams and electron  beams is given. Advantages and limitations with regard to crystallinity,  roughness and etch rate is addressed. A highly-controllable process to etch Si  and Ge will be described.

P8-1
Micro- and Nano-photonics, Plasmonics Poster

Invisibility at Visible Frequency Using Carbon Nanotube Carpet, Haofei Shi, Jong G. Ok, Hyoung Won Baac and L. Jay Guo, The University of Michigan

We show a perfect absorption ground plane cloak that works at visible  frequency. Such homogeneous perfect absorption carpet is made of low density  carbon nanotube "forest", and invisibility has been observed by naked eyes for  unpolarized light at entire visible frequency with cloaking area 100 times  larger than a wavelength.

P8-2
Micro- and Nano-photonics, Plasmonics Poster

Equivalent Chirped Bragg Gratings on SOI Using Optical Lithography, Jie Sun, Henry Smith and Minghao Qi, Massachusetts Institute of Technology

Using the proposed Sampled-Bragg-Grating (SBG) technique, a chirped Bragg  grating with apodization is modeled, fabricated, and characterized. The SBG  technique greatly relaxes the pattern-placement accuracy required in making  chirped grating.

P8-3
Micro- and Nano-photonics, Plasmonics Poster

Use of Nanoimprint Lithography to Prepare Metallic Corrugated Structure Exhibiting Ultrasensitive Refractive Index Sensing, Chen-Chieh Yu, Hsuen-Li Chen, Kuan-Hung Ho, Shang-Yu Chuang and Shao-Chin Tseng, National Taiwan University

In this study, we prepare a metallic corrugated structure for application as  ultrasensitive refractive index sensor. Relying on nanoimprint lithography, the  fabrication of metallic corrugated structure was achieved.The plasmonic  characteristics of these metallic corrugated structures were fully  discussed.This plasmonic sensor has the potential on chemical and biological  sensors.

P8-4
Micro- and Nano-photonics, Plasmonics Poster

Perfect Matching of Experimental and Simulated Optical Responses of Metallic Nanostructures Obtained Through the Use of Correct Refractive Index, Janne Laukkanen, Anni Lehmuskero, Jari Turunen and Benfeng Bai*, University of Eastern Finland, *Tsinghua University

In our recent studies we have found that the most influential factor in the  matching of the experimental and simulated responses of metallic nanostructures  is the use of correct refractive index. Therefore almost perfect matching of  experimental and simulated results have been acchieved.

P8-5
Micro- and Nano-photonics, Plasmonics Poster

Large Area 3D Helical Photonic Crystals, Alex Raub and Steven Brueck, University of New Mexico

A novel IL technique utilizing six separate two-beam exposures for fabricating  3D helical photonic crystals is presented.  Both mathematical models and  experimentally realized 3D helical photonic crystals are presented.  Optical  FTIR measurements with the transmission and reflection curves for various  polarizations of incident light will be presented.

P8-6
Micro- and Nano-photonics, Plasmonics Poster

Flexible PDMS Support Layers for the Evanescent Characterization of Near-Field Lithography Systems, Ciaran Moore and Richard Blaikie, University of Canterbury

Silver-based 'superlenses' are predicted to offer greatly improved resolution,  but are difficult to implement due to the requirement of intimate contact  between mask, lens and resist.        We present a technique to improve contact  without jeopardizing components and explain how the resulting experimental data  can be compared to analytical models.

P8-7
Micro- and Nano-photonics, Plasmonics Poster

Micro-Fabrication of Terahertz Metamaterial Absorbers, James Grant, Yong Ma, Lai Bun Lok, Shimul Saha, Ata Khalid and David Cumming, University of Glasgow

We present a polarisation insensitive resonant metamaterial absorber in the THz  region. An experimental absorption of 77% and 65% at 2.12 THz (in the operating  frequency range of THz QCLs) is observed for a spacer of polyimide or silicon  dioxide respectively.

P8-8
Micro- and Nano-photonics, Plasmonics Poster

Fabrication of Digital Planar Holograms for Brighter Power Laser Diodes, Christophe Peroz, Alexander Goltsov*, Scott Dhuey**, Igor Ivonin*, Bruce Harteneck**, Stefano Cabrini**, Sergey Babin and Vladimir Yankov*, aBeam Technologies, *Nano-Optic Devices, **The Molecular Foundry

We demonstrate for the first time the enhancement of the brightness and power  of commercial laser diodes by combination with digital planar holograms. It  opens a new route for high power laser diodes.

P8-9
Micro- and Nano-photonics, Plasmonics Poster

The Fabrication of Shallow Co-axial P-N Junctions on Silicon Micro/Nanopillars for Solar Cell Applications, Heim Kirin Grewal, Ta-Chun Lin, Marion Ore De Boddy, Logeeswaran VJ, M. Saif Islam and Jack Skinner*, University of California, Davis, *Sandia National Labs

We detail the concept and process flow for fabricating vertical nanopillar  solar cells with the core-shell and/or axial shallow p-n junctions formed using  spin-on-dopant (SOD) solutions. Two commonly used doping mechanisms, contact  and proximity doping, were done in a thermal furnace. Our process could easily  be a high throughput process.

P8-10
Micro- and Nano-photonics, Plasmonics Poster

Metallic Color Filtering Arrays Manufactured by Nanoimprint Lithography, Stefan Landis, Pierre Brianceau, Nicolas Chaix and Yohan Désières, CEA-LETI

In this work sub wavelength arrays of sub wavelength cross were etched in an  aluminium membrane to manufacture metallic color filters. Design, manufacturing  processes as well as morphological and optical characterizations will be  presented

P8-11
Micro- and Nano-photonics, Plasmonics Poster

Tunable Waveguide-Plasmon Coupling in Silicon-Nitride Photonic Crystal Slabs with Double-coated Silver Films, Jia-Hong Shyu, Yu-Chun Lin, Neil Ou, Huang-Ming Lee and Jong-Ching Wu, National Changhua University of Education

In this letter, we demonstrate the waveguide-plasmon coupling in the  silicon-nitride photonic crystal slab with double-coated 20 nm silver films and  show a controllable coupling by modulating the lattice constant of the  perforated hole-array.

P8-12
Micro- and Nano-photonics, Plasmonics Poster

A Gradually Shifted Surface Plasmon Resonance with a Controlled Diameter of a Nano-Hole Structure by Self-Assembly Technique, takamitsu takagi, Kazuma Kurihara, Koichi Awazu, Reo Kometani, Shin'ichi Warisawa and Sunao Ishihara, The University of Tokyo

We propose to fabricate a new type of a surface plasmon resonance (SPR) device.  For realizing such devices, we controlled a diameter of a nano-hole structure  by self-assembly technique using mixed block copolymers. And SPR wavelength  shift can be controlled by the nano-hole structure with the controlled  diameter.

P8-13
Micro- and Nano-photonics, Plasmonics Poster

Investigation of Surface Plasmons Coupling via Guided Modes in Metal/Dielectric/Metal Photonic Crystal Slabs, Neil Ou, Hunag-Ming Lee, Jia-Hong Shyu and Jong-Ching Wu, National Changhua University of Education

In this study, the (EOT) phenomena through this metal/dielectric/metal PCS  structure were observed in the optical transmission spectra. In addition, an  evidence of the surface plasmons coupling via the guided mode resonance at this  metal/dielectric/metal PCS is resolved.

P8-14
Micro- and Nano-photonics, Plasmonics Poster

Coupling of Surface Plasmons in Au Nanorings with Subwavelength Holes Array, Huang-Ming Lee, Niel Ou, Jia-Hong Shyu, Lance Horng and Jong-Ching Wu, National Changhua University of Education

Two characteristic dips were found in which one is from guided mode resonance  and the other one is due to the localized surface plasmon resonance. It show  that the the coupling resonances can be tuned by means of the geometries of the  Au  nanorings and the holes array.

P8-15
Micro- and Nano-photonics, Plasmonics Poster

Nanofabrication of Photonic Crystal-Based Devices Using Electron Beam Spot Lithography Technique, Aju Jugessur, Mariya Yagnyukova and J. Stewart Aitchison, University of Toronto

Photonic crystal-based devices are fabricated via the Spot Lithography  technique using electron beam lithography and reactive ion etching. This  technique produces uniform, submicron and nanometre scale periodic holes over  large areas in the millimetre range and the write time is a factor of 200 less  than the conventional technique.

P8-16
Micro- and Nano-photonics, Plasmonics Poster

Coupled Planar-Localized Surface Plasmon Resonance Device by Block-Copolymer and Nanoimprint Lithography Fabrication Methods, Chu Yeu Peter Yang, Elaine Lai yang, Chip A. Steinhaus, Charlie C. Liu*, Paul F. Nealey* and Jack L. Skinner, Sandia National Laboratories, *University of Wisconsin-Madison

This report investigates the coupling of surface plasmon resonances (SPRs) and  localized surface plasmon resonances (LSPRs), which is predicted to exhibit  enhanced spectral features.  Au nanoparticles were fabricated via three  methods, and an Al grating was fabricated via nanoimprint lithography to create  the coupled SPR and LSPR device.

P8-17
Micro- and Nano-photonics, Plasmonics Poster

Plasmonic Nanopillar Arrays for Enhanced Biosensing, Spectroscopy and Optical Trapping, Arif Engin Cetin, Ahmet Ali Yanik, Hatice Altug, Cihan Yilmaz*, Sivasubramanian Somu* and Ahmed Busnaina*, Boston University, *Northeastern University

We propose surface plasmon polariton driven plasmonic gold nanopillar-array  system for biosensing, nanospectroscopy and optical trapping. The structure  exhibits high refractive index sensitivities, nearfield resolution and optical  gradient force.

P8-18
Micro- and Nano-photonics, Plasmonics Poster

Fabrication of Plasmonic Nano-Pore Array for Nanobio Sensor, Kyung Jin Park, Seong Soo Choi*, Myoung Jin Park**, Nam Kyoo Park*** and Daisik Kim***, National Nanofabrication Center, *SunMoon University, **Korea Military Academy, ***Seoul National University

The plasmonic nanosize aperture array has drawn huge interests for biomolecule  sensor.  In order to obtain the aperture diameter of ~10 nm, 20 keV energy  electron beam is exposed. The fabricated  plasmonic nanosize aperture  array  can be utilized as nano-bio sensor

P9-1
Micro- and Nano-mechanics Poster

Tantalum Electro-Mechanical Systems For Low Frequency Sensing In Biomimetical Applications, Rhonira Latif, Enrico Mastropaolo, Thomas Koickal, Alister Hamilton, Rebecca Cheung, Michael Newton* and Leslie Smith*, The University of Edinburgh, *University of Stirling

A model for the human cochlea based on the sensing of acoustic pressure with  resonant gate transistors is presented. The design, fabrication and  characterization of suspended tantalum MEMS gates with lengths in the range 500  μm – 1.6 mm and operating in a frequency range 20 Hz – 20 kHz is reported.

P9-2
Micro- and Nano-mechanics Poster

Design of Micro-scale Transmission Light Valve Arrays, Li Jiang and Martin Feldman*, Tuskegee University, *Louisiana State University

A two-dimensional array of micro-scale light valves is described in which light  is modulated at each pixel. The light is transmitted through the array. This  function is important for certain instruments, such as the near infrared  spectrometer to be carried on the James Webb Space Telescope.

P9-3
Micro- and Nano-mechanics Poster

Quality Factor Enhancement on Nano Mechanical Resonators Utilizing Stiction Phenomena, Hiroki Ashiba, Reo Kometani, Shin'ichi Warisawa and Sunao Ishihara, The University of Tokyo

A stress-applied nano mechanical resonator was fabricated utilizing stiction  phenomena in a wet etching process. The fabrication was carried out using  focused-ion-beam chemical vapor deposition (FIB-CVD) and tetramethyl-ammonium  hydroxide (TMAH) wet etching. Vibration characteristics of the stiction  resonators were evaluated and quality factor enhancement on the resonators was  observed.

P9-4
Micro- and Nano-mechanics Poster

Process-Structure-Property Relationship of Nanocrystalline Vanadium Oxide Thin Films Used in Uncooled Infrared Focal Plane Arrays, Bryan Gauntt, Jing Li, Srowthi Bharadwaja, Nikolas Podraza, Orlando Carbacos, Chandru Venkatasubramanian, Nickolas Fieldhouse, Myung Yoon Lee, S. Ashok, Tom Jackson and Mark Horn, The Pennsylvania State University

Uncooled infrared imaging with MEMS microbolometers focal plane arrays is  critical for military and civilian night vision applications.  This paper  summarizes of the critical process-structure-property relationship for vanadium  oxide thin films deposited by reactive magnetron sputter deposition including  resistivity, temperature coefficient of resistance and inherent 1/f noise  properties.

P9-5
Micro- and Nano-mechanics Poster

Annealing Dependence of Deposit Morphology for Fe-Ga Contained DLC Film Formed by FIB-CVD with Ferrocene Source Gas, Yasuki Nakai, Kang Yuji, Makoto Okada, Yuichi Haruyama, Kazuhiro Knada, Testuya Ichiahshi* and S. Matsui, The University of Hyogo, *NEC Corporation

We formed Fe-Ga contained DLC film by FIB-CVD with the ferrocene (C10H10Fe) as  a source gas and evaluated the annealing effect. As the result, the Fe removed  from the Fe-Ga contained DLC by annealing treatment.

P9-6
Micro- and Nano-mechanics Poster

Dynamic Characteristics Control of DLC Nano-Resonator Fabricated by Focused-Ion-Beam Chemical Vapor Deposition, Reo Kometani, Shunjiro Nishi, Shin'ichi Warisawa and Sunao Ishihara, The University of Tokyo

The vibration characteristics-tunable resonator made of diamond-like carbon was  fabricated by focused-ion-beam chemical vapor deposition and wet-etching  process. As a result, DLC resonator had the extremely large tuning ratio of  approximately 690 %. Functional dynamic characteristics of the  electrically-tunable DLC resonator will be reported in detail.

P9-7
Micro- and Nano-mechanics Poster

Ultrafast Cantilever for High Speed Scanning Force Microscopy, Thomas Michels, Yanko Sarov, Tzvetan Ivanov and Ivo W. Rangelow, University of Technology Ilmenau

Here we will briefly present the design and fabrication of a high resonance  frequency cantilever with out- of- plane tip. The cantilever contains both an  integrated piezoresistive silicon sensor and an integrated bimorph actuator.

P9-8
Micro- and Nano-mechanics Poster

MEMS Process Compatibility of Multiwall Carbon Nanotubes, Eugene Cook and David Carter, The Charles Stark Draper Laboratory

Compatibility of carbon nanotubes with a suite of common MEMS processes is determined by comparing SEM and high-resolution TEM imagery of a specific individual nanotube before and after processing. SEM and TEM provide assessments of massive material removal, and more subtle atomic-scale damage, respectively.

P10-1
Microfuidics, Nanobiology and Cell Guidance Poster

EWOD-Based Droplet Actuation by Active-Matrix Electrode Array, Dehu Cui, Muchuan Yang, Paul Miller, Kamran Entesari and Xing Cheng, Texas A&M University

We will present the design, the fabrication and the initial testing of a  prototype 2D active-matrix electrode array for EWOD-based droplet actuation.  The simple implementation and the diverse capability of the 2D active-matrix  electrode array allow the droplet-based microfluidics to be used in various  bioengineering and biomedical engineering applications.

P10-2
Microfuidics, Nanobiology and Cell Guidance Poster

Development of On-Chip Micro Vacuum System with Gas-Liquid Phase Transition, Kiyotaka Sugiyama, Yoshiaki Ukita and Yuzuru Takamura, Japan Advanced Institute of Science and Technology

We propose miniaturized vacuum system which utilized gas-liquid phase  transition in the fabricated quartz glass chip with on-chip pressure  measurement. Performance of the micro phase transition pump was showed that  maximum evacuation was 0.50atm with about 50℃ temperature difference by using  the optical pressure measurement.

P10-3
Microfuidics, Nanobiology and Cell Guidance Poster

Optimized Multiplexed Cell Capture Using Parallel Bioactivated Microfluidic Channels, Mehdi Javanmard, Farbod Babrzadeh and Ronald Davis, Stanford University

We have developed a microfluidic platform capable of label free capture and  detection of pathogenic bacteria.  Here we demonstrate an approach which gives  the advantages of microfluidics, while allowing for handling of milliliter  sized samples.

P10-4
Microfuidics, Nanobiology and Cell Guidance Poster

The Influence of Surface Patterning on Bacterial Growth Behavior, Peter Nill, Nadine Goehring, Andreas Peschel and Dieter P. Kern, Eberhard-Karls University

We use lithographic processes to fabricate templates for the replication of  poly(dimethyl)siloxane substrates with well-defined surface patterns. The  influence of these surfaces on microbial growth behavior is then investigated  by observing the resulting growth patterns of bacterial colonies by  fluorescence microscopy and scanning electron microscopy.

P10-5
Microfuidics, Nanobiology and Cell Guidance Poster

Photo Nanoimprint Lithography of Biological Samples Defined by Microfabricated PDMS Stencils, Lynn Murray, Volker Nock, Maan Alkaisi and John Evans*, University of Canterbury, *University of Otago

Bioimprint permanently replicates cell features into a methacrylate copolymer  which can subsequently be used as a cell culture substrate. The proposed work  aims to analyze cell adhesion and proliferation rates on Bioimprinted  substrates by confining regions of cell growth via micropatterned PDMS  stencils.

P10-6
Microfuidics, Nanobiology and Cell Guidance Poster

Suspended, Micron-scale Corner Cube Retroreflectors as Ultra-bright Optical Labels, Tim Sherlock, Azeem Nasrullah, Julia Litvinov, Eliedonna Cacao, Jennifer Knoop, Paul Ruchhoeft and Richard Willson, University of Houston

We are developing suspended micro-retroreflectors as ultra-bright labels for  use in diagnostics. The five micron, transparent cubes are fabricated on planar  substrates, coated with metal on three sides, and suspended into solution,  where they appear bright and are easy to detect using low-cost optics.

P10-7
Microfuidics, Nanobiology and Cell Guidance Poster

Fabrication and Characterization of Metal Electrode Embedded Nanopore Device, Min-Hyun Lee, Hyun-Mi Kim and Ki-Bum Kim, Seoul National University

A metal embedded nanopore device was succesfully fabricated with e-beam  lithography, reactive ion etching and atomic layer deposition which provided us  high throughput and desired dimension on various metal. The relationship  between the ionic conductance and the diameter was differenet in microsize and  nanosize by Debye screening effect.

P10-8
Microfuidics, Nanobiology and Cell Guidance Poster

Chip Scale Focussed Electron Beam Induced Etching of a Silicon Nitride Membrane with Unique Beam Writing Strategies., Kevin Burcham, Joel Fridmann, Joe Klingfus, Jason Sanabia, Michael Kahl* and Frank Nouvertne*, Raith USA, *Raith GmbH

We present our work using FEBIP processes to etch nanopores within a silicon  nitride membrane.  We utilize an electron beam rastered in concentric rings  rather than a position fixed beam to reduce charging of the membrane and the  effects of gas depletion in the etched region.

P10-9
Microfuidics, Nanobiology and Cell Guidance Poster

Tip Based Lithography for Biocompatible Materials, Saju Nettikadan, Alexander Smetana, Ruby Lam, Jae-Won Jang and John Collins, NanoInk Inc.

Tip based lithography is a powerful platform capable of creating high-quality  patterns of biocompatible materials at tens of nanometers to several microns.  The advantages of this novel technique include working at ambient conditions on  laboratory benches under biological friendly conditions.

P11-1
Nanoelectronics Poster

Novel method for Fabrication of Nanoscale Single-Electron Transistors: Electron Beam Induced Deposition of Pt and Atomic Layer Deposition of Tunnel Barriers, Hubert C. George, Tatyana A. Orlova, Alexei O. Orlov and Gregory L. Snider, University of Notre Dame

We propose and demonstrate a novel method for fabricating metal-based  single-electron transistors (SETs) that combines a nanoscale island produced by  electron beam induced deposition (EBID) of metal with a tunnel barrier  dielectric produced by atomic layer deposition (ALD).

P11-2
Nanoelectronics Poster

Resistive Switching Characteristics of Hafnium Oxide Nano-Films on Flexible Plastic Substrates, Yong Han, Kyoungah Cho And Sangsig Kim, Korea University

Flexible resistive random-access memories (ReRAM) have come to the forefront as  emerging devices suitable for wearable devices. In this study, we fabricate  ReRAM devices based on hafnium oxide (HfOx) nano-films sputtered on flexible  plastic substrates and investigate the flexible memory characteristics.

P11-3
Nanoelectronics Poster

Fabrication of Hybrid Silicon/Metal Island Single Electron Transistors, Yen-Chun Lee, Alexei Orlov and Gregory Snider, University of Notre Dame

We report the results of a new process variation from [1]: replacing the  silicon island with aluminum, to reduce or even eliminate the doping effects in  Si-SETs

P11-4
Nanoelectronics Poster

Dehydration Assisted Nanoimprint Of PEDOT:PSS Nanogratings To Improve Organic Photovoltaics, Yi Yang, Koyau Lee, Kamil Mielczarek, Walter Hu and Anvar Zakhidov, The University of Texas at Dallas

PEDOT:PSS nanogratings are fabricated by a dehydration assisted nanoimprint  technique, resuling in high quality nanogratings of 60 nm in height, 70 nm in  width, and 70 nm in spacing. PEDOT:PSS nanogratings are used as hole transport  layer in blended P3HT:PCBM organic photovoltaic devices, showing improved  efficiency compared to non-patterned devices.

P11-5
Nanoelectronics Poster

Memory Characteristics of MOS Capacitors With Pt-Nanoparticles-Embedded Gate Layers, Sungsu Kim, Kyoungah Cho and Sangsig Kim, Korea University

room-temperature processable fabrication for nonvolatile memory devices has  attracted considerable attention since plastic based devices considered as one  of promising next-generation devices are vulnerable to heat. Therefore, in this  study, we propose new room-temperature processable fabrication for NFGM by  utilizing Pt nanoparticles sputtered in system with a cooling unit.

P11-6
Nanoelectronics Poster

Nanofabrication of High Aspect Ratio Nanoscale TSVs, Ashish Dembla, Devin K Brown and Muhannad S Bakir, Georgia Institute of Technology

Nanoscale TSVs can potentially enable the emerging high bandwidth and high  performance systems as well as the low capacitance interconnects needed for  nanophotonic integration. An attempt to fabricate these TSVs is shown in this  work.  By performing electrical and reliability measurements, the fabricated  TSVs can provide valuable data to validate the scalable models.

P11-7
Nanoelectronics Poster

Double-Surrounding-Gate MOSFET: Enabling Robust Process Control at Deep Nanoscale, Yijian Chen, Peking University

Manufacturing issues of multiple-gate MOSFETs are discussed and a  double-surrounding-gate(DSG) MOSFET is proposed to solve these fabrication  challenges. A DSG MOSFET allows higher transistor density, improved immunity to  CD variation, thin and uniform body patterning with the spacer technology, and  excellent gate control. The analytic solution to nonlinear Poisson’s equation  is obtained and applied to analyze the DSG device performance.

P12-1
Nanoimprint Lithography Poster

Metal-Assisted Chemical Etching of Si for Fabrication of Nanoimprint Stamps, Ksenia Anokhina, Mariusz Graczyk, Anders Kvennefors, Lars Montelius, Ivan Maximov and Håkan Pettersson*, Lund University, *Halmstad University

We demonstrate new method of fabrication of nanoimprint stamps using newly  developed metal-assisted chemical etching (MaCE) technique of Si. The stamps  are made by MaCE using Hf:H2O2:H2O (4:1:40) with Au as catalyst. High aspect  ratio NIL stamps with nano-features are demonstrated.

P12-2
Nanoimprint Lithography Poster

Molecular Dynamics Study on Polymer Filling Process in Nanoimprint Lithography for Multi-Layered Resist, Kosei Araki, Akira Horiba, Masaaki Yasuda, Hiroaki Kawata and Yoshihiko Hirai, Osaka Prefecture University

The resist filling process in nanoimprint lithography for multi-layered resist  is studied with a molecular dynamics simulation. The required press force to  fill the mold cavity and the filling ratio of the resist in the cavity are  evaluated with the simulation.

P12-3
Nanoimprint Lithography Poster

Mass Fabrication of Resistive Random Access Crossbar Array by UV-NIL, Ki-don Kim, Sungho Kim*, Dae-geun Choi, Ji-hye Lee, Yang-kyu Choi*, Ki-joong Lee, Jun-ho Jeong and Eung-sug Lee, KIMM, *KAIST

UV-NIL is used to fabricate high-density random access crossbar arrays based on  a NiO resistive switching system. The high off/on resistance ratio (>104) and  reproducible resistance switching characteristics for each active cell were  found in different fields and for different wafers.

P12-4
Nanoimprint Lithography Poster

Deterioration Evaluation of Release Coated Surface for Nanoimprint by Macro Optical Inspection Method, Jun Taniguchi, Junki Takahashi, Mitsuru Uda*, Atsushi Kohayase* and Kenichi Kotaki*, Tokyo University of Science, *SmicS Co. Ltd.

Inspection method of deterioration of release coated mold surface has been  developed by macro optical inspection method. Macro inspection method reveals  that thin release layer behavior during UV-NIL process and deterioration of  release layer and release layer undulation.

P12-5
Nanoimprint Lithography Poster

Solar Energy Harvesting Photonic Color Filters, Hui Joon Park, Ting Xu and L. Jay Guo, The University of Michigan

We develop energy-generating photonic color filters, which are capable of  filtering white light into individual colors, and simultaneously harvest the  absorbed light to generate electrical power. Our dual-function devices are  based on photonic nanostructures with metallic nanogratings, fabricated by  nanoimprint lithography-based processes, and organic photovoltaic cell  structure for power generation.

P12-6
Nanoimprint Lithography Poster

Low Temperature Thermal Imprint via Frequency Assistance, Andre Mayer, Saskia Moellenbeck, Khalid Dhima and Hella-Christin Scheer, University of Wuppertal

Frequency assisted imprint is performed by applying a dynamic, sinusoidal force  of 1 kN superimposed to a static force of 4 kN by means of piezo translators.  At temperatures  around the glass transition of the imprinted polymer (PS) the  frequency assisted imprint is able to provide an appropriate imprint depth.

P12-7
Nanoimprint Lithography Poster

Hybrid Tri-Layer Stamps for Step and Repeat Imprint Lithography, Gerald Kreindl, Dominik Treiblmayr, Thomas Glinsner and Ron Miller, EVGroup

Hybrid, tri-layer stamps for step-and repeat imprint lithography as proposed in  this work address major limitations like maximum die area, uniformity on non  CMOS grade substrates using hard quartz templates and still maintain advantages  of step and repeat technology in resolution and overlay alignment accuracy.

P12-8
Nanoimprint Lithography Poster

Anisotropic Filling Phenomenon of Trenches in UV Nanoimprint, Qing Wang and Hiroshi Hiroshima, AIST

The filling process directly affects the pattern transfer fidelity and the  process throughput in nanoimprint. It is well reported the resist isotropically  flows into the cavities of a mold from all directions under the imprint  pressure. In this paper, we report an anisotropic filling phenomenon of  trenches in UV nanoimprint.

P12-9
Nanoimprint Lithography Poster

Room-Temperature Nanoimprint Using Spin-Coated HSQ with High Boiling -Point Solvent, Yuji Kang, S. Omoto, M. Okada, Y. Haruyama and S. Matsui, University of Hyogo

The HSQ film with uniform thickness is achieved by spin-coating. However, it is  required a high imprinting pressure compared to casting method. To achieve low  imprinting pressure in spin-coating method, in this study, we propose a new  RT-NIL with low pressure using spin-coated HSQ with high boiling-point solvent.

P12-10
Nanoimprint Lithography Poster

Evaluation of Effect of Fluorine Additive Agent for Cationic UV-Nanoimprint Resin, Makoto Okada, Hiroto Miyake*, Syuso Iyoshi*, Takao Yukawa*, Hidekazu Takeuchi*, Yuichi Haruyama and Shinji Matsui, University of Hyogo, *Daicel Chemical Industries Ltd.

UV nanoimprint resin with release properties is required to mass-produce the  nanostructure devices by UV nanoimprinting. In this study, we evaluated the  effect of the fluorine additive agent for cationic-UV nanoimprint resin by  X-ray photoelectron spectroscopy (XPS), contact angle measurement, and scanning  probe microscopy (SPM).

P12-11
Nanoimprint Lithography Poster

Fabrication of the Seamless Roll Mold Using Inorganic Electron Beam Resist with Post Exposure Bake, Noriyuki Unno, Jun Taniguchi and Kiyoshi Ishikawa*, Tokyo University of Science, *Tokyo Ohka Kogyo Co. Ltd.

In this study, we examined a fabrication method of seamless roll mold using  inorganic electron beam resist and post exposure bake (PEB). Using the obtained  roll mold, as a result, a nano-scale L&S pattern was obtained over 10 cm  seamlessly with roll to roll nanoimprint.

P12-12
Nanoimprint Lithography Poster

Replication Of Undercut Trenches for Water Based Lift-Off Process by Residue-Free UV-NIL, Christoph Nowak, Christian Moormann, Thomas Mollenhauer, Florian Schlachter, Heinrich Kurz, Barbara Stadlober*, Alexander Fian* and Herbert Gold*, AMO GmbH, *Joanneum Research Forschungsgesellschaft mbH

Herein we report on a time and cost saving uv nanoimprint process using  elastomeric stamp to replicate undercut trenches residual free for a water  based lift-off process in a single layer resist. This opens up new exciting  possibilities for mass production in polymer electronics. Potentials and limits  will be discussed.

P12-13
Nanoimprint Lithography Poster

Impact of Hydrofluoroether on Contact Force of Thermal Nanoimprint, Harutaka Mekaru and Hiroshi Hiroshima, National Institute of Advanced Industrial Science and Technology

We propose a technique to minimize unfilling areas using hydrofluoroether with  low boiling point to achieve thermal nanoimprint at a low contact force. This  technique enabled the transfer of 100 nm wide line/space patterns on the  surface of engineering plastic sheets at, or below, the conventional contact  force of 1/3.

P12-14
Nanoimprint Lithography Poster

Fabrication of Complex Nanostructures of P(VDF-TrFE) by Dual Step Hot-embossing, Juanjuan Wen, Zhenkui Shen, Yifang Chen* and Ran Liu, Fudan University, * Rutherford Appleton Laboratory

In this work, a complex 3D nanostructure is successfully fabricated in the  P(VDF-TrFE) ferroelectric thin film by dual step hot-embossing with excellent  ferroelectric and piezoelectric properties.

P12-15
Nanoimprint Lithography Poster

Soft Patterning on Cylindrical Surface of Plastic Optical Fiber by Sliding Roller-Imprinting, Harutaka Mekaru, Hideki Takagi, Akihiro Ohtomo*, Mitsunori Kokubo* and Hiroshi Goto*, National Institute of Advanced Industrial Science and Technology, *Toshiba Machine Co. Ltd.

We developed a sliding roller-imprint method to fabricate microstructures on  the surface of plastic optical fiber (POF) without damaging it. To demonstrate  this technology, we transferred diffraction grating structures with their  linewidths of 1 or 2 um from plane electroformed-Ni molds to the cylindrical  surface of a 250-um-diameter POF CK-10.

P12-16
Nanoimprint Lithography Poster

Viscoelastic Properties Measurements of Thin Polymer Films from Reflow of Nanoimprinted Patterns, Etienne Rognin, Stefan Landis and Laurent Davoust*, CEA-LETI Minatec, *CNRS Laboratoire SIMAP/EPM

We describe in this paper a fast and cost-effective method to measure the  viscoelastic properties of a thin polymer film from the reflow of nanoimprinted  patterns.

P12-17
Nanoimprint Lithography Poster

Fabrication of Nanostructures on Double-Curved PMMA Surfaces by Thermal Imprint with PDMS Stamp, Alexander Bruun Christiansen, Jiri Cech, Anders Kristensen and Rafael Taboryski, Technical University of Denmark

We present a method for imprinting nanostructures in double-curved PMMA  surfaces, using an elastic PDMS stamp and a hydrostatic press. Features of  sizes down to 420 nm are imprinted on substrates with 1 mm radius of curvature.  Results show a strain of 20% in the stamp during imprint.

P12-18
Nanoimprint Lithography Poster

Shrinkage Pattern Correction (SPC) in Nanoimprint Lithography, Akira Horiba, Ryosuke Suzuki, Masaaki Yasuda, Hiroaki Kawata and Yoshihiko Hirai, Osaka Prefecture University

Resist shrinkage must course in nanoimprint lithography (NIL) and they will  induce critical dimension errors.  To eliminate the critical dimension errors,  we estimate the critical dimension errors based on simulation study and  proposes correction functions for the mold pattern width in NIL.

P12-19
Nanoimprint Lithography Poster

The Effect of Cylindrically Inflated Substrate to Improve the Pressure Distribution in UV Nanoimprint, Geehong Kim, Hyungjun Lim, Jaejong Lee and Keebong Choi, Korea Insitute of Machinery and Materials

This paper shows a new method to induce uniform pressure over the imprinting  field with low pressure. The system uses flexible polycarbonate film which will  be inflated by air cylindrically, and we attatch a PDMS replica on it. This  paper shows the imprinted PUA pattern.

P12-20
Nanoimprint Lithography Poster

High Aspect Ratio Fine Pattern Transfer Using Novel Mold by Nanoimprint Lithography, Junji Sakamoto, Norihiro Fujikawa, Kohei Tomohiro, Hiroaki Kawata, Masaaki Yasuda and Yoshihiko Hirai, Osaka Prefecture University

In this report Si mold with very fine trench pattern is fabricated by use of  new edge lithography process. And its pattern is transferred to PMMA film on Si  wafer by thermal NIL.

P12-21
Nanoimprint Lithography Poster

Novel Nanoimprint Lithography Using Dispersed Molecular Weights, Naoki Nishikura, Akira Horiba, Kousuke Araki, Junji Sakamoto, Masaaki Yasuda, Hiroaki Kawata, Yukio Nishimura* and Yoshihiko Hirai, Osaka Prefecture University, *JSR Corporation

We newly propose dispersed molecular weights resist system, which consists of  mixture of multiple molecular weight polymers to realize low pressured  imprinting process for high aspect ratio patterns. Smaller Mw polymer is  expected to work as a filling component and larger Mw polymer as a base  component to keep mechanical strength.

P12-22
Nanoimprint Lithography Poster

Evaluation of PDMS Thin Layer as Antisticking Layer for UV Nanoimprinting, Makoto Okada, Yuichi Haruyama, Kazuhiro Kanda and Shinji Matsui, University of Hyogo

In this study, we evaluated the characteristics of the PDMS thin layer as the  antisticking layer and examined the durability of this layer by step and repeat  (S&R) UV nanoimprinting.

P12-23
Nanoimprint Lithography Poster

Evaluation of SiOx Containing UV Nanoimprint Resin, Shinya Omoto, Makoto Okada, Kang Yuji, Yasuki Nakai, Yuichi Haruyama, Hideki Umekawa* and Shinji Matsui, University of Hyogo, *TOKUYAMA Co.

we synthesized SiOx containing UV curable resin by adding SiOx component to  organic UV curable resin in order to improve the dry etching durability. In  this study, we examined the comparison of characteristics between organic UV  curable resin and SiOx containing UV curable resin.

P12-24
Nanoimprint Lithography Poster

Effect Evaluation of Pentafluoropropane Gas for UV Nanoimprint Resin By Using Contact Angle Meter, Mika Chinen, Makoto Okada, Yuichi Haruyama, Hiroshi Hiroshima* and Shinji Matsui, University of Hyogo, *National Institute of Advanced Industrial Science and Technology

We evaluated the effect of PFP gas for UV-nanoimprint resin by sliding angle  measurement. The sliding speed under PFP gas ambient was faster than that under  air. This result indicates that the dynamic wettability of the UV-nanoimprint  resin for the antisticking layer was improved by using PFP gas.

P12-25
Nanoimprint Lithography Poster

Adhesive Forces of Fluorinated Silica Surfaces Affected by Surface Coverage of Tridecafluoro-1,1,2,2-Tetrahydrooctyltrimethoxysilane, Ayako Endo, Kei Kobayashi and Masaru Nakagawa, Tohoku University

In this study, we revealed relationships of the surface coverage of  tridecafluoro-1,1,2,2-tetrahydrooctyltrimethoxysilane (FAS13) on a silica  surface with adhesive forces on repeated resin detachment.  The low surface  coverage caused increasing adhesive forces and enlarged the standard deviation  owing to an increased of resin adsorption to fluorinated silica surfaces.

P12-26
Nanoimprint Lithography Poster

Fabrication of Silicon Template With Smooth Tapered Side Wall for Nanoimprint Lithography, Jian He, Karola Richter, J. W. Bartha and Steffen Howitz*, Technical University of Dresden, *Gesellschaft fuer Silizium-Mikrosysteme mbH

We developed an RIE etching process to fabricate silicon template with smooth  tapered side wall for Nanoimprint lithography.

P12-27
Nanoimprint Lithography Poster

Comparison of Surface Condition of Nanoimprint Antisticking Layers Formed by CVD And Dip-Coat Methods, Daisuke Yamashita, Makoto Okada, Yasuki Nakai, Yuichi Haruyama and Shinji Matsui, University of Hyogo

In NIL, the antisticking layer on molds is indispensable, because molds are in  direct contact with replication materials. In this study, we compared the  surface condition of anti-sticking layers on silicon substrate formed by CVD  method and dip-coat methods.

P12-28
Nanoimprint Lithography Poster

Selective Photochemical Reduction of Silver on Nanoembossed Ferroelectric Nanowires, Zhenkui Shen, Guo-Ping Chen, Zhi-Jun Qiu, Ran Liu and Yifang Chen*, Fudan University, *Rutherford Appleton Laboratory

In this work, Pb(Zr3,Ti7)O3 nanostructures are patterned by nanoembossing. The  induced rearrangements of domain distributions in the embossed films are  monitored by Piezoresponse Force Microscopy; selectively photochemical  reduction of Ag particles on the embossed PZT nanowires has been successfully  demonstrated.

P12-29
Nanoimprint Lithography Poster

Evaluation of Curing Process of UV Resin in PFP Gas Ambient by Photo-Differential Scanning Calorimetry, Yohei Sawada, Makoto Okada, Hiroto Miyake*, Takeshi Ohsaki**, Yoshihiko Hirai***, Yuichi Haruyama, Kazuhiro Kanda, Hiroshi Hiroshima^ and Shinji Matsui, University of Hyogo, *Daicel Chemical Industries, LTD., **Toyo Gosei Co. Ltd., ***Osaka Prefecture University, ^National Institute of Advanced Industrial Science and Technology

UV-nanoimprinting in 1,1,1,3,3-pentafluoropropane (PFP) gas ambient is proposed  to eliminate the bubble defects. In this study, we evaluated the curing  processes of synthesized radical- and cationic-UV-curable resins that the  monomers were disclosed in PFP gas ambient by photo-differential scanning  calorimetry (photo-DSC).

P12-30
Nanoimprint Lithography Poster

Adhesion and Frictional Forces Measurement by Scanning Probe Microscopy Under Pentafluoropropane Gas Atmosphere, Makoto Okada, Masayuki Iwasa*, Yuichi Haruyama, Kazuhiro Kanda, Hiroshi Hiroshima** and Shinji Matsui, University of Hyogo, *SII Nano Technology Inc., **National Institute of Advanced Industrial Science and Technology

We measured the adhesion and frictional forces by scanning probe microscopy  (SPM) under pentafluoropropane (PFP) gas atmosphere. As the results, the  adhesion and frictional forces measured under PFP gas atmosphere were lower  than these under air.

P12-31
Nanoimprint Lithography Poster

Atomic Step Pattering in Nanoimprint Lithography : Molecular Dynamics Study, Kazuhiro Tada, Masaaki Yasuda*, Yasuyuki Akita**, Yumiko Miyake**, Hiroaki Kawata*, Mamoru Yoshimoto** and Yoshihiko Hirai*, Toyama National College of Technology, *Osaka Prefecture University, **Tokyo Institute of Technology

Resolution limit of Nanoimprint lithography (NIL) is one of the most impressive  interests in both scientific and industrial points of views.  In this study, atomic scale resolution with nanoimprint atomic stepped mold is  investigated through a MD simulation for inorganic SiO2 glass material.

P12-32
Nanoimprint Lithography Poster

Hard Stamp Processes for the EVG 620 Full Field Nanoimprint System, James Conway, James Kruger*, Mahnaz Mansourpour and Paul Rissman, Stanford University, *Kruger Technical Consulting

Hard stamps have been demonstrated on the EVG 620 instrument at SNF with  resolution down to 20 nm.  A process for creation of daughter templates from silicon master e-beam  exposures has been demonstrated on the EVG 620.  Minimal loss of resolution has  been demonstrated with this process.

P12-33
Nanoimprint Lithography Poster

Tailored Synthesized Silsesquioxane Based Resists For UV-Assisted Nanoimprint Lithography, Nikolaos Kehagias, Mustapha Chouik*, Mathieu Salaün*, Marc Zelsmann* and Clivia Sotomayor Torres, Catalan Institute of Nanotechnology, *LTM-CNRS, CEA-LETI MINATEC

In this paper, we present results on the development of low-viscosity hybrid  organic / inorganic UV-NIL resists based on polyhedral silsesquioxane (SSQ)  functionalized with photo-polymerizable aliphatic epoxy groups.

P13-1
Nanostructures and Pattern Transfer Poster

Etching of Germanium by Chlorine Gas using a Focused Electron Beam, Peter Roediger, Heinz D. Wanzenboeck, Gottfried Hochleitner and Emmerich Bertagnolli, Vienna University of Technology

For the first time, a well-controllable, direct-write, resistless and  non-destructive etching process for germanium using a focused electron beam  with nanometer resolution could be developed. A comprehensive, systematic study  of the etching efficiency on several beam parameters is presented, as well as a  comparison between amorphous and crystalline germanium.

P13-2
Nanostructures and Pattern Transfer Poster

Di-block Copolymer Directed Anodization of Hexagonally Ordered Nanoporous Aluminum Oxide, Kunbae Noh, Chumlin Choi, Hyunsu Kim, Young Oh, Jin-Yeol Kim* and Sungho Jin, University of California, *KCC Central Research Institute

We demonstrate successful fabrications of ordered and vertically aligned anodic  aluminum oxide (AAO) nanopore patterns directed by hexagonally patterned  polystyrene-b-poly(4-vinylpyridine) di-block copolymer layer placed on the  roughness-controlled Al film surface. Pore size and interpore distance of AAO  formed are ~17nm and 45nm, respectively corresponding to those of di-block  copolymer.

P13-3
Nanostructures and Pattern Transfer Poster

Selective Graphene Growth from DLC Thin Film Patterned by Focused-ion-beam Chemical Vapor Deposition, Taiki Hatakeyama, Reo Kometani, Shin'ichi Warisawa and Sunao Ishihara, The University of Tokyo

We report patterned graphene growth from diamond-like carbon using  focused-ion-beam chemical vapor deposition and gallium catalyst. We succeeded  in graphitization of diamond-like carbon with vapor-deposited gallium by  annealing at 900-1100 degrees Celsius under vacuum. Moreover, we found that  thicker diamond-like carbon film tended to become graphene with better crystal  quality.

P13-4
Nanostructures and Pattern Transfer Poster

Fabrication of Subwavelength High Aspect-Ratio Tapered Fused Silica Nanostructures for Transparent Photophilic Material, Hyungryul Johnny Choi, Chih-Hao Chang, Kyoo-Chul (Kenneth) Park, Gareth H. McKinley and George Barbastathis, Massachusetts Institute of Technology

We introduce a novel method to fabricate high aspect ratio subwavelength fused  silica nanostructures that are over 99% transparent with no scattering for  broadband wavelength and wide angle. We will present the detailed fabrication  process, theoretical modeling using rigorous coupled wave analysis, and  experimental testing of broadband, large incident-angle reflection and  transmission.

P13-5
Nanostructures and Pattern Transfer Poster

Comparative Study of Nano-Pillar Growth by Helium Ion and Gallium Ion Focused Beams, Lewis Stern, David Ferranti and Larry Scipioni, Carl Zeiss NTS, LLC

We report on a comparison between the dynamics of pillar deposition of  platinum-containing structures in gallium FIB and HIM. Pillars are grown both  in isolation and in dense patterns, under variation of deposition parameters.  The set of data then allows analysis of the growth dynamics.

P13-6
Nanostructures and Pattern Transfer Poster

Long Range Magnetic Ordering in Nanofabricated Artificial Spin-Ice Arrays, Aaron Stein, Jason Morgan*, Christopher Marrows* and Sean Langridge**, Brookhaven National Laboratory, *University of Leeds, **Rutherford Appleton Laboratory

Long-range magnetic order was observed in artificial spin-ice magnetic arrays  fabricated by electron beam lithography and physical vapor deposition of  permalloy. For the first time, we have observed and measured the thermal ground  state of this frustrated magnetic system.

P13-7
Nanostructures and Pattern Transfer Poster

Towards High-Resolution High-Diffraction-Efficiency Soft X-Ray Zone Plate Lenses: Sub-15 Nm Pattern Transfer to Tungsten Using HSQ and Cryogenic RIE, Julia Reinspach, Fredrik Uhlén, Hans M. Hertz and Anders Holmberg, Royal Institute of Technology

We present a process for the fabrication of high-resolution soft x-ray tungsten  zone plates. Salty development of HSQ was applied and pattern transfer to  tungsten was achieved by cryogenic reactive ion etching via a chromium  hardmask. We demonstrate 90-nm thick tungsten gratings with half-pitches down  to 12 nm.

P13-8
Nanostructures and Pattern Transfer Poster

Cryogenic Silicon Process for Etching 15 nm Trenches and Beyond, Deirdre Olynick, Scott Dhuey, Bruce Harteneck, Stefano Cabrini, Ying Wu*, Andy Goodyear*, Patrick Bennett** and Jeff Bokor**, Lawrence Berkeley Laboratory, *Oxford Instruments America Inc., **University of California, Berkeley

We investigate a cryogenic SF6/O2 silicon etching process and show how process  parameters and etching mask determine nanoscale profile fidelity. Features down  to 10 nm were etched (30 nm pitch). Ultimate scalability using oxide  masks will be discussed.

P13-9
Nanostructures and Pattern Transfer Poster

Fabrication of High-Aspect-Ratio Nanostructures to Characterize High-resolution Hard X-ray Nano-probe, Ming Lu, Hanfei Yan and Jörg Maser Maser*, Brookhaven National Laboratory, *Argonne National Laboratory

X-ray nano-probe microscope based on multilayer-Laue-lenses is expected to  focus hard x-ray beam to sub-10-nm size. Small beam size and low fluorescence  yield requests high-aspect-ratio metal resolution test pattern with clean  background. A method combining nano-pattern transfer technique and atomic layer  deposition technique is developed to deliver this challenging nano-structure.

P13-10
Nanostructures and Pattern Transfer Poster

Investigation of Graphene Piezoresistor for Use as Strain Gauge Sensors, Xing Chen, Xiaohu Zheng, Ji-Kwan Kim, Xinxin Li* and Dong-Weon Lee, Chonnam National University, *Chinese Academy of Sciences

We have confirmed the large gauge factor of mechanically exfoliated graphene  piezoresistors which are fabricated with normal lithography process. The  experimental results include electrical resistance of the multi-layer graphene,  the relationship between the gauge factor and layer-number of the graphene  sheet, and the electrical resistance versus strain under different temperature.

P13-11
Nanostructures and Pattern Transfer Poster

Large-Area Pattern Transfer of Metal Nanostructures via Interference Lithography, Ke Du, Ishan Wathuthanthri, Wei Xu and Chang-hwan Choi, Stevens Institute of Technology

This paper reports a simple nanofabrication technique which can transfer  metallic nanostructures deposited on photoresist (PR) pre-patterns to a  transparent glass substrate. By using the PR template patterned by interference  lithography, it is demonstrated that periodic metallic nanostructures can be  efficiently transferred to uniformly cover a large surface area up to several  inch2 with very few cracks.

P13-12
Nanostructures and Pattern Transfer Poster

Enhancement of Light Extraction Efficiency in n-GaN Patterned Vertical Light-Emitting Diodes using Nanosphere Lithography, Jae In Sim, Ji won Yang, Dong Ho Kim, Ho Myoung An, Sung Hun Son and Tae Geun Kim, Korea University

In this research, we report structural and optical properties of n-GaN  patterned vertical LEDs fabricated using a nanosphere lithography (NSL)  technique.It was found that the optical power of the vertical LEDs with hole  patterned n-GaN layers was enhanced by 4.1~4.9 times, as compared to that of  the conventional Vertical LEDs.

P13-13
Nanostructures and Pattern Transfer Poster

Characterization of Beam-Induced Chemical Structures in the Helium Ion Microscope, Larry Scipioni, Diederik Maas* and Emile van Veldhoven*, Carl Zeiss NTS, LLC, *TNO Science and Industry

We report on the use of a Design of Experiments approach to characterize the  deposition of conducting and insulating structures by applying beam induced  chemistry in the helium ion microscope.

P13-14
Nanostructures and Pattern Transfer Poster

Ion Beam Lithography for Sub-50 nm Patterning of Metal Mold Inserts and Replication by Injection Molding, Achim Nadzeyka, Lloyd Peto, Sven Bauerdick, Anton Koeck*, Roman Bruck* Markus Wellenzohn*, Rainer Hainberger*, Peter Czepl**, Kurt Kaiblinger**, Friedrich Pipelka** and Bernd Heitkamp***, Raith GmbH, *Austrian Institute of Technology GmbH, **Hubertus Goller GmbH, ***Kugler GmbH

Ion beam lithography of metal inserts is an excellent technology of producing  high resolution patterns with very low edge roughness.  We created test patterns with feature size below 50 nm in a metal stamp, which  was employed as a insert to investigate the replication limit of injection  molding.

P13-15
Nanostructures and Pattern Transfer Poster

Fluctuations in Lamellar Diblock Copolymer Resists, August Bosse, National Institute of Standards and Technology

I derive an analytic expression for the composition fluctuation spectrum of a  lamellar diblock copolymer melt. The expression fits test simulations exactly  with zero fitting parameters. This framework affords researchers an analytic  expression for the line edge roughness spectrum of a diblock copolymer resist.

P13-16
Nanostructures and Pattern Transfer Poster

Using Intruded Gold Nanoclusters as Highly Active Catalysts to Fabricate Silicon Nano-Stalactite Structures, Shao-Chin Tseng, Hsuen-Li Chen, Chen-Chieh Yu and Yu-Sheng Lai*, National Taiwan University, *National Nano Device Laboratories

We employed the intruded Au nanocluster (INC) technique to prepare highly  uniform, “atomic-scale” (ca. 3 nm) Au nanoclusters as highly active  catalysts within Si wafers.Employing the Au nanoclusters as highly active  catalysts allowed us to readily and rapidly prepare, at room temperature,  unique Si nano-stalactite (SNS) structures of ultrahigh density and very narrow  diameter (ca. 10 nm).

P13-17
Nanostructures and Pattern Transfer Poster

Nanofabrication of Silicon Carbon Nitride Cantilevers – Comparison of PMMA and HSQ Based Processes, Mohammad Ali Mohammad, Steven K. Dew, Stephane Evoy and Maria Stepanova, University of Alberta

We compare two different fabrication processes for sub-10 nm width SiCN  doubly-clamped cantilevers using HSQ and PMMA resists. Emphasis is laid on  co-optimizing electron beam lithography (EBL) exposure and development  strategies. A novel multi-step HSQ development scheme is also presented.

P13-18
Nanostructures and Pattern Transfer Poster

Self Aligned Concentric Nanostructures Formed by E-beam Overexposure of PMMA and Single Post Processing Steps, Sebastian Gautsch and Nico de Rooij, Ecole Polytechnique Federale de Lausanne

We present results on various post processing techniques to create cooncentric   nanostructures. The starting point for all architectures is a self aligned  nanopillar with surrounding circular rim in PMMA. This particular shape is  obtained by the energy density distribution of incident and backscattered  electrons of e-beam exposure and reflects the dual behavior of PMMA as positive  and negative resist.

P13-19
Nanostructures and Pattern Transfer Poster

Copper Oxide Nanowire Arrays Synthesized from Sputtered Cu Thin Film, Keisuke Nagato, Tetsuya Hamaguchi and Masayuki Nakao, The University of Tokyo

Copper oxide nanowires are directly synthesized from Cu thin film without  catalysts. Because copper oxide nanowires grow perpendicularly to the substrate  and have a low work function, they are practical to field-emission devices.

P13-20
Nanostructures and Pattern Transfer Poster

7-nm-Pitch Gratings Fabricated on Diamond Substrates Using Hydrogen Silsesquioxane Resists and Electron-Beam Lithography, Yan Minjun, Lee Jaesun and Ilesanmi Adesida, University of Illinois at Urbana-Champaign

Gratings with pitches down to 7 nm have been consistently achieved on PMMA on  diamond substrate. To the best of our knowledge, the 7-nm-pitch gratings  reported here are the smallest fabricated by direct e-beam writing to date.  Detailed results on the repeatability of the fabrication of the ultra-dense  lines and pattern transfer will be reported.

P13-21
Nanostructures and Pattern Transfer Poster

Fabrication of an Optical Magnetic Mirror by E-Beam Writing, Patrick Roman, John Hagopian and Madhumita Roy*, NASA Goddard Space Flight Center, *Army Research Laboratory

Optical magnetic mirrors were successfully fabricated using high resolution     e-beam lithography to write dense metal nano patterns on dieclectric  substrates.  Results will be presented on prototype devices patterned on different  substrates.

P13-22
Nanostructures and Pattern Transfer Poster

Double Patterning Technology: Process Simulation and Fabrication of Optical Elements, Sergey Babin, Gennady Glushenko, Adriana Szeghalmi**, Thomas Weber*, Thomas Keasebier* and Ernst-Bernhard Kley*, Abeam Technologies Inc., *FSU Jena, **Max Planck Institute of Microstructure Physics

In the simulation of double patterning technology, the resist trim, metal  deposition and etch were varied in TRAVIT software to optimize the dimensions  and  the vertical profile of the metal pattern. Optical polarizers for deep UV and  optical ranges were fabricated by double patterning using Ir and Al layers.

P13-23
Nanostructures and Pattern Transfer Poster

Geometry Controlled Periodic Si Nanopillar Arrays by Dry Oxidation and Wet Etching, Chulmin Choi, Kunbae Noh, Young Oh, Cihan Kuru, Li-Han Chen and Sungho Jin, University of California, San Diego

Patterned circular holes with diameters and spacing in the regime of ~100 nm to  1 µm, with either square or hexagonal arrangement on Si wafers were made by  deep ultraviolet lithography. These samples were oxidized at 1000oC for various  durations, and then subjected to controlled chemical wet-etching for removal of  produced silicon oxide layer to create protruding nanofeatures.

P13-24
Nanostructures and Pattern Transfer Poster

High Aspect Ratio Zone Plate Fabrication Using a Bilayer Mold, Michael Wojcik, Leonidas Ocola, Ralu Divan, Derric Mancini and Ming Lu*, Argonne National Laboratory, *Brookhaven National Laboratory

Hard x-ray zone plates need high aspect ratio nanostructures for ideal focusing  efficiency.  Presented is the use of a bilayer mold structure, in which both  layers have been used individually for zone plate fabrication.  This  allows the  fabrication of a structure with an effective sum of the two aspect ratios.

P13-25
Nanostructures and Pattern Transfer Poster

Investigation of Contacts Between Metal and Few Layer Graphene Using Focused Ion Beam Cross-Sectioning, Alfredo Vaz, Francisco Rouxinol, Gustavo Valente, Fernando Teixeira and Stanislav Moshkalev, UNICAMP

Few-layer graphene (FLG) have attracted recently major attention of the  research community, with many potential applications in microelectronics. In  particular, metal-graphene contacts play a critical role in graphene-based  electronics and systematic study of characteristics of FLG are require to  fabrication devices.

P13-26
Nanostructures and Pattern Transfer Poster

Computational Study of Electron-Irradiation Effects in Carbon Nanomaterials on Substrates, Masaaki Yasuda, Yoshinori Chihara, Shinya Wakuda, Hiroaki Kawata and Yoshihiko Hirai, Osaka Prefecture University

The structural changes in electron-irradiated carbon nanomaterials on the  substrates are studied with a molecular dynamics simulation. The  electron-irradiation effect is modeled based on the binary collision theory.  The collision of the backscattered electron from the substrate is introduced  using a Monte Carlo simulation of electron scattering.

P13-27
Nanostructures and Pattern Transfer Poster

Injection Compression Molding of High-Aspect-Ratio Nanostructures, Keisuke Nagato, Tetsuya Hamaguchi and Masayuki Nakao, The University of Tokyo

We replicated high-aspect-ratio nanostructures using injection compression  molding which is generally used to reproduction of optical disks. Subwavelength  structures are successfully replicated in whole area of the disk with 120 mm  diameter and 0.6 mm thickness.

P13-28
Nanostructures and Pattern Transfer Poster

High-throughput Fabrication of Engineered Plasmonic Nanoantenna Arrays with Nanostencil Lithography, Serap Aksu, Ahmet Ali Yanik, Ronen Adato, Alp Artar, Min Huang and Hatice Altug, Boston University

In this talk, we demonstrate a novel fabrication approach for high-throughput  fabrication of engineered plasmonic nanorod antenna arrays with nanostencil  lithography (NSL). NSL technique, relying on deposition of materials through a  shadow mask, offers the flexibility and the resolution to radiatively engineer  nanoantenna arrays for excitation of collective plasmonic resonances.

P13-29
Nanostructures and Pattern Transfer Poster

Multi-Tip AFM Lithography System for High Throughput Nano-patterning, Young Oh, Chulmin Choi, Kunbae Noh, Diana Villwock, Sungho Jin, Gwangmin Kwon* and Haiwaon Lee*, University of California at San Diego, *Hangyang University

We have created multiple and parallel AFM lithography probes with essentially  identical heights on the same cantilever, aiming for a large area, rapid  fabrication of both nano-island arrays and nano-line arrays.

P13-30
Nanostructures and Pattern Transfer Poster

High Precision FIB Fabrication of Customizable AFM Probes, A. Savenko, P Boggild, B. Malm, D.H. Peterson, I. Yildiz, Technical University of Denmark

Customizable and exchangeable AFM tips (“Nanobits”) were made of silicon membrane using FIB. High accuracy is trying to be achieved by using special patterning strategies. This eliminates the influence of pattern drift and other distortions. Different methods for improvement of tolerance are considered.

P13-31
Nanostructures and Pattern Transfer Poster

10 nm node Pattern Transfer Development Using an EUV, DUV and Electron Beam Sensitive Acrylate-Based Resist, Eric A. Joseph, Robert L. Bruce, Sebastian Engelmann, Luisa Bozano, Marcus Brink, Marwan Khater, Fei Liu, Qinghuang Lin, Martin Glodde, Jim Bucchignano, William Graham, Karen Petrillo, Ernst Kratschmer, Nicholas C. M. Fuller and Michael A. Guillorn, IBM TJ Watson Research Center

In this work, we assess the performance of an acrylate-based EUV resist with a polymer-bound photo acid generator (PAG) at 10 nm node dimensions, targeting a minimum feature pitch of 40 nm.  Exposures were performed using electron beam lithography. Pattern transfer performance was evaluated by measuring the evolution of CD, LER and LWR throughout the pattern transfer process.

P14-1
Optical Lithography Poster

High-Contrast Images Obtained with Displacement Talbot Lithography, Harun Solak, Christian Dais and Francis Clube, Eulitha AG

In Displacement Talbot Lithography a mask carrying a periodic structure is  illuminated with collimated light. During the exposure the wafer is moved by  one Talbot period towards the mask to record an integral image. The new  technique enables uniform nano-patterning of large areas in a non-contact  setup.

P14-2
Optical Lithography Poster

Single-Step Interferometric Patterning of High-Aspect-Ratio Three-Dimensional Nanostructures, Ishan Wathuthanthri and Chang-Hwan Choi, Stevens Institute of Technology

Using the standing wave phenomena commonly regarded as a deterrent in  lithography, complex, three-dimensional, nanostructures are manufactured in a  single step using an interference lithography system. Porous as well as pillar  structures with aspect ratios as high as 1:8 were readily produced using this  methodology.

P14-3
Optical Lithography Poster

Development of a Full-Field Inteference Lithogrpahy System Based on a Long-Coherence-Length Laser Source, James Jacob, John Burnett*and Eric Benck*, Axtinix, *National Institute of Standards and Technology

We discuss our development of an immersion interference lithography exposure  tool, based on a unique new long-coherence-length 197 nm solid state laser  system, that enables high-contrast interference pattern exposures over a full  die field at commercially viable powers. We discuss our metrology approach to  ensure pattern straightness and alignment.

P14-4
Optical Lithography Poster

Fast Aerial Image Simulations Using One Basis Mask for Optical Proximity Correction, Xiaofei Wu, Wei Liu, Shiyuan Liu and Chuanwei Zhang, Huazhong University of Science and Technology

This paper proposes a lithographical simulation algorithm for OPC using only  one basis mask to generate the lookup table. By exploiting the space-shift  invariant property of 2D convolution, the preimage of any mask can be obtained  by shifting the preimage of the basis mask. Hence the storage requirement of  the lookup table can be greatly reduced.

P14-5
Optical Lithography Poster

Image Invariant and Information Content Comparisons across Sub-32nm Technologies, Bruce Smith, Peng Xie and Germain Fenger, Rochester Institute of Technology

It is challenging to establish comparative image content metrics for use across  lithography system architectures, such the options under consideration for 32nm  device generations and beyond. This paper presents an approach to compare image  capacity and content for lithography alternatives as well as the complexity of  additional steps involved.

P14-6
Optical Lithography Poster

Fabrication of High-Aspect-Ratio Nanopores by Interference Lithography, Olga Makarova, Ralu Divan*, Daniel Rosenmann* and Cha-Mei Tang, Creatv MicroTech Inc., *Argonne National Laboratory

We present our results on fabrication by optical interference lithography (IL)  nanofilters with high-aspect-ratio pores in approximately 10-micron-thick SU-8  resist thus providing structural strength without the need of a subframe. The  high porosity and uniform pore size produced by IL will enable a new line of  nanofilters.

P14-7
Optical Lithography Poster

Large Area Fast Patterning in High Resolution by a Combined Near-Field Exposure and Reversal Imprint Lithography, Bing-Rui Lu, Xin-Ping Qu, Yuanyuan Wang, Yifang Chen* and Ran Liu, Fudan University, *Rutherford Appleton Laboratory

We propose a hybrid lithography, by combing both near field lithography with  reversal imprint technique for high resolution patterning with a good  opportunity of wafer scale manufacture, named as combined near field  lithography with reversal imprint (CNR). Preliminary results show that 400nm  line width dense pattern has been successfully achieved.

P14-8
Optical Lithography Poster

Kernel Based Parametric Analytical Model of Source Intensity Distributions in Lithographic Tools, Wei Liu, Shiyuan Liu, Xiaofei Wu and Chuanwei Zhang, Huazhong University of Science and Technology

A parametric analytical model is proposed for overall representation of the  physical distribution property of partially coherent illumination sources in  lithographic tools. A set of kernels are adopted to construct the analytical  model for the multiple mainstream illumination sources. Corrected parametric  terms are subsequently presented for characterization of different physical  distortions and deviations of source intensity distributions.

P15-1
Patterned Media, Data Storage Poster

Studies in Synthetic Antiferromagnetically Coupled Ring Array Magnets via Hysteresis Loops, C. C. Chen, M.H. Shiao, Y.C. Lin*, Y.J. Chang**, C.M. Lee**, Teho Wu** and J.C. Wu*, Nano/MEMS Shop, *National Changhua University of Education, **National Yunlin University of Science and Technology

P15-2
Patterned Media, Data Storage Poster

Master Pattern Formation of Bit-Patterned Media by E-Beam Direct Drawing, Hiroaki Kitahara, Yuhei Uno, Hiroaki Suzuki, Hiroshi Tanaka, Masaki Kobayashi, Masahiro Katsumura, Tetsuya Iida, Mikio Ishikawa* and Morihisa Hoga*, PIONEER Corporation, *Dai Nippon Printing Co. Ltd.

We developed new rotary stage e-beam tool for bit-patterned media (BPM)  fabrication. As experimental results, pattern formation of 500 Gbpsi BPM  with servo pattern was demonstrated using a positive-tone resist ZEP-520A.  Furthermore, formation of a dot array pattern with an areal density of 1 Tbpsi  was achieved using a high-resolution negative-tone resist.

P15-3
Patterned Media, Data Storage Poster

Solvent Annealing Conbined with Surface Resconstruction towards the Fabrication of Silicon Nanodots with Areal Density beyond 1 Teradots/Inch2, Ji Xu, Thomas Russell, Shuaigang Xiao*, Kim Lee* and David Kuo*, University of Massachusetts, *Seagate Technology

A surface reconstruction technique was applied with polystyrene-b-poly(ethylene  oxide) (PS-b-PEO) and polystyrene-b-polyvinylpyridine (PS-b-PVP) to fabricate  silicon nanodots with an areal density beyond 1 Teradots/inch2.

P15-4
Patterned Media, Data Storage Poster

Graded Bit Patterned Media via Helium Ion Irradiation, Long Chang, Paul Ruchhoeft, Sakrat Khizroev* and Dmitri Litvinov, University of Houston, *Electrical and Computer Engineering

Graded bit patterned media is fabricated and measured using polar MOKE.  SRIM  simulations were performed to design the experiment.  Results are compared to  irradiated BPM.

P16-1
Simulation and Modeling Poster

Integrated Tool and Feature 2D Plasma Processing Simulator, Used for a Modeling of Cryogenic Plasma Etching of Silicon, Valentyn Ishchuk, Burkhard E. Volland, Maik Hauguth, Ivo W. Rangelow, Deirdre L. Olynick* and Ying Wu*, Ilmenau University of Technology, *Lawrence Berkeley National Laboratory, **Oxford Instruments America Inc.

A new plasma processing simulation software was developed and implemented at  Ilmenau University of Technology. This program was used for the simulation of  the cryogenic plasma etching of nanostructures in silicon, done at LBNL

P16-2
Simulation and Modeling Poster

Modeling of Charging Effect on Ion Induced Secondary Electron Emission from Nano-Structured Materials, Kaoru Ohya, Daiki Takami and Takuya Yamanaka, The University of Tokushima

We modeled secondary electron emission from SiO2, where the charging during  tens-of-keV He/Ga ion irradiation is included. This paper presents charging  effects on scanning ion microscope images of the basic structures of IC device,  i.e., an insulator/metal bilayer and insulating step/trench on a Si substrate  at 10–100 nm scale.

P16-3
Simulation and Modeling Poster

Dwell Time Adjustment for Focused Ion Beam Machining, Jun Taniguchi, Shin-ichi Satake, Takaki Oosumi, Akinori Fukushige and Yasuo Kogo, Tokyo University of Science

Micro/nano fabrication of hard brittle material is carried out by FIB machining  with dwell time adjustment. This is convolution model derived from Preston’s  hypothesis. More specifically, the target removal shape is convolution of unit  removal shape. In conclusion, method A-B (FFT and subsequently constraint  problem calculation) is the most effective.

P16-4
Simulation and Modeling Poster

Surface Deformation Of Ga+ Ion Collision Process via Molecular Dynamics Simulation, Shin-ichi Satake, Akinori Fukushige, Jun Taniguchi, Yasuo Kogo and Masahiko Shibahara, Tokyo University of Science

Our previous paper revealed that the hillock height of Si surface caused by ion  collision and MD simulated height have been coincided. In this paper, transient  process of hillock formation to sputtering removal phenomenon can be observed  via MD simulation.

P16-5
Simulation and Modeling Poster

High Accuracy Charged Beam Modeling in MICHELLE–eBEAM, Serguei Ovtchinnikov, Simon Cooke*, Masis Mkrtchyan, Roman Shtokhamer, Alexander Vlasov*, Christopher Kostas, John Petillo and Baruch Levush*, Science Applications International Corporation, *Naval Research Laboratory

We report on the latest development in the SAIC/NRL MICHELLE–eBEAM modeling  framework designed for high accuracy simulations of electron and ion beams with  stochastic space charge effects.

P16-6
Simulation and Modeling Poster

Chemically Amplified Resist Modeling in High Compact Model Format for Photolithography Process Simulation, Thomas Mülders, Artak Isoyan*, Lawrence Melvin* and Hans-Jürgen Stock, Synopsys GmbH, *Synopsys Inc.

Computationally fast method for simulation of the post-exposure bake  reaction-diffusion processes is compared with rigorous lithography simulator by  looking into the model accuracy, the prediction power and the computational  runtime. The simplified reaction-diffusion PEB model reduces the computational  cost while keeping the accuracy of the model and providing good calibration.

P16-7
Simulation and Modeling Poster

Process Window Modeling Using Focus Balancing Technique, Artak Isoyan, Ebo Croffie and Lawrence Melvin, Synopsys Inc.

In this work we present a process window OPC modeling using only nominal  process condition empirical data. In order to guarantee modeling success, a  focus balancing technique is used during model calibration, which significantly  reduces the total model calibration runtime.

P16-8
Simulation and Modeling Poster

Beam-Based Measurements in Electron Microscopy, Kirk Bertsche, SLAC National Accelerator Laboratory

Twiss (or Courant-Snyder) parameters are widely used for particle accelerators and beamlines. We will apply the Twiss formalism to electron microscopy and  will present simple relations that result. We will show how this can be a  useful, practical technique for system design and system tuning.

EIPBN Abstracts