EIPBN

EIPBN 2024 Table of Contents


Section Abstract and Authors
Wednesday, May 29, 2024
8:00 am – 10:45 am PST
Plenary Speakers
Plenary 1
Micro/nanofabrication Techniques for 3D Functional Mesosystems: From Neural Interfaces to Environmental Monitors
John A. Rogers (Northwestern University)

Complex, three dimensional (3D) micro/nanostructures in biology provide sophisticated, essential functions in even the most basic forms of life. Recent advances in micro/nanofabrication and assembly provide access to diverse classes of electronics in 3D architectures, with characteristic dimensions from nanometers to centimeters, over areas that span square centimeters or more. This talk reviews the key concepts, with applications in electronic mesoscale networks as neural interfaces and in bio-inspired microfliers as environmental sensing platforms.

Plenary 2 Understanding and Controlling Charge, Heat, and Spin at Atomically Precise Interfaces
P. S. Weiss (UCLA)

By having developed the "eyes" to see, to record spectra, and to measure function at the nanoscale, we have been able to fabricate structures with precision, thereby controlling the physical, electronic, mechanical, thermal, and chemical connections that materials make to one another and to the outside world.

Plenary 3 Trends and future directions in logic technologies: research challenges and opportunities
Carlos H. Díaz (Taiwan Semiconductor Manufacturing Company)

Sustainable growth in computing performance supporting expanded functional capabilities of information technology and communication products requires energy-efficiency breakthroughs in underlying technologies. This talk will overview emerging devices and interconnect fabrics, their challenges, and research opportunities aimed to identify viable alternatives beyond those of the projected evolutionary paths of current state-of-the-art logic technologies.

Wednesday, May 29, 2024
1:20 pm – 3:10 pm PST
Session 1A – Advanced micro/nanolithography 1
Session Chairs: Paul Weiss (UCLA), Chih-Hao Chang (UT Austin)
1A-1 (Invited)
Advanced micro/nanolithography 1
Dry Resist Patterning Progress and Readiness Towards High NA EUV Lithography
Anuja De Silva (Lam Research)

We aim to demonstrate the progress of dry resist development to address specific challenges of high NA EUV lithography. As features scales, resist thickness and interface between resist and underlayer play a crucial role. The co-optimization of underlayers with dry technology enables tuning of the patterning stack for optimal performance.

1A-2
Advanced micro/nanolithography 1

Pattering via EHD and inkjet printers
Niels Wijnaendts van Resandt, Maximilian Mosberg (LAB14, Notion-systems)

Electrohydrodynamic (EHD) printing is a new high-resolution printing technology. We will present a new research and development tool which utilizes proprietary MEMS-based multi-nozzle EHD printheads, enabling print resolutions below 1 μm. This exceeds that of conventional inkjet printing by two to three orders of magnitude.

1A-3
Advanced micro/nanolithography 1

Intra-Level Mix & Match investigations of negative tone photoresists mr-EBL 6000.5 and maN 1402 for i-line stepper and electron beam lithography
Christian Helke, Sebastian Schermer, Susanne Hartmann, Anja Voigt and Danny Reuter (Fraunhofer Institute for Electronic Nano Systems (ENAS))

This paper presents the investigation of two negative tone resists mr-EBL 6000.5 and ma-N 1402 for an Intra-Level Mix & Match approach with i-line and electron beam lithography on the same resist layer, providing the advantage of resolving patterns of different dimensions with fewer process steps and shorter processing time.

1A-4
Advanced micro/nanolithography 1

Thermal scanning probe lithography (t-SPL) enabled high-resolution lift-off process
M. Käppeli, J. Chaaban, N. Hendricks, E. Çağın (Heidelberg Instruments Nano AG)

Nanoscale structures with a resolution in the 10s of nanometres can be achieved using the NanoFrazor t-SPL tool and its associated processes. A multilayer high-resolution lift-off process post-t-SPL allows the creation of non-repeating structures as small as 20 nanometres. Best practices for high-resolution patterning and lift-off will be presented.

1A-5
Advanced micro/nanolithography 1

High-quality 3D Printing of Micro-Optical Elements with 3D two-photon grayscale lithography (2GL®)
Arwin Shrestha, Matthias Blaicher, Andrea Bertoncini, Roman Reiner, Mareike Trappen, Stephan Dottermusch, Nicole Lindenmann, Philipp Rayling, Kai Sandfort, Benjamin Richter, Tobias Hoose and Michael Thiel (Nanoscribe GmbH & Co. KG)

3D generalization of Nanoscribe's two-photon grayscale lithography (2GL®) process, 2GL® is, a method that uses a fast laser power modulation to avoid layer-discretization artifacts thus resulting in smooth surfaces even for a large spacing between exposure layers. Our approach achieves a ten-times speedup compared to traditional layer-based two-photon lithography.

Wednesday, May 29, 2024
1:20 pm – 3:10 pm PST

Session 1B – Directed self-assembly
Session Chairs: Alex Liddle (NIST), Wei Wu (USC)

1B-1 (Invited)
Directed self-assembly

Exploring the Versatility of End-Grafted Polymer Brushes for High-Precision Nanopatterning
Ricardo Ruiz (Lawrence Berkeley National Laboratory)

We explore end-grafted polymer and peptoid brushes for nanoscale surface modification. Integrated with advanced lithographic techniques, these brushes enable precise adsorption, interfacial tuning, and interfacing between inorganic surfaces and biological matter. We showcase examples ranging from biomimetic polymers for semiconductor/bio interfaces to directed self-assembly for EUV lithography and selective deposition.

1B-2
Directed self-assembly

Fabricating bimodal pore size membranes as a platform to understand nanoscale aqueous transport behavior
Wen Chen, Jamila Eatman, Seth B. Darling and Paul F. Nealey (University of Chicago)

We hypothesize that precise nanofabrication of bimodal membranes, rather than those with a continuum of pore sizes, will enable assessment of the impact of structural defects as well as flux partitioning.

1B-3
Directed self-assembly

Fabrication of chemical patterns from negative resist for directed self-assembly at resolution limits of lithography
Kyunghyeon Lee and Paul F. Nealey (University of Chicago)

A new fabrication strategy for high-resolution chemical patterns in DSA is introduced, utilizing a negative tone resist. HSQ patterns, created by e-beam lithography, are transformed into Cr patterns through reactive ion etching and further converted into chemical patterns with sequential polymer brush grafting, reaching a 24 nm full-pitch resolution.

1B-4
Directed self-assembly

Thin polymer blends films as a tool for creating patterned metals, semiconductors, SAMs and brushes
Stefan Walheim, Roland Groeger, Cheng Huang, Tobias Heiler, Markus Moosmann, Jonathan Berson and Thomas Schimmel (KIT Karlsruhe Germany)

Polymer phase separation can generate billions of structures in a few seconds during spin coating. These structures can be used as templates to obtain structured metals, semiconductors, SAMs or polymer brushes. The control of the phase behaviour of the polymers by pre-structured substrates allows a controlled geometry of the morphology.

1B-5
Directed self-assembly

Fabricating 3D Nanostructures Through Colloidal Extreme Ultraviolet Lithography
Saurav Mohanty, Ethan Fermin Flores and Chih-Hao Chang (The University of Texas at Austin)

This work explores 3D nanopatterning using 30 nm wavelength extreme ultraviolet (EUV) light to surpass optical diffraction limits. Sub-200 nm diameter nanoparticles are used as near field phase mask to perform single shot Talbot lithography exposure. The results demonstrate sub 50 nm resolution patterns, promising for future photonic crystal applications.

Wednesday, May 29, 2024
1:20 pm – 2:50 pm PST
Session 1C – Nanofabrication for quantum computing
Session Chairs: John Randall (Zyvex Labs), Regina Luttge (Eindhoven U. of Tech.)
1C-1 (Invited)
Nanofabrication for quantum computing

Single-electron qubits on solid neon
Xinhao Li, Xianjing Zhou, Christopher Wang, Yizhong Huang, Brennan Dizdar, Xu Han, David I. Schuster and Dafei Jin (Argonne National Laboratory)

We demonstrate the strong coupling between the charge states of single electrons trapped on solid neon with photons in a superconducting resonator. The coherence lifetimes of the electron qubits achieve 0.1ms scale, outperforming all existing traditional charge qubits. Further development of this novel qubit calls for advancements in quantum nanofabrication.

1C-2
Nanofabrication for quantum computing

Exploring electroluminescence and transport of color center light-emitting diodes as candidates for telecom quantum light sources
Nikki Ebadollahi, Vijin V. Kizhake, Marcelo I. Davanco, Kartik A. Srinivasan, Pradeep N. Namboodiri, Aaron M. Katzenmeyer, Matthew Pelton and Joshua Pomeroy (National Institute of Standards and Technology)

We fabricate color center light-emitting diodes (CC-LEDs) in silicon to assess their electrical and optical properties to assess whether they can be used as telecom O-band quantum light sources. The ultimate goal of our work is to enable on-chip electroluminescence (EL) from CCs.

1C-3
Nanofabrication for quantum computing

Robust and Simplified Gate Design in Surface-Gated Quantum Dot Devices for Diagnostic Qubits Fabrication
Seyed Amirali Arefpour, Adrian Li, Joshua M. Pomeroy and Nikki Ebadollahi (National Institute of Standards and Technology, Gaithersburg, MD)

Our research at NIST focuses on developing robust, simple gate designs for surface-gated quantum dot devices, aiming to produce diagnostic qubits with reduced failure rates. We prioritize compact gate structures and material characterization, exploring the effects of gate shapes on quantum dot functionality and device reliability.

1C-4
Nanofabrication for quantum computing

A piezo-optomechanical transducer for quantum entanglement between light and microwaves
Srujan Meesala (California Institute of Technology)

We will discuss the design and fabrication of piezo-optomechanical devices for transduction between quantum states at microwave and optical frequencies. These devices feature nanoscale photonic, mechanical, and superconducting microwave elements on a single chip. Recently, we used such an integrated transducer to generate entangled pairs of optical and microwave photons.

1C-5
Nanofabrication for quantum computing

Area Selective Chemical Vapor Deposition of Gold by Electron Beam Seeding
Aleksei Tsarapkin, Krzysztof Mackosz, Chinmai S. Jurredy, Ivo Utke and Katja Hoeflich

This work presents a novel maskless patterning technique that enables area selective CVD of gold. A focused electron beam is used to decompose the metal-organic precursor Au(acac)Me2 locally, thereby creating an autocatalytically active seed layer for subsequent CVD with the same precursor.

Wednesday, May 29, 2024
3:30 pm – 5:20 pm PST
Session 2A – Atomically precise fabrication
Session Chairs: Michael Titze (Sandia), Martha Sanchez (Applied Materials)
2A-1 (Invited)
Atomically precise fabrication

Next generation fabrication techniques for top gated qubits in silicon
James Owen, Joshua Ballard, Ehud Fuchs and John Randall (Zyvex Labs)

We describe two methods to create atomically precise or near atomically precise quantum computer architectures using functionalized scanning tunneling microscope lithography (STM). In one method, patterns are functionalized into 2D delta layers. In the other method, the STM patterns are converted into nanoimprint templates for scalability.

2A-2
Atomically precise fabrication

High resolution metal deposition using focused electron beam with redox chemistry control
Auwais Ahmed, Peter A. Kottke and Andrei Fedorov (Georgia Institute of Technology)

We present an ‘electrochemical lensing' approach for focused electron beam mediated nanomaterial synthesis that locally creates favorable electrochemical environment at the electron beam impingement site, while suppressing unwanted growth in the surroundings. The approach enables rapid creation of high-resolution nanostructures.

2A-3
Atomically precise fabrication

Scanning Probe Atom-by-atom Fabrication of Phosphorus in Silicon Devices
Jonathan Wyrick, Pradeep Namboodiri, Fan Fei, Brian Courts, Utsav Utsav and Richard Silver (NIST)

We describe use of a scanning tunneling microscope to achieve a measured incorporation yield of 100% for single P atoms imbedded in silicon for quantum devices. We also discuss progress on B imbedding, as well as design and fabrication of fully functioning atomic precision devices.

2A-4
Atomically precise fabrication

Fabricating Atomically Precise Devices to Engineer Unique Quantum Properties
Rick Silver, Fan Fei, Pradeep Namboodiri, FNU Utsav, Brian Courts, Joshua Pomeroy and Jonathan Wyrick (National Institute of Standards and Technology)

We are using atomically precise manufacturing to fabricate few-donor/quantum dot devices in silicon for use as qubits and arrays of atomic clusters to simulate quantum materials. To scale up we must control atomic fabrication processes with true atomic precision and understand the impact each atom has on device performance.

2A-5
Atomically precise fabrication

Fabrication and characterization of 10-nm-diameter nanopore arrays for applications in mask-based metastable atom-beam lithography
Bhera Ram Tak, Riley Gatensby, Robert O'Meara, Clive Downing and Richard G. Hobbs (School of Chemistry, Trinity College Dublin)

Large-scale pattern generation with 1-nm resolution remains challenging for nanofabrication. Holographic mask-based He* atom lithography, where He* passes through nanoscale holes in dielectric membranes offers nanoscale pattern generation. We present 10 nm size nanohole arrays in SiNx membranes, crucial for advancing atom beam lithography.

Wednesday, May 29, 2024
3:30 pm – 5:20 pm PST
Session 2B – Metamaterials, metasurfaces, and meta-optics 1
Session Chairs: Stefano Cabrini (start-up), Wen-Di Li (University of Hong Kong )
2B-1 (Invited)
Metamaterials, metasurfaces, and meta-optics 1

Symmetry and Topology in Photonic Nanostructures
Abdoulaye Ndao (UCSD)

The quest for smaller, lighter, and more efficient optical components usually comes at the price of reduced functionalities. provide an overview of how topological approaches to control light-matter interaction enable novel photonic devices with unique features and enhanced performance.

2B-2
Metamaterials, metasurfaces, and meta-optics 1

Three-Dimensional (3D) Subwavelength-Thick Plasmonic Nano-Tiles on Terraces: Broadband, Omni-Angle, Near-100% Light Trapping and Absorption, Fabricated by a Single Nanoimprint Step over a Large Area
Stephen Y. Chou (Princeton University)

We propose and experimentally demonstrate (a) a new type of 3D nanoplasmonic light-trapping/absorbing structure, termed "plasmonic nano-tiles on terrace" (PlaNTT), which absorbs light near 100%, broadband, and omni-angle acceptance with a thickness 1/10 to 1/2 of the light wavelength; and (b) a one-step nanoimprint for patterning the 3D structures.

2B-3
Metamaterials, metasurfaces, and meta-optics 1

Broadband spin and angle co-multiplexed six-channel metahologram based on a flat waveguide
Zeyang Liu, Hao Gao, Taigao Ma, Vishva Ray, Cheng Zhang and L. Jay Guo (University of Michigan, Ann Arbor)

We present a new type of waveguide-based multi-channel metaholograms, which can support six independent and fully crosstalk-free holographic display channels, simultaneously multiplexed by the spin and angle of guided incident light within the glass waveguide.

2B-4
Metamaterials, metasurfaces, and meta-optics 1

Bilayer Meta-optics in Visible Wavelengths for Moiré Flatbands
Suki Gu, Tianzhe Zheng and Andrei Faraon (California Institute of Technology)

A bilayer TiO2/air meta-optics is fabricated for demonstrating moiré flatbands in visible wavelengths. The fabrication process combines E-beam lithography and ALD back-filling. This approach enables multilayer visible structures with closely stacked layers and a refractive index contrast of approximately 2.4.

2B-5
Metamaterials, metasurfaces, and meta-optics 1

A binder-free porous medium of interwoven CuO microstructures for energy storage
Carly Flynn, Alison H. McCarthy, Jason Alexander Röhr and Mohsen Azadi (University of Pennsylvania)

A simple and scalable top-down fabrication method for a binder-free porous interwoven and continuous CuO microstructure medium formed from an underlying Cu substrate for use as anodes in Li-ion batteries. Structures can be covered by a secondary layer of active material, such as Si or Ge to without jeopardizing porosity.

Wednesday, May 29, 2024
3:30 pm – 5:20 pm PST
Session 2C - MEMS/NEMS and Micro/nanofluidics
Session Chairs: Rebecca Cheung (U. of Edinburgh), Gina Adam (George Washington University)
2C-1 (Invited)
MEMS/NEMS and Micro/nanofluidics

Nano Injection Molding for Nanofluidic Devices
Sunggook Park, Michael C. Murphy and Steven A. Soper (Louisiana State University)

Despite recent advancement in nanofluidic devices and applications, their high rate and low cost manufacturing tools are still lacking. This paper will discuss recent progresses on transitioning from NIL, a medium rate fabrication tool, to nano injection molding in the fabrication of nanofluidic devices.

2C-2
MEMS/NEMS and Micro/nanofluidics

A Graphene-based Capacitive Monolithic Microphone with Minimized Air Gap Thickness
Yun Jiang, Graham S. Wood, Michael J. Newton, Peter Lomax and Rebecca Cheung (The University of Edinburgh)

We report a graphene-based microelectromechanical systems (MEMS) capacitive microphone with a 1.5 micrometer air gap and a vent hole. The design, fabrication and characterization of the microphone is introduced.

2C-3
MEMS/NEMS and Micro/nanofluidics

Relating Unidirectional and Bidirectional Single Cell Migration with Oxygen Imaging
Muting Wang and Stella Pang (City University of Hong Kong)

This study investigated the relationship between single cell unidirectional and bidirectional migration and oxygen consumption, using PtOEPK dye as an oxygen sensor. The findings revealed that peaks of oxygen consumption occurred when cells changed their migration direction, offering a crucial groundwork for investigating cell activities and viability in tissue engineering.

2C-4
MEMS/NEMS and Micro/nanofluidics

Localization of microparticles by a patterned drying process surface energy techniques
Yian Cheng and L. Jay Guo (University of Michigan, Ann Arbor)

A microparticle localization technique using the drying patterned drying process surface energy. Created by hydrophobic and hydrophilic patterns with control of the surface tension and geometry, one can successfully localize particles to the center of the pattern.

2C-5 (Invited)
MEMS/NEMS and Micro/nanofluidics

EIPBN 2024 Early Career Award Lecture
Pneumatic Enabled Nano-Sieve for Sensitive Detection of Pathogens in Blood
Ke Du (The University of California, Riverside)

We introduce a miniaturized nano-sieve device featuring a pneumatically-regulated chamber for highly efficient pathogen concentration from blood. Integration of this device with CRISPR assay, an on-chip detection limit of approximately 100 cfu/mL is achieved for antibiotic resistant bacteria. We further show an enhanced nano-sieve by incorporating "micro-grooves" for virus sensing.

Wednesday, May 29, 2024
1:20 pm – 5:20 pm PST
Workshop of Emerging Memory Infrastructure
Session 1
1:20 pm – 3:10 pm

Emerging Memory Devices: Fabrication and Characterization

Speakers: Rehan Kapadia (USC/MOSIS2.0), Brendan Hanrahan (ARL)

Panelists: Rehan Kapadia (USC/MOSIS2.0), Brendan Hanrahan (ARL), Joshua Yang (USC), Cosmi Lin (Texas A&M), Heayoung Yoon (U of Utah)

Moderator: Sarbajit Banerjee (Texas A&M)

Session 2
3:30 pm – 5:20 pm

Emerging Memory Chips: Packaging and Testing

Speakers: Michael Huff (MEMS Exchange), Linda Katehi (Texas A&M)

Panelists: Michael Huff (MEMS Exchange), Qiangfei Xia (UMass), Suin Yi (Texas A&M), Michael Gaither (Texas A&M/TEES)

Moderator: Linda Katehi (Texas A&M)

Thursday, May 30, 2024
8:00 am – 9:50 am PST
Session 3A – Electron and ion beam lithography
Session Chairs: Leonidas Ocola (IBM), Gerald Lopez (University of Pennsylvania)
3A-1 (Invited)
Electron and ion beam lithography

What are the Challenges for Creating a Positive Tone Metal-Organic Resist?
Scott M. Lewis, Luisa Bozano, Guy A. Derose, Barbara Kazanowska, David Castilo-Lozada and Axel Scherer (California Institute of Technology)

We investigate a series of metal-organic nanocomposite positive-tone photoresist materials that are suitable for electron beam lithography. From our initial Monte Carlo studies, the nanocomposite resist performance demonstrated a resolution of 50 nm half-pitch while exhibiting a high resistance achieving a selectivity of >1:25.

3A-2
Electron and ion beam lithography

Passive Tuning of Photonic Integrated Circuits by Automated Silicon Ion Implantation
Venkata Sai Akhil Varri, Shabnam Taheriniya, Frank Brückerhoff-Plückelmann, Ivonne Bente, Daniel Bernhardt, Achim Nadzeyka, Torsten Richter and Wolfram Pernice (University of Münster)

A key challenge in scaling integrated photonic systems is the sensitive nature of the components to fabrication imperfections. Here, we highlight a scalable and non-volatile technique for post-fabrication tuning of photonic computational memories by silicon ion implantation. We precisely align high-quality resonant devices to targeted wavelengths with picometer precision.

3A-3
Electron and ion beam lithography

Advanced electron-beam grayscale lithography writing strategies using optimized dose gradients in the pattern design
Kevin Hofhuis, Nazanin Samadi, Christian David, Vitaliy A. Guzenko, Analía Fernández Herrero, Bas Ketelaars and Christiaan Zonnevylle (Paul Scherrer Institute)

Advancing electron-beam lithography: Implementation of novel dose gradient shaping in Raith EBPG Plus system for precision grayscale lithography writing strategies. The technique enable meticulous control over electron doses, yielding smoother structures. Successful integration enhances flexibility, reduces writing times, and facilitates the creation of intricate 3D patterns.

3A-4
Electron and ion beam lithography

Focused Ion Beam (FIB) Patterning of Surface Nanobubbles
Anayet Ullah Siddique, Rui Xie and Roseanne Warren (University of Utah)

This research hypothesizes that nanoscale FIB-based patterning can effectively control surface nanobubble position employing chemical heterogeneity through selective removal of a hydrophobic self-assembled monolayer. The hypothesis is confirmed by analyzing bubble formation on silicon surfaces containing line patterns generated by selective FIB removal of octadecyltrichlorosilane (OTS).

3A-5
Electron and ion beam lithography

Poly Acrylic Acid Patterning by Electron Beam Lithography
Devin K. Brown (Georgia Institute of Technology)

This work presents the first known results of the direct patterning of polyacrylic acid (PAA) hydrogel by electron beam lithography. Hydrogels are being investigated in emerging applications such as drug delivery, biosensors, tissue engineering, and wound healing bandages. Therefore, this work can enable lithographic patterning of PAA for those applications.

Thursday, May 30, 2024
8:00 am – 9:50 am PST
Session 3B – Nanophotonics and plasmonics 1
Session Chairs: Rajesh Menon (University of Utah), Sunggook Park (LSU)
3B-1 (Invited)
Nanophotonics and plasmonics 1

Super-resolution imaging enabled by metamaterials
Zhaowei Liu (University of California San Diego)

3B-2
Nanophotonics and plasmonics 1

Which way is up? Nanophotonic calibration artefacts for accurate molecular orientation measurements
James Liddle, Muneesh Maheshwari, Henri Lezec, Dhruv Fomra, kishalay mahato and John Fourkas (National Institute of Standards and Technology)

The polarization state of an optical signal can be altered by its passage through an imaging system, leading to biases in the detected signal. To measure these biases, identify their origin, and correct them, we introduce and demonstrate a nanophotonic calibration artefact and associated methodology.

3B-3
Nanophotonics and plasmonics 1

Ultra-high Q Thin Film Lithium Niobate Resonators
Xinrui Zhu, Yaowen Hu, Shengyuan Lu, Hana K. Warner, Xudong Li, Yunxiang Song, Leticia Magalhaes, Amirhassan Shams-Ansari, Neil Sinclair and Marko Loncar (Harvard University)

Thin-film lithium niobate (TFLN) is a recently emerging versatile platform for integrated photonics. We present our design, fabrication, and characterization of TFLN microresonators with a record-high intrinsic quality (Q) factor of twenty-nine million, corresponding to an ultra-low propagation loss of 1.3 dB/m, further unlocking the potential of this platform.

3B-4
Nanophotonics and plasmonics 1

Fabrication of Multilayer Nanolattice Reflectors with Integrated Low-Index Nanolattices
Vijay Anirudh Premnath, I-Te Chen and Chih-Hao Chang (The University of Texas at Austin)

Our research involves precise fabrication of multi-layer 3D periodic nanolattices with single, double, and triple stacks of Aluminium oxide and Titanium oxide layers. This architecture allows precise control of photonic bandgap and investigates the influence of lattice height in designing the wavelengths for the lattices with near 100% peak reflectance.

3B-5
Nanophotonics and plasmonics 1

Shape Memory Micro/Nano-Pillar Arrays for Dynamic and Optical Spectrum Dependent Transmission Control
Yuanhao Xu and Stella Pang (City University of Hong Kong)

This study demonstrates the dynamic conversion of optical properties using high aspect ratio shape memory polymer (SMP) micro/nano-pillars fabricated by imprinting technology. The SMP structures enable precise control over diffraction patterns and wavelength-dependent transmission, opening avenues for tunable photonic devices and enhanced light manipulation.

Thursday, May 30, 2024
8:00 am – 9:50 am PST
Session 3C – Industrial Highlights
Session Chairs: Emine Cagin (Heidelberg ), Vishva Ray (University of Michigan)
3C-1
Industrial Highlights

VPG 300 DI - The Maskless Stepper from Heidelberg Instruments
Matthias Wahl, Christian Bach and Gregg A. Moore (Heidelberg Instruments, Inc.)

Derived from the VPG+ Volume pattern generator series mask making tools, the VPG 300 DI is specially designed for direct writing high-resolution microstructures in i-line photoresists. It includes all advanced VPG+ system components for high-precision exposures as well as features needed for direct write applications of sub-micron structures.

3C-2
Industrial Highlights

Algorithmic Patterning Workflow for EBL, a new FIB-SIMS System and massive parallel Laser direct Write – Latest Raith Innovations for Nanofabrication and -Analysis
Frank Nouvertne, Torsten Richter and Viacheslav Vlasenko (Raith GmbH)

Recent innovations spanning the entire Raith product portfolio will be presented. This includes a new efficient EBL workflow for nanofabrication of metalenses, a multiple laser beam based lithography solution for large area photonic crystals, a new FIB-SIMS system for nanoanalytics, and large area SEM imaging and metrology.

3C-3
Industrial Highlights

A New Generation in Thermal Scanning Probe Lithography
Emine Cagin (Heidelberg Instruments Nano AG)

Breakthroughs in throughput and maximum lithography area in thermal scanning probe lithography, made possible by the long-awaited parallelization and smart handling of large designs in software implementation will be demonstrated. Full parallel operation of the NanoFrazor is expected to enable further innovations in nanophotonics, nanoelectronics, and advanced materials research.

3C-4
Industrial Highlights

An Upgrade Package for SEM-based Metrology and Inspection
Sven Bauerdick, Philipp Weber, Klaus Gieb, Ulrich Hofmann, Marvin Zai and Roger McCay (GenISys GmbH)

Fabricating nano devices requires SEM imaging for routine calibration and critical structures, while conventional SEM tools cannot address the growing demand for automated metrology. We present an upgrade kit (InSPEC) providing advanced scanning, metrology, and data processing with direct integration to SEM hardware, all combined in a sophisticated software workflow.

3C-5
Industrial Highlights

Mitigating Challenges in Nanofabrication with Novel Electron and X-ray Microscopy
Sandip Basu (Carl Zeiss Microscopy)

This presentation explores Zeiss' innovative electron and 3D X-ray microscopy solutions for nanofabrication. High resolution scanning electron microscope performance along with novel hardware/software developments enable surface-sensitive microstructural and chemical characterization. The application of 3D X-ray microscopy highlights its potential for improved accuracy and efficiency in advanced device fabrication.

3C-6
Industrial Highlights

Unveiling the future of precise single ion implantation
Milos Hrabovsky, Jérémie Silvent, Anne Delobbe, Justine Renuad and Morgan Reveillard (ORSAY PHYSICS)

We are going to introduce a new dedicated single ion implantation tool, including an in-situ heating stage, and that can give access to a wide range of species for the implantation application with precise control of the vertical and lateral position of the implanted ion.

3C-7
Industrial Highlights

Advanced Nanopatterning With Cell Projection Electron Beam Lithography
John Whittey, Mathais Haedrich and Ines Stolberg (Vistec Electron Beam GmbH)

Electron beam lithography (EBL) can accomplish advanced and versatile nanopatterning, due to fine resolution and maskless writing. Vistec offers EBL systems for fast writing on different types of wafer and mask substrates. These systems are used for fabricating masks in mid-range technology nodes, fabrication of nanoimprint templates, and fast prototyping.

Thursday, May 30, 2024
10:20 am – 12:10 pm PST
Session 4A – Optical/EUV lithography and metrology
Session Chairs: Patrick Naulleau (EUV Tech Inc.), Guy DeRose (California Institute of Technology)
4A-1 (Invited)
Optical/EUV lithography and metrology

Metrology driven data intelligence for research and productization of smart glasses and AR technology
Raja Muthinti (Meta Reality Labs)

4A-2
Optical/EUV lithography and metrology

Ultra-High Q-Factor Polymer Microring Resonators Fabricated by Two Types of Nanoimprinting Lithography
Wei-Kuan Lin, Shuai Liu, Sungho Lee, Zhesheng Zhang and L. Jay Guo (The University of Michigan Ann Arbor)

In this work, we present two different types of nanoimprinting lithography to fabricate polymer microring resonators. Based on both methods, we successfully show record levels of Q-factor photonic devices. We also present some unique properties of the nanoimprinted devices, such as residual layer-free and meniscus profiles.

4A-3
Optical/EUV lithography and metrology

Interferometric lithography on curved surfaces
Bruce Burckel and Mason Risley (Sandia National Laboratories)

We discuss interferometric lithography on curved surfaces and quantify the local radius of curvature limitations on achievable patterning pitch for a fixed illumination wavelength.

4A-4
Optical/EUV lithography and metrology

Single-exposure Millimeter-scale Volumetric Holographic Additive Manufacturing
Dajun X. Lin, Fei Yang Lyu, Apratim Majumder, Ji-Won Kim, Connor J. O'dea, Kwon Sang Lee, Michael Cullinan, Chih-Hao Chang, Zachariah A. Page and Rajesh Menon (University of Utah)

We demonstrate a single-exposure volumetric holographic additive manufacturing. The millimeter-scale 3D hollow cube can be simultaneously polymerized in UV resin within 1 second exposure time

4A-5
Optical/EUV lithography and metrology

Aperiodic Multilayer Mask Optimization for High-NA and Hyper-NA Extreme Ultraviolet Lithography – Reflectance, Polarization, and Phase
William E. Maguire and Bruce W. Smith (Rochester Institute of Technology)

As EUVL tools with higher image numerical apertures are introduced, the range of angles at the multilayer mask stack is also increased. We show that inclusion of phase and polarization optimization in aperiodic ML designs can extend 0.55 NA imaging to 14nm pitch, and 0.80 NA to 12nm pitch.

Thursday, May 30, 2024
10:20 am – 12:10 pm PST
Session 4B – Ion beam 1
Session Chairs: Gregor Hlawacek(Helmholtz-Zentrum Dresden-Rossendorf), Frances Allen (Lawrence Berkeley National Laboratory)
4B-1 (Invited)
Ion beam 1

Probing Radiation Effects in Gate-All-Around MOSFETs using Focused Particle Beams
Michael Titze, A. Belianinov, A. Tonigan, S. Su, G. Vizkelethy, W. Wampler, B. Hehr, M. Wang, H. Zhou, V. Narayanan, E. Bielejec, R. Arghavani (Sandia National Laboratories)

The Gate-All-Around (GAA) transistor architecture fundamentally changes the gate and isolation dielectric structures, with unknown impact on radiation performance. Additionally, GAA isolates the active device region from underlying silicon in a way that makes these transistors behave like silicon-on-insulator technology. In this work, we study GAA in surrogate radiation environments.

4B-2
Ion beam 1

Microfabrication of cylindrical structures by proton beam writing for photonic nanojets formed in different media
Kunpisit Kosumsupamala, Nitipon Puttaraksa, Hironori Seki, Hiroyuki Nishikawa, Rikuto Hotta, Akihiro Tsuji and Tatsunosuke Matsui (Shibaura Institute of Technology)

PMMA microcylindrical structures were fabricated by the proton beam writing. The photonic nanojets were generated and characterized by the 532-nm confocal laser scanning microscope system. The profiles of photonic nanojets significantly varied with the optogeometric environment which are suitable for different applications.

4B-3
Ion beam 1

Resist exposure with focused ion beams
Dan Read, Demis John, William Mitchell, Brian Thibeault, Torsten Richter, Achim Nadzeyka, Paul Mazarov, Fabian Meyer, Joel Fridmann and Yang Yu (University of California Santa Barbara)

Exposing resists with focused ion beams may have some advantages over electron beams, including little or no proximity effect. Here we present the details of exposing a variety of resists with a variety of light and heavy ions, including those produced by AuGeSi and GaBiLi liquid metal ion sources.

4B-4
Ion beam 1

High-resolution imaging, nanofabrication and nanoscale analytics with light and heavy ions from a single source
Peter Gnauck, Torsten Richter, Alexander Ost, Achim Nadzeyka, Paul Mazarov, Lars Bruchhaus, Fabian Meyer, Olivier De Castro, Jean-Nicolas Audinot and Tom Wirtz ( Raith GmbH)

In this presentation we will show different use cases of our liquid metal alloy ion source (LMAIS) based FIB platform in the field of ion imaging, nanofabrication, and nano analytics.

4B-5
Ion beam 1

Recent Progress in Quantum Applications via the Q-One Single Ion Implantation System
G. Aresta, K. Stockbridge, K. McHardy, P. Blenkinsopp (Ionoptika Ltd.)

Recent development of the Ionoptika Q-One single ion implantation system in the filed of the quantum applications will be reported. Results obtained by the end users at Universities and Research Institute will be discussed together with update on the new systems development undergoing at Ionoptika.

Thursday, May 30, 2024
10:20 am – 12:10 pm PST
Session 4C – Workforce development and outreach
Session Chairs: Aimee Price (Ohio State University), Niels Wijnaendts (Lab14)
4C-1 (Invited)
Workforce development and outreach

Workforce Challenges across the "Chips" Industry
Melinda N. Gomez (SEMI Foundation)

The semiconductor/microelectronics industry is seeing an unprecedented amount of domestic and private investment right now. With a focus on diversity and equity, the SEMI Foundation is working to build and expand short and long term programs to meet the growing talent gap.

4C-2 (Invited)
Workforce development and outreach

Shaping the Future - Intel's Academic Collaborations
Sowmya Venkataramani (Intel Corporation)

Sowmya Venkataramani (Intel Corporation )

4C-3 (Invited)
Workforce development and outreach

Beyond the Basics: PUIs and the Advanced Training of Semiconductor Professionals
Nicole Pfiester (Rose-Hulman Institute of Technology)

The benefits of primarily undergraduate institution (PUI) environments for engineering students will be discussed, as well as specific ways that Rose-Hulman Institute of Technology has merged advanced training into the undergraduate curriculum and strategies for weaving relevant high-level skills into the curricula of any institution.

4C-4
Workforce development and outreach

Sha-Chelle Devlin Manning (Chief, Commercial Strategy, DARPA)

Thursday, May 30, 2024
1:40 pm – 3:30 pm PST
Session 5A – Nanoimprint lithography and scalable manufacturing
Session Chairs: Stephen Chou (Princeton University), Stella Pang (City University of Hong Kong)
5A-1 (Invited)
Nanoimprint lithography and scalable manufacturing

Wet etch, dry etch, and now MacEtch
Xiuling Li (University of Texas at Austin)

Metal-assisted chemical etching (MacEtch) is an unorthodox semiconductor etching method, that defies the isotropic nature of chemical etch through local catalysis and carrier transport effect and enables site-controlled nanostructure fabrication with unprecedented aspect ratio without plasma related damage. This talk will discuss the mechanism and applications in aggressively scaled devices.

5A-2
Nanoimprint lithography and scalable manufacturing

Rose-petal surface fabricated by using moth-eye structure with micro-holes structure and UV nanoimprint
Kazuki Arai and Jun Taniguchi (Tokyo University of Science)

By adding micro-holes structure to the moth-eye structure, we were able to create a rose petal effect surface. This fabrication method can be used to fabricate various micro-shapes by changing the photomask, and in the future, we will also be able to form water droplet alignment patterns.

5A-3
Nanoimprint lithography and scalable manufacturing

The effect of the nanopore shape on resistive pulse sensing of mononucleotides in plastic dual in-plane nanopore sensors
Hooman Abdolvand, Junseo Choi and Sunggook Park (Louisiana State University)

Investigating the effect of nanopore geometric shapes in plastic dual-nanopore sensors on the RPS signals and identification of mononucleotides. How the pore shape affects the peak amplitude, dwell time, electrical noises of the RPS signals as well as the time-of-flight of the molecules through the nanochannels and resulting discrimination accuracy.

5A-4
Nanoimprint lithography and scalable manufacturing

Fabrication of Non-Biofouling Nanochannel Sensor in Dimethacrylate-Based UV Curable Polymers by UV-Nanoimprint Lithography (NIL)
Junseo Choi and Sunggook Park (Louisiana State University)

We demonstrate the use of di(meth)acrylate-based UV resin such as glycerol 1,3-diglycerolate diacrylate (GDM), epoxy ester 70PA, or 1,3-glyceryl dimethacrylate (GDD), each featuring varying hydroxyl group numbers, for nanochannel sensor development as a viable alternative to a poly(ethylene glycol) diacrylate (PEGDA).

5A-5
Nanoimprint lithography and scalable manufacturing

Wafer-Scale Fabrication of Ultra-Low Loss Si3N4 Photonic Integrated Chips through Nanoimprint Lithography
Shuai Liu, Wei-Kuan Lin, Yuheng Zhang, L. Jay Guo and Zheshen Zhang (University of Michigan)

Utilizing nanoimprint lithography and optimized a-Si/SiN hardmask RIE etching approach, we achieve robust and cost-effective wafer-scale fabrication of ultra-low loss SiN photonic integrated chips. Demonstrating intrinsic Q factors up to 15 Millions and efficient combs generation, our work marks the first realization of high-Q SiN PICs via NIL.

Thursday, May 30, 2024
1:40 pm – 3:30 pm PST
Session 5B – Ion beam 2
Session Chairs: Shida Tan (Intel), Katja Hoeflich (Ferdinand-Braun-Institut (FBH) Leibniz-Institut fuer Hoechstfrequenztechnik
5B-1 (Invited)
Ion beam 2

Nanofabrication of High-Transition-Temperature Superconductive Electronics with Focused Helium Ion Irradiation
Shane Cybart (University of California, Riverside)

We utilize helium FIB for the direct patterning of superconducting materials for nanoelectronics. The ion beam induces nanoscale disorder into the crystalline structure which converts the properties of the material from superconductor to insulator. describe process details and highlight applications in quantum sensing, and ultra low-power digital electronics.

5B-2
Ion beam 2

Spatially-Resolved Ion Beam Induced Phase Transition and Defect Analysis in Gallium Oxide
Umutcan Bektas Maciej Oskar Liedke, Fabian Ganss, Nico Klingner, Rene Hübner, Gregor Hlawacek, Helmholtz-Zentrum Dresden-Rossendorf(Helmholtz-Zentrum Dresden-Rossendorf)

I will present recent results on the focused and broad ion beam induced conversion of beta Galliumoxide into gamma Galliumoxide. The resulting structures are analysed using EBSD, XRD, PALS and DP-VEPAS.

5B-3
Ion beam 2

High-Resolution FIB and SIMS with a Cesium Low Temperature Ion Source
Adam Steele, Andrew Schwarzkopf and Brenton Knuffman (NanoTech Corporation)

We present the latest results from focused ion beam and secondary ion mass spectrometry systems equipped with a Cs+ Low Temperature Ion Source (LoTIS)1. LoTIS provides a high-brightness beam which enhances the capabilities of modern FIB and SIMS systems.

5B-4
Ion beam 2

Focused Ion Beams from LMAIS for Surface Imaging, 3D Volume analysis, and SIMS
Torsten Richter, Alexander Ost and Peter Gnauck (Raith GmbH)

Liquid metal alloy ion source (LMAIS) technology has evolved with the GaBiLi source. This innovation enables 3D imaging without sample tilt using Li+ and Bi+ ions. VELION FIB-SEM featuring GaBiLi ion source is explored for 3D imaging, emphasizing the potential synergy with SIMS for comprehensive analytical surface information.

5B-5
Ion beam 2

Imaging of SARS-CoV-2 infected Vero E6 Cells by Helium Ion Microscopy
Natalie Frese, Patrick Schmerer, Martin Wortmann, Matthias Schürmann1, Matthias König, Michael Westphal, Friedemann Weber, Holger Sudhoff and Armin Gölzhäuser (Physics of Supramolecular Physics and Surfaces)

Helium Ion Microscopy (HIM) images of SARS-CoV-2 infected Vero E6 cells are presented. Interactions between cells and virus, as well as among virus particles, are imaged. The absence of a conductive coating allows a distinction between virus particles bound to the cell membrane and virus particles lying onto the membrane.

Thursday, May 30, 2024
1:40 pm – 3:30 pm PST
Session 5C – Nanoelectronics
Session Chairs: Qiangfei Xia (University of Massachusetts Amherst), Carla Perez-Martinez (University College London)
5C-1 (Invited)
Nanoelectronics

Analog computing with high precision and programmability enabled by memristors
Joshua Yang (University of Southern California)

Analog computing typically has superior energy efficiency and high throughput, but limited precision and programmability. We introduce memristive field-programmable analog arrays (FPAAs) to improve its reconfigurability. We engineer memristor device with 11-bit precision and develop a novel architecture to achieve arbitrarily high precision for vector-matrix multiplications.

5C-2
Nanoelectronics

Overcoming Challenges in Single-Electron Charge Detection of Nanoscale dipoles
Mohammad Istiaque Rahaman, Richard Allen McManus Jr., Daniel Joseph Noronha, Gergo P. Szakmany, Alexei Orlov and Gregory L. Snider (University of Notre Dame)

Single charge detection in nanoscale objects is extremely important towards functional realization of charge qubits. Our study focuses on achieving single charge detection within a nanoscale single electron box, employing a Single Electron Transistor (SET). The detection results are further validated through comprehensive simulations of the underlying structure.

5C-3
Nanoelectronics

Scalable Fabrication of Vertically Arranged Bi2Se3 Crossbar Arrays of Memristors towards Neuromorphic Control Applications
Seungjun Ki, Mingze Chen, Jisoo Kim and Xiaogan Liang (University of Michigan)

The study outlines a method using physical vapor deposition to selectively grow hexagonal Bi2Se3 nanosplates on Au-deposited bottom electrodes, preventing shorted nodes in large-area crossbar arrays. This scalable approach could guide the fabrication of memristive devices for artificial neural networks and neuromorphic sensory devices.

5C-4
Nanoelectronics

In-house 20k memristor/CMOS monolithic integration and its statistical characterization
Imtiaz Hossen, William A. Borders, Brian D. Hoskins, Advait Madhavan, Shweta Joshi, Jabez J. McClelland and Gina C. Adam (The George Washington University)

Interest in memristor devices has seen a rapid rise in the past decade due to their electronic programmability, non-volatile storage with years-long retention and small footprint. This work demonstrates the high performance of monolithically-integrated memristors across a large population and the potential for development of larger arrays in the future.

5C-5 (Invited)
Nanoelectronics

Low Symmetry Van der Waals Photonic Materials
Han Wang (University of Hong Kong)

I will discuss our work in studying the unique optical properties resulting from their low symmetry crystal lattice will be discussed. I will also discuss our work in studying the interesting mechanical properties of inorganic double helical crystal material SnIP, discovering its record low Young's modulus and high mechanical flexibility.

Thursday, May 30, 2024
4:30 pm – 6:00 pm PST
Panel Discussion
Nanomanufacturing in the AI Era

Moderator: Dr. Gina Adam (Associate Professor, George Washington University)

Panelists: Dr. Khershed P. Cooper (Program Director, NSF), Dr. Patrick Jungwirth (Research computer engineer, ARL), Dr. Niels Wijnaendts van Resandt (Head of Business Development, LAB14 GmbH), Dr. Alvin Loke (Senior Principal Engineer, INTEL), Dr. Qiangfei Xia (Professor, University of Massachusetts)

Friday, May 31, 2024
8:00 am – 9:50 am PST
Session 6A – Advanced micro/nanolithography 2
Session Chairs: Liz Dobisz (SLAC), Chao Wang (Arizona State University)
6A-1 (Invited)
Advanced micro/nanolithography 2

At-wavelength metrology and characterization enabling EUV scaling
Patrick Naulleau (EUV Tech Inc.)

The advancement of extreme ultraviolet (EUV) lithography relies on at-wavelength metrology to understand and control materials for mask and patterning, essential for reducing feature sizes. The presentation will address how phase characteristics in EUV are sensitive to material variations and thin-film interference, complicating the patterning process. Additionally, it explores the significant role of stochastic effects arising during mask manufacturing, which impact the wafer level, emphasizing the need for precise characterization to improve lithographic accuracy.

6A-2
Advanced micro/nanolithography 2

Direct fabrication of gratings on ridge laser structures using focused bismuth ion beams
Ben Salmond, Wyn Meredith, Michael Wale, Peter Smowton, Sam Shutts, Dan Read, Demis John, William Mitchell, Brian Thibeault, Torsten Richter, Achim Nadzeyka, Paul Mazarov, Fabian Meyer, Joel Fridmann and Yang Yu (Cardiff University)

Distributed feedback lasers are essential components in long range data communication systems. To create these devices a costly regrowth process that may increase defects is often required. Focused ion beams can be used to directly pattern laterally coupled grating structures, greatly simplifying the fabrication process and potentially improving performance.

6A-3
Advanced micro/nanolithography 2

Flow Analysis of 2-photon 3D Printing in situ Material Exchange
Pratyaksh Yemulwar, Man Ho Wong, Josua Zscheile, Fatemeh Rajabasadi and Robert Kirchner (HETEROMERGE GmbH)

We demonstrated the successful fabrication of a cuboidal structure using the MergeOne (HETEROMERGE) exchange system and examined the flow analysis using a 25x immersion fluorescence microscopy (ZEISS) system. The results of this work helped to understand the real-time exchange happening during the 2PP-based 3D printing process.

6A-4
Advanced micro/nanolithography 2

Reflow transfer: extending planar micro- and nanolithography to 3-dimensional substrates
Gary Zabow (National Institute of Standards and Technology)

Traditional micro- and nanolithographic approaches are limited to patterning hard, flat surfaces. This talk introduces a new transfer microprinting approach that uses cheap, water-soluble, reflowable materials to extend such patterning to arbitrary material substrates and to truly 3-dimensional microstructures that may include high curvatures and sharp edges [Science 378, (2022)].

6A-5
Advanced micro/nanolithography 2

Field-Emission Scanning Probe Lithography-Based Mix and Match Fabrication of Junctionless FETs
Taner Altinmakas, Mert Özden, Sina Zare Pakzad, Ivo Rangelow, Arda Deniz Yalcinkaya, Umut Kerimzade and B. Erdem Alaca (Koç University)

The purpose of this study is to fabricate a silicon nanowire (SiNW)-based junctionless field-effect transistor (JLFET) on a silicon-on-insulator substrate using field-emission scanning probe lithography (FE-SPL) followed by cryogenic reactive ion etching (RIE) and characterization of the device. A mix-and-match method is utilized containing both micro and nanoscale fabrication steps.

Friday, May 31, 2024
8:00 am – 9:50 am PST
Session 6B – Ion beam 3
Session Chairs: Alex Belianinov (Sandia National Lab), Armin Gölzhäuser (Bielefeld University)
6B-1 (Invited)
Ion beam 3

Harnessing Charged Particle Beams to Tailor Defects by Design
Frances Allen (UC Berkeley)

The helium ion microscope has emerged as a multifaceted instrument enabling a broad range of applications beyond imaging in which the finely focused helium ion beam is used for a variety of defect engineering, ion implantation, and nanofabrication tasks. This talk will focus on discussing the many defect engineering applications.

6B-2
Ion beam 3

Roadmap for Focused Ion Beam Technologies
Katja Hoeflich, Gerhard Hobler, Frances Allen, Tom Wirtz, Gemma Rius and Gregor Hlawacek (Ferdinand-Braun-Institut gGmbH)

This roadmap document comprises a review of the current state-of-the-art of advanced focused ion beam (FIB) processing and technology followed by an outlook on required future developments curated by a diverse group of stakeholders.

6B-3
Ion beam 3

FIB direct patterning of graphene islands for localizing GaN nanowires epitaxial growth
Dyhia Tamsaout, Jean René Coupdevylle, Lucas Labbé, Jean-Christophe Harmand, Maria Tchernycheva, Ali Madouri and Jacques F. Gierak (Centre de Nanosciences et de Nanotechnologies)

Nanowires (NW) are promising candidates for the development of flexible optoelectronic devices, such as LEDs. With this objective, we have investigated the epitaxial growth of GaN nanowires (NWs) on graphene substrates patterned directly with finely and swift focused ion beams.

6B-4
Ion beam 3

A new tool to perform hot ion implantation for the creation of dense NV ensembles in diamond
Jérémie Silvent, Justine Renaud, Morgan Reveillard, Anne Delobbe, Midrel Ngandeu Ngambou, Ovidiu Brinza, Fabien Bénédic, Jocelyn Achard, Pauline Perrin, Ionut Gabriel Balasa, Alexey Tiranov, Philippe Goldner and Alexandre Tallaire (ORSAY PHYSICS)

Orsay Physics has developed a novel implantation tool utilizing different species for diamond substrate processing, crucial for creating efficient nitrogen-vacancy centers in quantum sensors. Precise ion implantation at 800°C significantly enhances NV photoluminescence emission, allowing higher ion fluences without crystal lattice damage, a key advancement for diamond-based quantum sensor performance.

6B-5
Ion beam 3

Improving Diamond Color Center Yield via Ultraviolet Irradiation during High-Temperature Annealing
Coleman Burdette Cariker, Jacob Daniel Henshaw, Ed Salvatore Bielejec, Michael Titze, Andy Mounce, Yifan Yao and Andre Schleife (Sandia National Laboratories)

We implant silicon ions in a diamond sample, followed by an annealing procedure where half of the sample is exposed to UV LED irradiation. We then perform photoluminescence spectroscopy on the implant sites, testing recent theoretical calculations which suggest post-annealing under UV irradiation can increase the activation yield of SiV.

Friday, May 31, 2024
8:00 am – 9:50 am PST
Session 6C – Applications of nanofabrication 1
Session Chairs: Xiaogan Liang (University of Michigan), Ke Du (UC Riverside)
6C-1 (Invited)
Applications of nanofabrication 1

An engineered platform to study the influence of nanotopography on endothelial cell organization
Shani Tcherner Elad, Rita Vilensky, Noa Ben-Asher, Eyal Zussman and Leeya Engel (Israel Institute of Technology)

Endothelial cells are exquisitely sensitive to ECM topography. We engineered nanopatterned ECM constructs compatible with high resolution electron microscopy by electrospinning aligned and randomly oriented ECM fibers on gold electron microscopy grids. These cell culture supports will enable investigation of the sensitivity of endothelial cells to changes in ECM topography.

6C-2
Applications of nanofabrication 1

Memristor-based Tunable Oscillator for Frequency Hopping Spread Spectrum Technology
Nishat Tasnim Hiramony, Sushmit Hossain, Zerui Liu, Jiacheng Ye, Zhexiang Tang, Ting-Hao Hsu, Hongming Zhang, Yunxiang Wang and Wei Wu (University of Southern California)

A memristor based tunable oscillator is proposed for FHSS technology. The pseudorandom signals from our PN sequence generator are fed into a digital system that maps each signal to a particular frequency from a look-up table. The digital system then controls the conductance of memristors generating signals with desired frequencies.

6C-3
Applications of nanofabrication 1

Sublayer Carbonation of Ni(111) Surfaces from the Boudouard Reaction: An STM Study
Fang Xu, Jennifer Sanchez and Kevin Sutherland (The University of Texas at San Antonio)

The initial growth of surface carbon on Ni(111) by the Boudouard reaction was studied by STM. The adsorbed C atoms on terraces are weakly bound and those on step-edges induce a reconstruction of the Ni surface to create four-fold coordinates that mediate further carbide formation.

6C-4
Applications of nanofabrication 1

Residual Stress in Sputtered Au-Cu Thin Films
Brent Edgerton, Jaron Vernal Moon, Yangliu Liu and Roseanne Warren (University of Utah)

Thin gold electrodes have been fabricated and characterized based on their stress, morphology, mechanics, and optics. The fabrication mode used is sputtering, which has the ability to alter the work function in the surface material, a finding of great import for energy applications.

6C-5
Applications of nanofabrication 1

A WSe2-MoS2 JFET with tunable polarity via back gate voltage control
Ting-Hao Hsu, Hefei Liu, Hongming Zhang, Han Wang and Wei Wu (University of Southern California)

This study utilizes van der Waals integration to create a high-quality p-n junction in a WSe2-MoS2 JFET. The MoS2 gate controls carrier concentration in the WSe2 channel, enabling polarity switching with a back gate. The device demonstrates low leakage current, offering flexibility for diverse circuit applications.

Friday, May 31, 2024
10:20 am – 12:10 pm PST
Session 7A – Scalable micro/nanomanufacturing 1
Session Chairs: Richard Silver (NIST), Scott Lewis (Caltech)
7A-1 (Invited)
Scalable micro/nanomanufacturing 1

Nanoapatite Delivery Platform for Antiviral Therapies
Jessica Andriolo, Jack Skinner, Marisa L. Pedulla and M. Katie Hailer (Montana Technological University)

Iron-doped apatite nanoparticles are a patented antiviral that exhibits high activity against herpes simplex virus 1 and the human papillomavirus. A nanoapatite delivery vehicle enables safe delivery of the active ingredient to mammalian cells to prevent viral replication in a manner that enables use as a broad spectrum antiviral therapy.

7A-2
Scalable micro/nanomanufacturing 1

Fabrication of FDTD-based inverse design enables f/0.27 flat microlens array for integral imaging
Tina M. Hayward, Apratim Majumder, Dajun X. Lin and Rajesh Menon (University of Utah)

We designed, fabricated, and characterized an ultra-low f/# (0.27) micro-MDL array with an extremely short focal length while preserving a large aperture to allow for easy integration onto security offset prints. We fabricated the master pattern (min. feature = 700nm) using grayscale lithography, which was replicated using UV casting.

7A-3
Scalable micro/nanomanufacturing 1

Investigation of Capillary and Electrostatic Forces in Anti-Dust Nanostructures Andrew N. Tunell, Lauren Micklow, Nichole Scott, Stephen Furst and Chih-Hao Chang ( University of Texas at Austin)

Investigation of changes in anti-dust performance of nanostructured surfaces as a function of environmental humidity. Low and high humidities cause electrostatic and capillary forces to dominate respectively. We present the contribution of these forces across a range of humidities and investigate transparent surface coatings to tune the response.

7A-4
Scalable micro/nanomanufacturing 1

Lead Halide Perovskite Pixel Arrays Fabricated by Ultrathin Reusable Metal Mask
Zhao Sun, Zhuofei Gan, Jianwen Zhong and Wen-Di Li (University of Hong Kong)

In this study, we propose a novel patterning process for perovskite arrays using a high-resolution, large-scale metal mask and spin-coating.

7A-5
Scalable micro/nanomanufacturing 1

Fabrication of Memristive Network Devices on Nanomembranes
Mingze Chen, Xiaoqiu An and Xiaogan Liang (University of Michigan)

This work presents a novel nanomanufacturing method for fabricating Bi2Se3 memristive networks on nanomembranes, which could be further transferred onto flexible polymeric substrates.

Friday, May 31, 2024
10:20 am – 12:10 pm PST
Session 7B – Metamaterials, metasurfaces, and meta-optics 2
Session Chairs: Jay Guo (University of Michigan), Ming Lu (Brookhaven)
7B-1 (Invited)
Metamaterials, metasurfaces, and meta-optics 2

Structural colors: toward AI design and low-cost fabrication
Weijie Feng, Anwesha Saha, Taigao Ma, Haozhu Wang and L. Jay Guo (The University of Michigan, Ann Arbor)

Structural colors based on layered structures can be mass-produced and have been applied in industrial applications. Such structures can now be designed using machine learning algorithms. Environmental-friendly chrome-like coating can be designed this way and made by PVD process. Solution process was explored as low-cost alternative to make layered structures.

7B-2

Metamaterials, metasurfaces, and meta-optics 2

Implementation of Nanopillar Metasurfaces for the Sensitive Detection of Antibiotic Signatures
Jacob Thomas Waitkus, Ke Du, Shuai Feng, Theodore Ndukaife and Sui Yang (University of California at Riverside)

Fabrication of unique silicon nanopillars allows for production of intricate metasurface geometries, capable of producing a desirable double-peak reflectance spectrum for the sensitive and specific detection of bound analytes. The facile fabrication workflow coupled with an antibiotic-gold nanoparticle assay, allows for plasmonic detection in the presence of the antibiotic signatures.

7B-3
Metamaterials, metasurfaces, and meta-optics 2

Thin-Films for Metastructures, Meta-Optics, and Surface Nanofabrication
Dominic Bosomtwi, Neal Raney, Md Sakibul Islam, Aaron Holzer and Viktoriia Babicheva (University of New Mexico)

We analyze thin films, metastructures, and surfaces with multipolar coupling and bound states in the continuum, leading to strong and narrow resonances. We show that we can control nanostructure parameters and enable directional scattering from the metastructure. Improved quality of the thin film results in enhanced electronic and photonic functionalities.

7B-4
Metamaterials, metasurfaces, and meta-optics 2

Enabling Nanofabrication of a 1mm² Metalens in less than a Minute by innovative algorithmic EBL Patterning Strategies
Frank Nouvertne, Guido Piaszenski, Jana Münchenberger, Christoph Aulbach and Volker Boegli (Raith GmbH Dortmund)

By exploiting the algorithmic description of a metalens pattern and directly translating it into an EBL job with pixel stream generation "on the fly", the throughput for nanofabrication of a 1mm² metalens was enhanced by a factor of 10 resulting in a total patterning time of less than a minute.

7B-5
Metamaterials, metasurfaces, and meta-optics 2

The Grayscale Nanoassemble Fabrication and ultra-realistic imaging of Height Gradient Nanostructures
Ruhao Pan and Junjie Li (Institute of Physics, Chinese Academy of Sciences)

A grayscale nanoassemble fabrication method based on the e-beam lithography and atomic layer deposition is proposed with the capacity of individually controlling the height of high aspect nanostructures, which have been used in ultra-realistic imaging of both high-resolution grayscale and color pictures.

Friday, May 31, 2024
10:20 am – 12:30 pm PST
Session 7C – Personalized healthcare and nanofluidics
Session Chairs: Ke Du (UC Riverside), Frank Vollmer (University of Exeter)
7C-1 (Invited)
Personalized healthcare and nanofluidics

iMOST (instant Mobile Self-Test) -- Intelligent Nanostructures Enabling Accurate, Broad, Instant, Mobile Health Self-Test
Stephen Y. Chou (Princeton University)

The talk will present a new test platform: iMOST™ (instant Mobile Self-Test), which uniquely uses intelligent nanostructures to achieve rapid sample preparation and enable accurate, instant, simple, low-cost, mobile health self-tests, hence overcoming the major barriers in tests in self-tests or and offering a solution to the unmet needs.

7C-2
Personalized healthcare and nanofluidics

Nanoparticle-assisted, Portable Detection of African Swine Fever Infection
Seyedsina Mirjalili, Yeji Choi, Carmina Gallardo, Marisa Arias Neira and Chao Wang (Arizona State University)

We propose and demonstrate a novel nanoparticle-based, rapid, in-solution assay for ASF detection. This assay does not require washing, amplification, or labeling, lowering test costs. Our design concept introduces a novel signal transduction for antigen- and antibody-sensing, based on antigen-antibody binding induced metal nanoparticle aggregation and subsequent plasmonic color change.

7C-3
Personalized healthcare and nanofluidics

Rapid, Electronic, and Accessible Detection of COVID-19
Yeji Choi, Seyedsina Mirjalili, Md Ashif Ikbal, Sean McClure and Chao Wang (Arizona State University)

Conventional diagnostics (PCR, ELISA) are slow and personnel-demanding; RATs have low sensitivity. We present a cost-effective, miniaturized system to detect SARS-CoV-2 antibodies/antigens from body fluids, achieving high sensitivity (aM), rapid results (15-30 min), and low cost (few dollars). Performance evaluation showed ultra-low LoD for antibody and antigen detection, outperforming ELISA.

7C-4
Personalized healthcare and nanofluidics

Passage of Nasopharyngeal Carcinoma Cells through Narrow Channels
Xiao Hong, Yuanhao Xu and Stella Pang (City University of Hong Kong)

Microwells with connecting channels and nanoholes at the bottom were fabricated to investigate the passage of nasopharyngeal carcinoma cells. Traversing probability of cells through narrow channels decreased with nanoholes patterned at the bottom, which is correlated to the reduced cell motility caused by the F-Actin rearrangement.

7C-5 (Invited)
Personalized healthcare and nanofluidics

Nanoparticle-Supported, Rapid, Electronic Detecting System for Accessible Infectious Disease Diagnosis
Chao Wang (Arizona State University)

To address the need for highly sensitive yet accessible tests to curb infectious diseases, we propose and demonstrate a new approach, termed nanoparticle-supported, rapid electronic detection (NasRED), as a modular sensing platform with a high analytical sensitivity as low as atto-molar range for a variety of infectious antigens.

Friday, May 31, 2024
1:30 pm – 3:20 pm PST
Session 8A – Scalable micro/nanomanufacturing 2
Session Chairs: Todd Hastings (University of Kentucky), James Spallas (KLA)
8A-1 (Invited)
Scalable micro/nanomanufacturing 2

Parallel Nanoscale 3D Printing with Nonlinear Initiation Depletion
Venkata Kalyan Vadlamudi, Shih Hsin Hsu, Jinwoo Kim, Teng Chi, Zihao Liang, Paul Somers, Bryan Boudouris, Xianfan Xu and Liang Pan (Purdue University)

We report parallel 3D nanoprinting based on a one-photon nonlinear photopolymerization process by controlling the depletion and diffusion processes. We demonstrated 120nm resolution by using a compact low-cost diode laser of milliwatt power at a scanning speed of 100s-1000s µm/s. We constructed a multiphysics model to understand the process.

8A-2
Scalable micro/nanomanufacturing 2

Full-Wafer Nanoimprint Patterning for CMOS Pilot Line Development and Manufacturing
Matt Traub, Myriam Willegems, Steve smout, Pau Guell I Grau, Silvia Lenci, Mohamed Saib, Eleonora Storace, Aurelie Humbert, Bogumila Kutrzeba Kotowska, Igor Turovets and Joey Hung (imec)

This talk presents on-going results on the incorporation of nanoimprint lithography (NIL) into a 300 mm CMOS pilot line, including handling of non-traditional glass substrates, high-selectivity etch processes, and full wafer metrology. The combination of large area NIL patterning with CMOS precision opens new possibilities for scalable nanofabrication.

8A-3
Scalable micro/nanomanufacturing 2

Automation of Pattern Driven Metrology for Photonic Devices Utilizing a FESEM for Process Monitoring
Chad Eichfeld, Bangzhi Liu, Michael Labella, Guy Lavallee, Marvin Zai, Klaus Gieb and Sven Bauerdick (Pennsylvania State University)

In this paper we present methods and results for utilizing our FESEM (Zeiss Gemini) to acquire pattern driven automated images in combination with measurements using an integrated software upgrade kit for metrology (GenISys InSPEC). A special focus is on photonic devices like large area gratings, photonic crystals, meta lenses, etc.

8A-4
Scalable micro/nanomanufacturing 2

Fabrication of Metallic Nanostructure Substrate by Templated Electrodeposition for Laser Desorption/Ionization Mass Spectrometry Detection
Chuwei Liang, Zhuofei Gan, Menghong Xu, Hongtao Feng and Wen-Di Li (The University of Hong Kong)

We report herein the use of metal nanopillar arrays fabricated by interference lithography and templated electrodeposition as the surface-assisted laser desorption ionization (SALDI) substrate for mass spectrometry (MS)-based small molecule profiling.

8A-5
Scalable micro/nanomanufacturing 2

Self-Aligned Fabrication of Vertical, Fin-Based Structures
Joshua A. Perozek and Tomás Palacios (Massachusetts Institute of Technology)

Modern power devices have realized 3-D, vertical designs offer many benefits for power density and reliability. However, fabrication techniques have historically relied on 2-D processes for lateral features. In this work, we present how multiple steps of angled depositions can be used for scalable, self-aligned fabrication of vertical, fin-based devices.

Friday, May 31, 2024
1:30 pm – 3:10 pm PST
Session 8B – Simulation, modeling, and design tools for nanofabrication
Session Chairs: Liz Dobisz (SLAC), James Owen (Zyvex Labs)
8B-1
Simulation, modeling, and design tools for nanofabrication

Characterizing profile tilt of nanoscale deep-etched gratings using Mueller matrix spectroscopic ellipsometry
Shiva Mudide, Nick Keller, Alexander R. Bruccoleri, Ralf K. Heilmann and Mark L. Schattenburg (Massachusetts Institute of Technology)

We introduce a fast, nondestructive optical method to measure tilt in high-efficiency, critical-angle transmission gratings for x-ray astronomy, using Mueller matrix spectroscopic ellipsometry. We ultimately aim to improve grating fabrication for telescopes, ensuring high diffraction efficiency and resolving power by optimizing the angle of incident x-rays.

8B-2
Simulation, modeling, and design tools for nanofabrication

Closed-loop Simulation, Image processing and Data Preparation for Large Scale Structural Color Printing by EBL
Dengyang Lu and David S. Barth (University of Pennsylvania)

We introduce a closed-loop design method for printing large-scale, arbitrary color images with structural colors in metasurfaces. This involves FDTD simulation, RGB color mapping, image processing, and data preparation, allowing the easy fabrication of centimeter-scale color patterns in a fully programmatic process.

8B-3
Simulation, modeling, and design tools for nanofabrication

Experimental and Numerical Analysis of Nanofluid Dynamics in Microchannels
Negin Bahadori and Yun Chen (Louisiana Tech University)

This study examines the use of nanotechnology in oil recovery, focusing on how silicon oxide nanoparticles in nanofluids affect oil mobilization in micromodels. Through experiments and simulations, we assess wettability changes and compare the performance of nanofluid with traditional methods, aiming to improve multi-phase flow dynamics in oil recovery.

8B-4
Simulation, modeling, and design tools for nanofabrication

Dielectrics in the Boundary Element Method (BEM) solver of the General Particle Tracer (GPT) code
Sebastiaan van der Geer and Marieke de Loos (Pulsar Physics)

Here we present a new extension to the well-established GPT simulation code that allows isotropic dielectric materials to be included in the hierarchical Boundary Element Method (BEM) solver. The extensions allows particles to be tracked with nanometer precision through demanding electrostatic field configurations such as lens arrays.

8B-5
Simulation, modeling, and design tools for nanofabrication

Multi-Photon Exposure Strategy and its Combination with Single-Photon Direct Laser Writing Technology
Benedikt Stender, Willi Mantei and Christian Pies (Heidelberg Instruments Mikrotechnik GmbH)

We will discuss TPP exposure strategies for topographies like microlens arrays and gearwheels. Combining TPP with UV direct laser writing demonstrates the compatibility with respect to application, materials as well as process steps and highlight the potential for innovative applications in fields ranging from micro-optics to micromechanics among others.

Friday, May 31, 2024
1:23 pm – 3:10 pm PST
Session 8C – Nanobiology, nanomedicine and implantable devices
Session Chairs: Leeya Engel (Technion - Israel Inst. of Tech.), Natalya Kublik (ASU)
8C-1 (Invited)
Nanobiology, nanomedicine and implantable devices

Nano- and micro-structured aptamer-field-effect transistors for implantable and wearable biomarker sensing
Anne Milasincic Andrews and Chuanzhen Zhao (University of California, Los Angeles)

We fabricate aptamer-coupled transistors on hard and soft materials. We produce multiplexed implantable and wearable sensing devices for animals and humans to improve understanding of behaviorally relevant information encoded by chemical modulators.

8C-2
Nanobiology, nanomedicine and implantable devices

Design, Fabrication and Test of a New Technology for MRI-Compatible Deep Brain Stimulation (DBS) Implants
Francesca Marturano, Aditya Tummala and Giorgio Bonmassar (Harvard Medical School)

Patients with deep brain stimulation (DBS) implants may face MRI safety issues. We propose a metamaterial-based technology for fabricating MRI-compatible DBS leads that reduces RF-induced current and Specific Absorption Rate. Preliminary experimental tests show that our wires present significantly lower tip heating at both 1.5T and 3T than standard wires.

8C-3
Nanobiology, nanomedicine and implantable devices

Rapid TNF-Alpha Quantification Using Gold Nanoparticles Towards Cytokine Monitoring in Inflammatory Diseases
Mohammad Altarfa, Maziyar Kalateh Mohammadi, Md Ashif Ikbal and Chao Wang ( Arizona State University)

We propose an innovative approach making use of plasmonic gold nanoparticles (AuNPs) to rapidly and accurately quantify TNF-α in the presence of anti-TNF-α Abs and ADA to improve disease treatment efficacy.

8C-4
Nanobiology, nanomedicine and implantable devices

Merging Femtosecond Laser-based 3D Printing and Soft-lithography: a Hybrid Fabrication route for Organ-on-Chips
Gulden Akcay and Regina Luttge (Eindhoven University of Technology)

Organ-on-Chips (OoC) model organ function and their disease. These models often employ polydimethylsiloxane microfluidic architectures sealed with a flat microscope glass slide. We patterned fused silica as a sealing substrate utilizing 3D printing enabled by FEMTOprint to offer additional microfluidic capabilities for OoCs by this hybrid fabrication technique.

8C-5
Nanobiology, nanomedicine and implantable devices

From Lab to Field: Integrating Graphene Biosensors into Autonomous Systems for Real-Time Environmental Monitoring
Thomas Alava (CEA-LETI, Université de Grenoble Alpes)

Advancing graphene sensors from lab to field, we emphasize the importance of sensor integration into autonomous systems for effective on field operability. We will insist on strategic selection of biological target of interest, , and leveraging the advantages of relying on commercial SGFET technology, for enabling reliable sensing.

Friday, May 31, 2024
3:40 pm – 5:30 pm PST
Session 9A – Resists and materials
Session Chairs: Chih-Hao Chang (UT Austin), Jessica Andriolo (Montana Tech)
9A-1 (Invited)
Resists and materials

Nanoscale layers of ferroelectric BaTiO3: From growth to fabrication
Jayakanth Ravichandran (University of Southern California)

BaTiO3 is a prototypical ferroelectric material with promise for memory and ferroelectric neuromorphic devices. Despite years of research, several outstanding challenges in the growth and fabrication of ultrathin nanoscale BaTiO3 layers remain. outline the advances achieved in my group to address these challenges.

9A-2
Resists and materials

Hot on the trail of ultrathin films of patternable polyethylene
Sandra A. Gutierrez Razo, Andrew Madison, Daron Westly, Kalman B. Migler, Adam L. Pintar and Samuel M. Stavis (National Institute of Standards and Technology)

We are in hot pursuit of ultrathin films of low-density polyethylene (LDPE) that are sufficiently uniform for nanofabrication. We introduce a systematic study of hot spin-coating of LDPE films and develop an electron-beam lithography process to pattern LDPE nanostructures, enabling the creation of nanoplastic standards and other novel devices.

9A-3
Resists and materials

Study of Tetraethylammonium Hydroxide as an Alternative for TMAH Developer in Electron Beam and Photolithography
Kavya Dathathreya, Aimee Price, Justin Wirth, Chris Staudt and Dave Hollingshead (The Ohio State University)

Considering the dermal toxicity hazard of TMAH it would be desirable to find a less toxic metal-ion-free developer for photon and electron beam lithography (EBL). We compared developer based on the less toxic tetraethylammonium hydroxide (TEAH) to TMAH for broadband contact and direct write laser lithography and EBL.

9A-4
Resists and materials

Exploring the use of Aberration-Corrected Electron-Beam Lithography with Novel Metal-Based Electron-Beam Resists
Fernando Camino, Nikhil Tiwale and Mohammad S. M. Saifullah (Brookhaven National Laboratory)

Recently, a low molecular mass metal-based resist produced patterns of the order of 4nm (approx. the probe size of the commercial e-beam writer used). Here, we present results on a novel negative-tone zinc-based resist patterned with a SEM and an aberration-corrected STEM, both equipped with a pattern generator.

9A-5
Resists and materials

Enhanced contrast and high-resolution patterning of PMMA on insulating substrates under ambient gases
Deepak Kumar, Cooper Meyers, Robert Lewis Smith Jr. and Todd Hastings (University of Kentucky)

To our knowledge, these are the first studies of molecules other than water for EBL in gaseous environments. VP-EBL of PMMA under helium yields higher sensitivity, contrast (12.5) and the highest resolution (25-nm half-pitch dense lines and spaces) demonstrated to date for EBL on insulating substrates in a gaseous environment.

Friday, May 31, 2024
3:40 pm – 5:30 pm PST
Session 9B – Nanophotonics and plasmonics 2
Session Chairs: Abdoulaye Ndao (UCSD), Wei Wu (USC)
9B-1 (Invited)
Nanophotonics and plasmonics 2

Multi-dimensional Optical Field Manipulation Based on Dielectric Metasurfaces: Materials, Fabrication, and Applications
Cheng Zhang (Huazhong University of Science and Technology)

We present a few of our recent works on multi-dimensional optical field manipulation based on dielectric metasurfaces.

9B-2
Nanophotonics and plasmonics 2

Fabrication of A Nanodiamond Monolayer for Local Temperature Sensing of Plasmonic Gold Nanoparticles
Chengyuan Yang, Huirong Sun, Aliki Sofia Rotelli, Raphael Antonio Liboro Romasanta, Aaron Sean Zhenjie Tan, Steve Qing Yang Wu, Vignesh Suresh, Ee Jin Teo and Andrew Anthony Bettiol (National University of Singapore)

This work demonstrates a method of fabricating a nanodiamond monolayer on top of a layer of gold nanoparticles for localized thermal sensing and imaging of the gold nanoparticles under different conditions of optical excitation.

9B-3
Nanophotonics and plasmonics 2

Narrow linewidth surface lattice resonances in plasmonic aluminum nanoantenna arrays
Bhera Ram Tak, Kamonpan Chumpol, Robert O'Meara, Nebras Alattar and Richard G. Hobbs (Trinity College Dublin)

Plasmonic metal nanoparticles amplify optical fields, but suffer from low quality factor resonances. Surface lattice resonances (SLRs) in periodic arrays offer higher quality factors, crucial for applications like emitters and lasing. Here we report aluminum bowtie nanoantennas with narrow linewidth SLRs in the blue-green spectrum for potential strong coupling studies.

9B-4
Nanophotonics and plasmonics 2

Optical Microcavities: From sensing single molecules with WGM microlasers to applications in synthetic biology
Frank Vollmer (University of Exeter)

This talk discusses our latest results for whispering-gallery mode microcavities as biosensors, enhanced by plasmonic nanorods for single-molecule detection. It explores prospects in enzyme kinetics, thermo-optoplasmonic sensing, and single-molecule detection on microlasers, offering insights into biosensing advancements and applications in synthetic biology.

9B-5
Nanophotonics and plasmonics 2

Fabrication of spatially thickness-varying film by grayscale plasma etching
Shubin Huang, Zhao Sun, Zhuofei Gan, Jianwen Zhong, Zijie Jiang and Wen-Di Li (The University of Hong Kong)

In this work, a grayscale plasma etching is demonstrated to fabricate spatially thickness-varying layer with a nickel mask which is fabricated by a hybrid method. The layer thickness can be manipulated by changing the filling ratio of the pattern on the shadow mask.

Friday, May 31, 2024
3:40 pm – 5:30 pm PST
Session 9C – Applications of nanofabrication 2
Session Chairs: Rick Silver (NIST), Raja Muthinti (Meta)
9C-1 (Invited)
Applications of nanofabrication 2

Hot Electrons and Integrated Photonics for Electron Emitters
Rehan Kapadia (University of Southern California)

We will discuss some of our recent work on how hot electrons and integrated photonics can be used to fabricate electron emitters with improved performance metrics.

9C-2
Applications of nanofabrication 2

Memristor-based Analog Optimization Solver for Safety-critical Control
Sushmit Hossain, Ryan M. Bena, Zerui Liu, Buyun Chen, Pan Hu, Yunxiang Wang, Quan Nguyen and Wei Wu (University of Southern California)

A novel hybrid analog-digital architecture enhances safety-critical controllers in embedded systems, utilizing memristor-based analog computing for quadratic programming. This approach, applied in a micro-quadrotor UAV's flight control, significantly cuts processing times for collision avoidance, demonstrating the system's efficiency and effectiveness in real-world safety applications.

9C-3
Applications of nanofabrication 2

Fabrication and Catalytic Performance of Electrospun HPA Supported in Pt-TiO2 Nanofibers for Hydrodeoxygenation
Amos Taiswa, Jessica Andriolo, Jack Skinner, Randy L. Maglinao and Sandeep Kumar (Montana Technological University)

Electrospinning is used to fabricate catalytic nanofiber scaffolds for biofuel conversion. The catalytic scaffolds produced were functionalized with TiO2 and Pt nanoparticles (NPs) and tungstosilicic acid crystals (Pt-TiO2-HPA). The performance was evaluated on a batch reactor using phenol as the feed. A 29% conversion and 100% benzene selectivity was recorded.

9C-4
Applications of nanofabrication 2

Crossflow Electrospinning
Harold Pearson, Cody Baumstarck, Jessica Andriolo and Jack Skinner (Montana Technological University)

This abstract presents an adaptation of the handheld electrospinner to employ ionized air orthogonal to the direction of fiber creation to produce electrospun fibers. The crossflow ES system incorporates ionized airflow to direct nanofibers through the barrel with charged air, disrupting electrostatic forces accumulating at the walls of the apparatus.

9C-5
Applications of nanofabrication 2

Decreasing Resistance of Aluminum based Single Electron Transistors as Quantum Charge Sensor
Runze Li, Pradeep Namboodiri, Nikki Ebadollahi and Joshua Pomeroy (National Institute of Standards and Technology)

Aluminum-based single electron transistors were fabricated under lower oxidation duration and with larger tunnel junction area. With the lower resistance we will get higher SNR and bandwidth from these devices which could then be integrated to quantum dot devices as charge sensors.

Poster Sessions Poster Session: Wednesday, May 29, 2024, 10:45 am - 1:00 pm
Poster Session Reception: Wednesday, May 29, 2024, 5:45 - 7:00 pm
Posters Available for Viewing: Thursday, May 30, 2024, 10:00 am - 1:00 pm
P1-1
Advanced micro/nanolithography

Nanoscale Fabrication and Application Using Single GeV Ions
Author: Guanghua Du, Jinlong Guo, Hongjin Mou, Lei Zhang, Baobei Li and Linyan Fu

MeV to GeV ions deposite most of their energy within the radius of 1 nm around the ion trajectory. This report introduces the basics of GeV ion interaction with materials, and demonstrates the sub-5nm nanowire fabrication using in-air single ion lithography, nanofluidic fabrication and applications of a single-ion hit microbeam.

P1-2
Advanced micro/nanolithography

2.5D-Patterning of photonic structures by electron beam and i-line stepper based grayscale lithography processes
Author: Christian Helke, Sebastian Schermer, Susanne Hartmann, Jens Bonitz, Micha Haase, Eike Linn, Mathias Hädrich, Andy Zanzal, Patrick Reynolds, Stephen DeMoor, Anja Voigt and Danny Reuter

This paper showcases process developments that enable the fabrication of 2.5D structures using e-beam (VISTEC SB254, high resolution, low writing speed) and i-line wafer stepper (NIKON NSR2205i11D, medium resolution, high writing speed) grayscale based lithography processes for various applications such as micro lenses, photonic integrated structures, and MEMS-structures.

P1-3
Advanced micro/nanolithography

Progress on an Intra-Level Mix-and-Match approach of the chemically amplified positive-tone photoresist AR-P 7200.1 series for EBL and i-line stepper lithography
Author: Markus Gottwald, Susanne Hartmann, Christian Helke, Mandy Sendel, Harry Biller, Matthias Schirmer and Danny Reuter

The preliminary results of ongoing characterization of a novel chemically amplified positive tone resist AR-P 7200.1/1.n for Intra-Level Mix-and-Match lithography are presented. With this, processing steps and time can be saved while still providing the ability to image patterns with different dimensions via two lithography methods (EBL and i-line).

P1-4
Advanced micro/nanolithography

Semiconductor Traceability: Die Annotations Patterning by Maskless Exposure Technology
Author: Garrett Oakes, Ksenija Varga, Thomas Uhrmann, Roman Holly, Tobias Zenger, Andreas Spitzer and Frank Bögelsack

The semiconductor traceability is becoming an important subject. The newly developed software "dynamic die annotation" feature represents a novel method for the applications in advanced packaging. By applying high resolution dielectrics, the patterned DataMatrix codes down to 200µm×200 µm were resolved by maskless exposure technology.

P1-5
Advanced micro/nanolithography

Multiplexed Bioreceptors NanoPatterning Using Thermal Scanning Probe Lithography
Author: Hashem Nasralla, Alexander Wright, Rahul Deshmukh, Davood Shahrjerdi and Elisa Riedo

Surface functionalization is a method of introducing chemicals and bio-materials for detection and conjugation. In this work, we study a method that allows for multiple materials to be locally functionalized to a surface without the need for barriers that enable spacial selectivity.

P2-1
Directed self-assembly

Tip-Induced Nanopatterned Polymer Brushes for Directed Self-Assembly of Polymer Blends and Transfer into Silicon Structures
Author: Stefan Walheim, Roland Groeger, Tobias Heiler and Thomas Schimmel

Directed self-assembly of polymer blends and transfer into silicon structures is achieved using a structured polymer brush, which acts as molecularly thin sliding layer, enabling a 5000-fold increase in tip lifetime compared to bare silicon. Brush molecules bind weakly enough, that they can be reliably removed (20 nm line width).

P2-2
Directed self-assembly

Influence of Thickness of PS-PMMA Block Copolymers on the Pattern Formation of Directed Self-Assembly
Author: Xiaolei Tong, Mohit Patel, Pat Watson and Gyuseok Kim

The influence of the thickness of PS (46.1k)-b-PMMA(21k) block copolymer on the formation of DSA patterns was investigated. Results show 1% BCP solution in toluene forms DSA patterns when the width of the trench is between 80 nm and 200 nm. We also demonstrate a 1-hr chemical process.

P2-3
Directed self-assembly

Unusual Nanostructuring and Morphology-tuning by FIB: Self-Organization, Self-Assembly and Site-Specific Defect Engineering on the Functional Surfaces
Author: Bhaveshkumar Kamaliya, Raviej Uppu, Nabil Bassim and Thomas Folland

Here, we present self-organization and self-assembly attempts on metamaterial, 2D van der Waals materials such as hexagonal Boron Nitride (hBN) and Molybdenum Trioxide (MoO3) using Plasma Focused Ion Beam. We can self assemble and implat defect in hBN, while causing MoO3 to fold into interesting structures using kirigami techniques.

P3-1
Electron and ion beam lithography

Thermal Analysis with High Accuracy of Multi-beam Aperture
Author: Yanjun Zhang and Zhuming Liu

The thermal effect of the electron beams on aperture was simulated with a finite element numerical method. A thermal-mechanical coupling model was used to analyze the stress field of the aperture. The aperture deformation caused by thermal field and stress field under different cooling methods is compared.

P3-3
Electron and ion beam lithography

Nanowire Field Emitters Fabricated Using Helium Ion Microscopy Methods
Author: Ewelina Gacka, Krzysztof Kwoka, Tomasz Piasecki, Bartosz Pruchnik, Teodor Gotszalk, Gregor Hlawacek, René Hübner, Andrzej Sierakowski and Paweł Janus

The presentation will demonstrate the technology for depositing tungsten nanowire field emitters on microelectromechanical systems (MEMS). The helium focused ion beam induced deposition process will be applied. The field emitters will be electrically characterised and tested as a sensor to study the deflection of MEMS.

P3-4
Electron and ion beam lithography

Plasma focused ion beam species effects in cross-sectional metrology of EBL resist sidewall profiles
Author: Bernadeta R. Srijanto and Steven J. Randolph

Electron beam resist sidewall profiles play an important role in device fabrication. Here we report results from cross-sectioning studies of exposed e-beam resists using different ion species for coarse milling and polishing to determine the effects of ion species on cut face quality, pattern fidelity, and resist damage/distortion.

P3-5
Electron and ion beam lithography

Effects of Lithographic and Pattern Parameters on Stability of Feature-Edge Location in Electron Beam Lithography
Author: Soo-Young Lee

The non-ideal lithographic process such as the stochastic variation in exposure, developing time, etc. can lead to a deviation of feature-edge location. A closed-form mathematical expression of the deviation caused by such variation is derived in terms of lithographic and pattern parameters, to analyze the stability of edge location.

P3-6
Electron and ion beam lithography

Magnetic coupling between single-domain nanomagnets fabricated by focused electron beam induced deposition
Author: Heinz Wanzenboeck

Direct write deposition by focused electron beam induced deposition allows to fabricate 3-dimensional nanomagnets. Due to the geometric anisotropy and the small size such nanomagnets consist of only one single magnetic domain. In this study we quantify the coupling between magnetic stray fields of these nanomagnets and discuss potential applications.

P3-7
Electron and ion beam lithography

A Wien filter to separate beams of ionic liquid ions
Author: Alex Storey, Aydin Sabouri, Usama Ahmed and Carla Perez Martinez

Ionic Liquid Ion Sources (ILIS) are needle devices that produce beam of ions from ionic liquids. ILIS can be applied to a variety of techniques, including patterning and lithography. A Wien filter is implemented experimentally to filter the polydisperse beam and investigate the etching characteristics of the filtered beam.

P3-8
Electron and ion beam lithography

Buried nanochannels and texturized surfaces fabricated by focused helium ion implantation
Author: Sherry Mo, Dana O. Byrne and Frances I. Allen

Helium ion irradiation of bulk silicon can result in near surface damage and swelling due to helium implantation. Here we leverage this effect using a focused helium ion beam microscope to enable single-step, nanoprecise, and highly reproducible substrate texturing and subsurface channel formation.

P3-9
Electron and ion beam lithography

Accurate Endpoint Detection for Ion Beam Nanohole Milling
Author: Symphony Hsiao-Yuan Huang

A focused helium ion microscope (Zeiss Nanofab), equipped with a digital camera, was used to demonstrate accurate process control and spatial endpoint detection during ion beam milling of nanoholes in various free-standing membrane materials, including crystalline and amorphous silicon (15 to 50 nm thick).

P4-1
Scanning probes techniques

Electro-liquefaction of Cr Thin Films for Application in Scanning Probe Lithography
Author: Swapnendu Ghosh and Santanu Talukder

Electric field-induced oxidation of chromium film results in the formation of a liquid oxide. The oxidation process, which is usually rapid, can be tuned with the help of various parameters. This has led to the development of the scanning probe-based electrolithography technique, with which nanoscale features have been obtained.

P4-2
Scanning probes techniques

Correlative AFM-SEM Platform Enabling Unique Characterization of Samples
Author: Hamed Alemansour, Jost Diederichs, Sam Mitchell, Afshin Alipour, William Neils, Jeff Gardiner, Stefano Spagna, Chris Schwalb, Hajo Frerichs, Lukas Stühn and Marion Wolff

We present AFSEM, an AFM designed for integration into an SEM. AFSEM has a small size that is highly integrable with most SEMs/FIBs. We also have developed an interface unit to drive AFSEM. Finally, we will present our tilt rotation stage that can also be integrated inside an SEM.

P4-3
Scanning probes techniques

Identification of BClx Fragments on Si(100) Surfaces During APAM Processing Through a Combined STM/DFT Approach
Author: Jeffrey A. Ivie, Quinn Campbell, Shashank Misra, Azadeh Farzaneh and Robert E. Butera

We use a combined density functional theory and STM approach to help identify BClx (x = 0, 1, 2, 3) decomposition fragments on a sub-monolayer BCl3 dosed Si(100) surface. Establishing a relationship between DFT predictions and fragments observed in STM will help develop automated identification platforms for STM images.

P5-1
Nanoimprint lithography

Soft and hard trimming techniques of imprint resists to fabricate silicon nanodisk arrays with different circularity
Author: Naoki Takano, Hiromasa Niinomi, Tomoya Oshikiri and Masaru Nakagawa

Precise control of edge and side-wall roughness of nanostructures is necessary because the roughness can be acted as an optical loss layer. In this study, soft trimming by UV/O3 and hard trimming by oxygen reactive ion etching were performed to control the shapes of imprint resist masks and silicon nanodisks.

P5-2
Nanoimprint lithography

Fabrication of Polymer Optical Waveguides using Imprint Technology and Roll Press Coating
Author: Chishu Mori, Joji Maeda, Fumi Nakamura, Kenta Suzuki, Taro Itatani and Takeru Amano

Polymer optical waveguides are key components as optical links in co-packaged optics. We demonstrated waveguides fabrication using imprint technology in two ways and evaluated their beam profiles. By combining imprint technology with roll press coating, a waveguide without notable residual layer was obtained, and its horizontal beamwidth was 8.3 µm.

P5-3
Nanoimprint lithography

Similarity effect of polymerizable functional groups of monomers and adhesive agents on liquid advancement in UV nanoimprinting
Author: Ryota Inagawa, Akiko Onuma, Hiromasa Niinomi, Tomoya Oshikiri and Masaru Nakagawa

To understand an increase in shear stress at nanogap, we study liquid advancement of UV-curable droplets by pressing them with a flat mold surface. A similar acrylate-type surface modifier on a silicon surface could promote liquid advancement of methacrylate-containing monomers better than an identical methacrylate-type surface modifier.

P5-4
Nanoimprint lithography

Affordable Homemade Lab-scale UV Imprinting Device
Author: Tzu-Yu Huang, Hsiang-Yu Liao and Hung-Yin Tsai

A low-cost UV imprinting device has been developed using a digital force gauge and a height-adjustable stage. A floating joint is added, which decreases the residual layer thickness variation from 83.1 to 7.3 μm. The device can be mounted on a 3-axis gantry to fabricate large-area samples employing stitching imprinting.

P6-1
Scalable micro/nanomanufacturing

High Resolution 3D Printing of Copper with Tunable Porosity Through μCLIP and Nanoporous Copper Powders
Natalya Kublik, Luyang Liu, Xiangfan Chen, Bruno Azeredo (Arizona State University)

In this study, we overcome the low-resolution and low-speed barriers in 3D printing both solids and porous metal structures in the micro-scale by combining Micro-Continuous Liquid Interface Production (μCLIP) with nanoporous copper powders (np-Cu) that present nanosized dependent optical and thermal properties.

P6-2
Scalable micro/nanomanufacturing

Vertical Trench Etching by Repetitive Dry and Wet Anisotropic Etching and 3D Self-aligned Sidewall Nano-patterning
Author: Yasser Pordeli, Céline Steenge, Andrea Migliorini, Erwin J.W. Berenschot, Ray J.E. Hueting, Stuart S.P. Parkin and Niels R. Tas

We introduce a wafer-scale fabrication platform for 3D silicon nanomachining using crystallographic nanolithography combined with dry and wet etching. Vertically stacked wedge type structures are created followed by local sidewall nanopatterning to form diamond-like cavities featuring sharp corners and edges which can serve as templates for self-aligned device fabrication.

P6-3
Scalable micro/nanomanufacturing

Assessing Feasibility of Nanoporous Copper Powders and Hybrid Feedstocks with Copper Nanoparticles for Laser Powder Bed Fusion
Author: Laura Duenas Gonzalez, Natalya Kublik and Bruno Azeredo

The integration of Nano-porous metals into Additive Manufacturing is generating interest for its ability to construct intricate structures. Nano-porous copper powders offer reduced reflectivity and lower sintering temperatures, promising energy-efficient printing. This study examines the flow, spread, and homogeneity of np-Cu powders and hybrid feedstocks for Laser Powder Bed Fusion.

P6-4
Scalable micro/nanomanufacturing

Scalable fabrication approach for single pixel microlens arrays
Author: Jens Bonitz, Christian Helke, Nils Dittmar, Sebastian Schermer, Micha Haase, Lutz Hofmann and Danny Reuter

A fabrication approach for microlens arrays based on i-line stepper lithography, thermal reflow and reactive ion etching is presented, representing a high throughput technology. Focus is on a high fill factor achieved by reducing the lens gap below the stepper resolution limit by optimizing each single process step.

P6-5
Scalable micro/nanomanufacturing

Portable Electrospinning for Orthopedic Wound Treatment
Author: Cody Baumstarck, Harold Pearson, Jakob T. Nielsen, Jessica Andriolo and Jack Skinner

Incorporating ionized air flow to a portable electrospinning device shows improvement to reliability in unfavorable environmental conditions. Antibacterial bandages will be deposited by this device and efficacy will be monitored on simulated orthopedic wounds in agar.

P6-6
Scalable micro/nanomanufacturing

3D Printing of Microstructured Metallic Thin-Films
Author: Jiawei Zuo, Scott Clemens, ABDULLA AL Mamun, Dongyao Wang, Chao Wang and Yu Yao

We have produced complex thin metallic film structures using additive manufacturing from metal salts. These films are produced in nanometer range thickness and micrometer - millimeter range area.

P7-1
Simulation, modeling, and design tools for nanofabrication

Simulation of "Spectral Ghosts" Generated by Imperfectly Fabricated Diffraction Gratings
Author: Cecilia R. Fasano, Casey T. DeRoo, Keri Hoadley, Edwin F. Cruz Aguirre, Jared Allen Brown Termini, Fabien Grise, Jake McCoy and Randall McEntaffer

We report on efforts to simulate "ghost" features of spectra generated by imperfectly fabricated, large-area diffraction gratings for use in ultraviolet astronomy. This simulation enables an iterative process for exploring critical tolerances of EBL feature placement accuracy when designing and patterning gratings with many write-fields.

P7-2
Simulation, modeling, and design tools for nanofabrication

A Simulation Study of Proximity Effects in the CD SEM
Author: Delong Chen, Yanjun Zhang and Zhuming Liu

In this study, Nebula Monte Carlo electron simulator has been used to investigate proximity effects for varying primary electron beam landing energy, aspect ratio, spot size, top-rounding and bottom corner footing. Modeling and simulation results show how proximity effects impact on signal intensities, measurement accuracy and the corresponding sensitivities.

P7-3
Simulation, modeling, and design tools for nanofabrication

Mechanical Modeling of Polymeric Stamp During Large-Area Electrochemical Metal-Assisted Chemical Imprinting
Author: Emmanuel Dasinor, Bruno Azeredo and Aliaksandr Sharstniou

Recent advancements in semiconductors using the electrochemical Metal-assisted Chemical Imprinting (Mac-Imprint) technique introduced a novel flexible stamp, enabling conformal micromachining on both planner and non-planar semiconductor substrates. The paper computationally analyzes the mechanical stability of the stamp, interfacial stresses, and strains during pressurization, providing simulation-based guidance for optimizing Mac-Imprint parameters.

P7-4
Simulation, modeling, and design tools for nanofabrication

Investigation of Contrast Degradation due to Varying Incident Angles in Phase-Shift Lithography
Kwon Sang Lee, Luis A. Aguirre, Barbara Groh, I-Te Chen, Dajun X. Lin, Rajesh Menon, Michael A. Cullinan and Chih-Hao Chang (University of Texas at Austin)

We study how various illumination angles affect phase-shift lithography's contrast. Initial results show that even small divergence creates significant impact on structure uniformity. We will further investigate with computational analysis and confirm with experiments using a colloidal nanosphere mask pattern.

P8-1
Metrology and inspection

A Highly Integrated Correlative Microscopy Platform
Author: Kerim T. Arat, Afshin Alipour, Hamed Alemansour, Andreas Amann, Jost Diederichs, Luis Montes, Brent Colvin, Jeff Gardiner, William K. Niels, Stefano Spagna, Chris H. Schwalb, Hajo Frerichs, Sebastian Seibert, Lukas Stühn and Marion Wollf

FusionScope is the first truly integrated AFM and SEM that serves the emergent field of correlative microscopy. Combining these two microscopy techniques, in-situ, into a highly integrated platform opens seamless correlation at the nanoscale while simplifying experiment workflows for higher throughput.

P8-2
Metrology and inspection

Mechanical Testing of Silicon and Sapphire Nanopillar Structures
Author: Mehmet Kepenekci, Kun-Chieh Chien and Chih-Hao Chang

We examine the effect of structure geometry, material properties, and depth dependence on the mechanical properties of periodic silicon and sapphire nanopillars, using nanoindentation. Preliminary measurements show there are three distinct deformation regimes, including large strain and ductile-like response in silicon, and an improvement in ductility in sapphire.

P8-3
Metrology and inspection

Artificial Intelligence for SEM Imaging and Metrology
Author: Andras Vladar

AI is new, and may not be for immediate implementation, but it's clear that SEM imaging and measurements and the SEMs used in the IC industry will benefit from it. Examples of AI solutions for SEMs and the possibilities for the development of significantly improved SEMs will be presented.

P8-4
Metrology and inspection

Towards Automated Defect Classification in Atomic-Resolution Images Via Image Augmentation
Author: Shashank Venkatesan, Michael Baldea and Michael A. Cullinan

A new feature engineering approach is presented for automated defect classification in periodic nanostructures using images from an Atomic Force Microscope. This approach exploits image transforms (gradient, threshold, equalization) and is designed for implementation inline in continuous nanomanufacturing. Augmenting original images with preprocessed images consistently improves defect classification accuracy.

P8-5
Metrology and inspection

Wide-Field and High-Resolution Low-Voltage Scanning Electron Microscopy with Correction of Beam-Image-Shift-Induced Deflection Chromatic Aberration
Shun Kizawa, Daisuke Bizen, Kohei Suzuki, Shunsuke Mizutani, Ryota Watanabe, Yuji Kasai and Yuzuru Mizuhara(Hitachi High-Tech Corporation)

We've developed an LVSEM system that uses a Wien filter to correct the deflection chromatic aberration caused by the beam-image shift. This advances low-damage, large-area nanoscale analysis of beam-sensitive materials, as demonstrated by successful aberration correction over a 28µm × 28µm field of view without additional settling time.

P9-1
Resists and other lithographic materials

Characterization of ZEP520A Resist Response at EUV Wavelength
Author: Ethan Fermin Flores, Saurav Mohanty, Andrew N. Tunell and Chih-Hao Chang

In this work we investigate the use of ZEP520A resist as a positive EUV photoresist. The goal of this work is to demonstrate the viability of using ZEP resist for developing EUV lithography systems and configurations.

P9-2
Resists and other lithographic materials

Enhanced Stability of Hydrogen Silsesquioxane (HSQ) through Stabilizer-Incorporated Variant
Author: Harry Biller, Maik Gerngroß, Mandy Sendel, Matthias Schirmer and Frank Heyroth

Allresist GmbH introduces Medusa 84 SiH, an innovative HSQ variant with a stabilizer to counteract degradation and extend its shelf life as a liquid e-beam resist. Accelerated aging tests at 40°C for 30 days showed a significant improvement in stability with only 0.25% stabilizer, eliminating the need for freezer storage.

P10-1
EIPBN 2024 Industrial Highlights

Q-learning algorithm for solving Traveling salesman problem
Author: Hmayak Mkhitaryan, Lusine Tumanyan, Irina Minasyan and Liparit Hovhannisyan

We explored Q-learning, a fundamental technique in reinforcement learning. The study delves into the core principles of Q-learning, emphasizing its role to make optimal decisions in dynamic environments.

P11-1
MEMS/NEMS and Micro/nanofluidics

Simulation of Planar Microshutter Array for Multi-object Spectroscopy
Author: Jason Clark, Yingsong Han, Li Jiang, Naga S. Korivi and Huafeng Liu

A planar microshutter array (MSA) is described in which light is modulated at each shutter. An MSA can allow columnated light from selected objects of a multi-object image to pass through a prism or diffraction grating for spectrographic analysis.

P11-2
MEMS/NEMS and Micro/nanofluidics

Surfactant-Driven water-oil droplets in Microfluidics for Water Purification
Kritik Saxena and Yun Chen (Louisiana Tech University)

This work is to provide an understanding of the behavior of oil droplets under the influence of PFAS surfactants. The setup will pave the way for micro-scale water treatment, contributing to the development of an efficient and cost-effective water treatment process.

P11-3
MEMS/NEMS and Micro/nanofluidics

Live-Cell Analysis Devices (LCAD) for Delivery and Sampling of Biomolecules
Author: Liliana Stan

A presentation on a design, fabrication and functionality of a modular microfluidic chip, the live-cell analysis device (LCAD), in which the delivery and sampling functionalities are integrated in a single multilayer chip.

P11-4
MEMS/NEMS and Micro/nanofluidics

Sapphire Supported Aluminum Nitride Nanopore towards Stable and Low-Noise Biomolecule Sensing
Author: ABDULLA AL Mamun, Pengkun Xia, Md. Ashiqur Rahman Laskar, Nimarpreet Kaur Bamrah and Chao Wang

Conventional solid-state nanopores an effective tool for biomolecule sensing, face challenges like capacitive noise and stability. This study explores sapphire-supported AlN membranes as SiN alternatives. Wafer-scale fabrication and translocation tests reveal superior stability, noise reduction, and enhanced signal-to-noise ratio during biomolecule sensing, highlighting the potential of AlN in nanopore devices.

P11-5
MEMS/NEMS and Micro/nanofluidics

Device Fabrication for Optical Lever Measurement of Torsional Motion
Author: Tina M. Hayward, Dongchel Shin, Ethan Zentner, Brian Baker, Rajesh Menon and Vivishek Sudhir

In physics, there is a growing question: is gravity quantum? One proposed experiment requires the precise measurement of angular motion of a torsional oscillator. To this end, we were tasked with fabricating a thin silicon-nitride bridge with a silicon weight – or pillbox - in its center.

P11-6
MEMS/NEMS and Micro/nanofluidics

Electrophysiologic recording of heart muscel cells on a microchip with 3-dimensional nanoelectrodes
Author: Heinz Wanzenboeck

We present a microelectrode array for recording of action potentials from human cardioids. The microchip features perpendicular nanopillar nanoelectrodes for improved signal recording of cardiomyocytes. We present the fabrication process of 3D nanoelectrodes and the integration of a microfluidic system on the chip to facilitate lon-term organoid culturing on chip.

P12-1
Nanoelectronics/ neuromorphic and quantum computing

Direct writing of Liquid Metals for Printed Electronics
Author: Navid Hussain, Tongtong Fu, Gabriel Marques, Chittaranjan Das, Torsten Scherer, Uwe Bog, Lukas Berner, Irene Wacker, Rasmus R. Schröder, Jasmin Aghassi-Hagmann and Michael Hirtz

Liquid metals (LMs) exhibit exceptional electrical and thermal conductivity, making them ideal for stretchable electronics. Our innovative glass capillary-based direct-write method overcomes printing challenges, allowing the successful fabrication of fully printed devices, including 3D-printed Galinstan lines and functional electronic components, paving the way for flexible, wearable sensors and devices.

P12-2
Nanoelectronics/ neuromorphic and quantum computing

Electrical switching behaviors in Two-demensional flake of BaTiS3
Author: Hongming Zhang, Nan Wang, Jiangbin Wu, Huandong Chen, Jian Zhao, Ting-Hao Hsu, Jayakanth Ravichandran, Han Wang and Wei Wu

Our study focuses on the electrical switching behavior in BaTiS3. In this work, we firstly observed two distinct phenomena—memristor-like and ferroelectric-like electrical switching in the same material. The presented results pave the way for innovative applications and further exploration of the potential of BaTiS3 in emerging electronic technologies.

P12-3
Nanoelectronics/ neuromorphic and quantum computing

Nanoimprinting-induced strain engineering of MoS2-based field effect transistors fabricated by stencil lithography
Author: Jianwen Zhong, Han Li, Zhao Sun, Zhuofei Gan, Chuying Sun, Yi Wan, Lain-Jong Li and Wen-Di Li

We propose a non-vacuum fabrication of a nickel thin-film (a few micrometers thick) as a stencil mask to deposit metal electrodes on patterned dielectric substrates and to etch two dimensional materials as a channel without photoresist lithography.

P12-4
Nanoelectronics/ neuromorphic and quantum computing

Nanopore Diameter Impact on DNA Methylation Detection Using Methyl Binding Domain Protein Tags
Author: Nimarpreet Kaur Bamrah, Radhika Vattikunta, Liangxiao Chen, Deeksha Satyabola and Chao Wang

Methylation of DNA leads to epigenetic modifications and early diagnosis is difficult. Our work use Methyl specific Binding Protein MBD2 to attach the methyl domain of DNA and then translocated through a nanopore. Dwell time and current blockage signals are analyzed from 6&11nm pore and results are compared.

P12-5
Nanoelectronics/ neuromorphic and quantum computing

Superconducting Materials and Process Exploration for Quantum Devices
Author: Aidar Kemelbay, Arian Gashi, Ed Barnard, Shaul Aloni and Adam Schwartzberg

The performance of quantum devices depends on the quality of materials and interfaces, controlling which at every fabrication step is crucial. To address this, a cluster deposition and characterization system is presented that includes nanofabrication and characterization tools in an integrated vacuum system, strengthened by autonomous materials and process exploration.

P12-6
Nanoelectronics/ neuromorphic and quantum computing

Comparing Josephson Junction Fabrication Techniques for Superconducting Qubits
Author: Bethany Niedzielski Huffman, Alexander Melville, Gregory Calusine, Michael Gingras, Hannah Stickler, Ali Sabbah, Felipe Contipelli, Duncan Miller, Jonilyn Yoder, William D. Oliver, Mollie Schwartz and Kyle Serniak

This talk will compare Dolan-bridge and bridge-free Manhattan processing techniques for Al/AlOx/Al Josephson junctions for use in superconducting qubits for quantum computing applications. Consideration will be given to overall uniformity and yield across junction sizes as well as device performance.

P12-7
Nanoelectronics/ neuromorphic and quantum computing

Neural Networks Implemented on Memristive SoC chip for Prompt Detection of Heart Attack
Author: Zihan Wang, Daniel Wang Yang, Zerui Liu and Wei Wu

We proposed an innovative approach for prompt and accurate detection of heart attacks. Our design is characterized by low latency, high accuracy, and energy efficiency thanks to a lightweight multilayer perceptron (MLP) and its end-to-end execution on a memristor based SoC with ten 256x256 crossbar arrays and other necessary components.

P12-8
Nanoelectronics/ neuromorphic and quantum computing

Atomic Scale Devices in Silicon Fabricated using Scanning Tunneling Microscopy
Author: Pradeep Namboodiri, Jonathan Wyrick, Fan Fei, Brian Courts, FNU Utsav and Rick Silver

Atomic scale devices consisting of phosphorous monolayers fabricated using scanning tunneling microscopy open possibilities of making novel quantum devices. This poster presentation include our efforts so far in integrating on-chip microwave transmission lines for on-chip oersted lines with the objective of observing spin rotations using magnetic resonance.

P12-9
Nanoelectronics/ neuromorphic and quantum computing

Detection efficiency enhancement for deterministic single ion implantation
Author: Kristian Stockbridge, David Cox, Gianfranco Aresta, Roger Webb, Steven Clowes and Ben Murdin

The detection efficiency of single ion implant events when detecting secondary electrons is dependent on many factors. In this work, deterministic implant detection efficiency is measured for a range of ion species and energies into Si and SiO2 using an Ionoptika QOne implanter.

P13-1
Metamaterials, metasurfaces, and meta-optics

3D bidirectional deformation nanostructures by focused ion beam bidirectional origami method for multichannel chiral metasurface
Author: Ruhao Pan and Junjie Li

A focused ion beam bidirectional origami method is developed to fabricate 3D bidirectional deformation nanostructures in micro/nanoscale. And a multichannel chiral metasurface with circular dichroism of 0.78 in the midinfrared regime has been proposed with an array of bidirectional folded split ring resonator.

P13-2
Metamaterials, metasurfaces, and meta-optics

Functional Two-Photon Multi-Materials 3D-Printing of Lateral Micro-Optics
Author: Fatemeh Rajabasadi, Man Ho Wong, Tanya Saxena, Josua Zscheile and Robert Kirchner

Our team presents an innovative concept using a printhead in the Nanoscribe two-photon polymerization device. This technology enables 3D printing of multi-material structures in a single operation. We've demonstrated the novel lateral printing of a microlens system, showcasing the future potential for optical integration into advanced platforms.

P13-4
Metamaterials, metasurfaces, and meta-optics

Thin-Films for Metastructures, Meta-Optics, and Surface Nanofabrication
Author: Dominic Bosomtwi, Neal Raney, Md Sakibul Islam, Aaron Holzer and Viktoriia Babicheva

We analyze thin films, metastructures, and surfaces with multipolar coupling and bound states in the continuum, leading to strong and narrow resonances. We show that we can control nanostructure parameters and enable directional scattering from the metastructure. Improved quality of the thin film results in enhanced electronic and photonic functionalities.

P13-5
Metamaterials, metasurfaces, and meta-optics

Optical Metasurface Fabricated Using 3-D Nanoimprint Lithography
Author: Jiacheng Ye, Yunxiang Wang, Zhexiang Tang, Zerui Liu, Hongming Zhang and Wei Wu

The article discusses the shift from single-layer to multilayer optical metasurface devices using nanoimprint lithography, enhancing efficiency and light distribution for applications like 3D imaging flash LiDAR systems.

P14-1
Bioinspired nanostructures and engineered surfaces

Fabricating Sapphire Nanostructures by Near-Field Focusing of Ultrafast Laser
Author: Kun-Chieh Chien, Joshua Cheung and Chih-Hao Chang (University of Texas at Austin)

Bio-inspired nanostructures, with unique properties, have garnered interest. Sapphire surfaces, due to their hardness and stability, present challenges. This study introduces near-field ultrafast laser focusing with dielectric microspheres, successfully creating dense sapphire nanostructures using smaller silica particles. Additional details, including further fabrication results, challenges, and limitations, will be presented.

P14-2
Bioinspired nanostructures and engineered surfaces

Flow Evaluation of Traditional and Electrospun Enhanced Filtration Media
Author: Luke J. Suttey, Sowmya Sudhakar, Jessica Andriolo, Dennis James Moritz, John J. Borkowski and Jack Skinner

This work demonstrated significant enhancement of polypropylene filtration media by addition of morphologically distinct electrospun polycaprolactone fibers, and an efficient and low-cost methodology to provide a preliminary NIOSH-like filtration efficiency and flow (breathing resistance) evaluation of filtration media.

P14-3
Bioinspired nanostructures and engineered surfaces

Fabrication of Microstructure Devices on Porous Nanolattice Films
Author: Nayoung Kim, Saurav Mohanty, Vijay Anirudh Premnath and Chih-Hao Chang

Little work exists on fabrication of microstructures such as micro electrodes and waveguides on nanolattice, which is challenging due to difference in length scale and multilayer processing on porous layer. Therefore, we have developed method to pattern microscale features onto porous nanolattice layer using shadow evaporation and colloidal phase lithography.

P14-4
Bioinspired nanostructures and engineered surfaces

Iridescent Structural Color from Ultra-low Refractive Index Aerogel as Optical Cavity Dielectric
Author: Jennie Paik, Wei-Jie Feng, Sean Clark, Hyeonwoo Kim and L. Jay Guo

Herein we report a novel ultra-low RI (1.06) aerogel dielectric in a super-iridescent optical cavity-based structural color capable of tracing a near-closed loop in CIE color space. By tuning the refractive index, thickness, and geometry of the aerogel layer, we can produce a wide range of vivid and super-iridescent colors.

P15-1
Flexible/Implantable devices and nanomedicine

Fabrication of Implantable Microcoils for Ultra-Focal Stimulation of Neurons with Selectable Orientation
Author: Yizhe Zhang, Francesca Marturano, Egemen Bostan, Ilknur Ay, Giorgio Bonmassar and Jiangdong Deng

We report the fabrication of implantable microcoils for ultra-focal stimulation of neurons with selectable orientations. Using the prototype chip, we could observe the unilateral electromyographic response signal from the hindlimb of the rat upon imposing a brief micro-magnetic stimulation pulse on its sciatic nerves.

P15-2
Flexible/Implantable devices and nanomedicine

Plasmonic imaging for single extracellular vesicle characterization
Author: Mohammad Sadman Mallick and Wei-Chuan Shih

We present ultra near-field index modulated PlAsmonic NanO-apeRture lAbel-free iMAging (PANORAMA) that addresses existing issues for both SPR and LSPR imaging techniques. We demonstrate the detection and counting of single 25 nm polystyrene nanoparticles and characterization of extracellular vesicles, an emerging cancer biomarker.

P16-1
Nanofabrication for energy applications

Synergistic Approach for Efficient Water Harvesting using LiCl-PVA Hydrogel and Nanofiber membrane
Author: Donghee Kang and L. Jay Guo

Water absorption properties of LiCl in a PVA hydrogel are investigated. The hydrogel forms a sustainable structure through freeze-thawing. However, increased Li content hinder to forming the structure. Introducing a nanofiber membrane improves vapor sorption kinetics and reduces water saturation time, enhancing water collection from semi-arid air.

P16-2
Nanofabrication for energy applications

Adhesion and Excitation Lifetime of Perovskites on Modified Substrates
Author: Xavier T. Vorhies, Jessica Andriolo, Erik M. Grumstrup, David F. Bahr, Joseph J. Thiebes, Emma K. Orcutt K. Orcutt and Jack Skinner

This work focuses on the analysis of the optical performance of perovskite microcrystals on TiO2 thin films and how that performance changes as a function of changing adhesion to the substrate material. Optical and materials characterization includes absorption data, TCSPC, SEM-EDS. Adhesion measurements made with modified scratch-mode test.

EIPBN 2024