EIPBN

EIPBN 2013 Authors Index


A | B | C | D | E | F | G | H | I | J | K | L | M | N | O | P | Q | R | S | T | U | V | W | X | Y | Z
Name Abstract

A

Abbas, Arwa Polycarbonate as an ideal grayscale electron beam resist using diluted cyclopentanone developer
Adams, Daniel Microfilters with Nanotopography for Isolation of Circulating Tumor Cell from Blood
Agrawal, Amit All-angle Negative Refraction and Active Flat Lensing in the Ultraviolet (Invited)
Ahn, Se Hyun Continuous and Scalable Fabrication of Functional films via Vibrational Indentation Patterning and Photo Roll Lithography
Akamatsu, Hideki Three dimensional hologram-ROM duplication by UV-NIL
Akinwande, Deji Analog and digital flexible nanoelectronics fabricated from advanced 2D nanomaterials
Alaee, Rasoul Exploiting extreme coupling to realize a metamaterial perfect absorber (Invited)
Alayo, Nerea Novel nanofabrication method to achieve high aspect ratio metallic patterns by thermal nanoimprint lithography
Sub-10nm nanofabrication by step-and-repeat UV nanoimprint lithography
Albrecht, Thomas Pattern Transfer from Directed PS-b-PMMA Films with Sub-25 nm Full Pitch
Alessandri, Ivano Triggering and monitoring plasmon enhanced reactions by optical nanoantennas coupled to photocatalytic beads
Alexander-Katz, Alfredo Rule-Based Directed Self-Assembly of Circuit-Like Block-Copolymer Patterns
Alexander, Justin Multi-spot-size vector writing approach to atomically precise H depassivation lithography
Alhazmi, Manal Contrast curve engineering by using multi-layer polystyrene electron beam resist
Ali, Mohamed Sultan Mohamed High Temperature Gradient in a Conductor: Carbon Nanotube Forest under the “Heat Trap” Condition, Mike Chang, Mehran Vahdani Moghaddam, Amir Khoshaman, Masoud Dahmardeh, Kenichi Takahata, Alireza Nojeh
Alkemade, Paul F. A. Visualizing the Interaction Volume of Helium Ions in Hydrogen Silsesquioxane
Alqarni, Sondos Out-of-plane nanofabrication using evaporated electron beam resist
Amador, Jennie Chemical Composition and Pattern Development in Inorganic Photoresist Materials Deposited from Aqueous Solution
Amano, Tsuyoshi Phase Defect Characterization on an EUV Blank Mask using Micro Coherent EUV Scatterometry Microscope
Unveiling success rate of defect mitigation by experiment with EUV Actinic Blank Inspection Prototype for 16 nm hp
Influence of EUV mask structure on electron trajectories
Ambesi, Davide Thermodynamic origin of placement errors for contact holes created by directed self-assembly
Amirsadeghi, Alborz An investigation into the role of self-assembled monolayers of silane in UV nano-imprint lithography
Amstutz, Platte Microfilters with Nanotopography for Isolation of Circulating Tumor Cell from Blood
An, Sanghun Fabrication of Electronic Fabry-Perot Interferometer in the Quantum Hall Regime
Anderson, Chri EUVL Aberration Metrology using Resist Images from a Strong Phase Shifting Mask
Anderson, Erik Efficient Packaged Zoneplates for EUV Instruments
Dipole-Coupled Nanomagnet Chains Fabricated on Silicon Nitride Membranes for Time-Resolved X-Ray Microscopy Experiments
Andresen, Nord Efficient Packaged Zoneplates for EUV Instruments
Andrew, Trisha. L Optical patterning of features with spacing below the far-field diffraction limit using absorbance modulation
Andrews, Trisha Patterning via Optical Saturable Transformations via Solubility Rate Difference
Appavoo, Kannatassen Electromagnetically Induced Transparency in Au:VO2 Nanoparticles
Appleton, Bill Ray Progress Report on the Multi-Species Focused Ion Beam Lithography System and Its Applications
Ardanuc, Serhan Planar Electronic Picosecond Electron Pulser
Argoud, Maxime Defectivity and uniformity evaluation of block copolymer directed self-assembly for contact hole shrink 300mm-process
Arkema Defectivity and uniformity evaluation of block copolymer directed self-assembly for contact hole shrink 300mm-process
Arrington, Christian Micromachined stylus ion traps through high aspect ratio lithography and electrochemical deposition
Ashby, Paul D. Modulus Mapping in High Resolution Patterned Features
Negative-Tone Chemically-Amplified for Sub-20nm Lithography
Awale, Apeshka Development of a low energy neutral particle printer for atomically precise patterning of desorption resists
Azimi, Sara Fabrication of Complex Three-Dimensional Multilevel Silicon Micro- and Nano-Structures using High Energy Ion Irradiation

B

Babin, Sergey Simulation of dose variation and charging due to fogging in electron beam lithography
Baca, Ehren Micromachined stylus ion traps through high aspect ratio lithography and electrochemical deposition
Bagal, Abhijeet Liquid-Immersion Lloyd’s Mirror Interference Lithography
Baker, Bowen Point-spread function of energy deposition by an electron-beam determined by using energy-filtered TEM
Balakrishnan, Kaushik Fabrication of Patterned Interference-Based and Absorption-Based Polarizers
Baldwin, Kirk Fabrication of Electronic Fabry-Perot Interferometer in the Quantum Hall Regime
Balik, Charles Ga+ Focused Ion Beam Micromachining of Thermoplastic Polymers
Ballard, Joshua Multi-spot-size vector writing approach to atomically precise H depassivation lithography
Bao, Wei Triggering and monitoring plasmon enhanced reactions by optical nanoantennas coupled to photocatalytic beads
Barbastathis, George Fabrication of transparent superoleophobic surfaces by multiple shrinking mask etching and layer-by-layer coating
Barnola, Sebastien Defectivity and uniformity evaluation of block copolymer directed self-assembly for contact hole shrink 300mm-process
Batchelor, Alan Ga+ Focused Ion Beam Micromachining of Thermoplastic Polymers
Bauer, Anton Accuracy of Wafer Level Alignment with Substrate Conformal Imprint Lithography
Bauerdick, Sven Progress Report on the Multi-Species Focused Ion Beam Lithography System and Its Applications
Direct Ga and Si Ion Beam Lithography for Nanopore Fabrication with High Resolution and Reproducibility
Baum, Mario Functional Nano Patterns realized by Thermal and UV Nano Imprint Lithography
Baylav, Burak Investigating effects of aerial image averaging and pupil plane filtering on line edge roughness (LER)
Beck, Corey Ionic Transportation through DNA-based Nanochannels
Belloni, Jacqueline Synthesis of metal nanoparticles in polymeric films induced by electron beam
Bender, Markus EUVL Aberration Metrology using Resist Images from a Strong Phase Shifting Mask
Berggren, Karl K. Rule-Based Directed Self-Assembly of Circuit-Like Block-Copolymer Patterns
Berggren, Karl Optically Actuated Nanostructured Electron-Emitter Arrays
Sacrificial Post Templating Method for Block Copolymer Self-Assembly
Point-spread function of energy deposition by an electron-beam determined by using energy-filtered TEM
Lithographically-Defined ZnO Nanowire Growth
Berglund, Andrew DNA Origami: Prospects for Nanomanufacturing
Bertani, Paul Ionic Transportation through DNA-based Nanochannels
Besacier, Maxime A method for dynamic parameterized shape reconstruction. Application to scatterometry
Besser, Jan Functional Nano Patterns realized by Thermal and UV Nano Imprint Lithography
Best, Keith High accuracy dual side overlay with KOH through wafer etching
Beyer, André Fabrication and Modification of Carbon Nanomembranes (CNMs) by Helium Ion Lithography
Biafore, John Stochastic Exposure Kinetics of EUV Photoresists: A Simulation Study
Bilenberg, Brian DWDM laser arrays fabricated using thermal nanoimprint lithography on Indium Phosphide substrates
Black, Charles T. Plasmonic Conductors for Organic Solar Cells
Blackwell, James Modulus Mapping in High Resolution Patterned Features
Negative-Tone Chemically-Amplified for Sub-20nm Lithography
Bojko, Richard Process Monitoring of an Electron Beam Lithography Process for Silicon Photonics in a University Facility
Bokor, Jeffrey Dipole-Coupled Nanomagnet Chains Fabricated on Silicon Nitride Membranes for Time-Resolved X-Ray Microscopy Experiments
Bonanni, Valentina Sub-10nm Resolution after Lift-Off using HSQ/PMMA Double Layer Resist (Invited)
Boots, Henk Thermodynamic origin of placement errors for contact holes created by directed self-assembly
Borgohain, Debabrot Development of a Mask-less Nanofabrication Process for SnO2 Periodic Nanostructure
Borgström, Magnus T. Nanoimprint-Based Lift-off Process for a Large-Scale Epitaxial Growth of Nanowires
Borisov, Sergey Simulation of dose variation and charging due to fogging in electron beam lithography
Borodovsky, Yan NGL for NGL: Next Generation Lithography for Next Generation Logic (Invited)
Borrisé, Xavier Novel nanofabrication method to achieve high aspect ratio metallic patterns by thermal nanoimprint lithography
Bosman, Michel Hydrogen Silsesquioxane As A Resist And Material Of Choice In Fabricating Plasmonic Antennas
Botman, Aurelien In-situ Microfluidics using a Liquid Injector for the Study of Beam Induced and Dynamic Processes
Breese, Mark Fabrication of Complex Three-Dimensional Multilevel Silicon Micro- and Nano-Structures using High Energy Ion Irradiation
Bresin, Matthew Liquid-phase electron-beam-induced-deposition on bulk substrates without liquid cells
Controlling the Morphology of Silver Nanoparticle Films formed by Laser-induced Deposition from Liquids
Brink, Markus Contamination Mitigation from Salty HSQ Development for Nanoscale CMOS Device Patterning
Bro, Tobias Hedegaard DWDM laser arrays fabricated using thermal nanoimprint lithography on Indium Phosphide substrates
Brodie, Alan Image distortion in REBL system: the correctable and the residual
Nanoengineered charge-drain film for electron-optical MEMS in the REBL E-beam column
Investigation into Shot Noise Effects of Direct Write Electron Beam Lithography Using High Energy Electron Beams
Brougher, Gii Small-Pitch Electron Diffraction Holograms Patterned on Inorganic Resist with Electron Beam Lithography
Brown, Devin Pattern exposure order dependence in hydrogen silsequioxane
Brown, Keith A. Active Cantilever-free Scanning Probe Lithography (Invited)
Bruccoleri, Alexander KOH Polishing of Nanoscale Deep Reactive-Ion Etched Ultra-High Aspect Ratio Gratings
Bruchhaus, Lars Direct Ga and Si Ion Beam Lithography for Nanopore Fabrication with High Resolution and Reproducibility
Brugger, Jürgen Morphological characterization of metallic nano-structures evaporated through stencil on graphene
Bucchignano, James J. Dot-Matrix Marks for Dynamic Overlay Measurements in Electron Beam Lithography
Bunting, Andrew High yield fabrication of graphene resonators array with poly-Si sacrificial layer
Burcham, Kevin E. An Innovative EBL Writing Strategy for High Speed and Precision Lithography of Large Circle Arrays for Microfiltration and Photonics in Solar Cells
Burek, Michael Free-standing nanoscale mechanical and photonic devices fabricated in single-crystal diamond
Burke, Conor Field Effect Transistor Performance of Hydrothermal ZnO Nanowires (Invited)
Burr, Justin Optimization of Electron Beam Patterned HSQ Mask Edge Roughness for Low-Loss Silicon Waveguides
Bustos, Jessy Line width roughness reduction strategies for resist patterns printed via electron beam lithography

C

Cabrini, Stefano Reaching theoretical resonance quality factor limit in coaxial plasmonic nano resonators fabricated by Helium Ion Microscope
A lab-on-a-chip with 30 nm nanochannels and plasmonic bowtie nanoantenna
Triggering and monitoring plasmon enhanced reactions by optical nanoantennas coupled to photocatalytic beads
A novel route for fabricating Printable Photonic Devices with a high refractive index, Carlos Pina Hernandez, Giuseppe Calafiore, Christophe Peroz, aBeam Tech
Sub-10nm nanofabrication by step-and-repeat UV nanoimprint lithography
Comparison of Au feature formation using two Au-calixarene resists, electron beam lithography, and low temperature organic removal
Cai, Haogang Bifunctional Nanoarrays for Probing the Immune Response at the Single-Molecule Level
Cai, Jingxuan Visualizing the Interaction Volume of Helium Ions in Hydrogen Silsesquioxane
Calafiore, Giuseppe Sub-10nm nanofabrication by step-and-repeat UV nanoimprint lithography
Camino, Fernando E. Plasmonic Conductors for Organic Solar Cells
Cantu, Precious Patterning via Optical Saturable Transformations via Solubility Rate Difference
Cao, Yi Pattern Transfer from Directed PS-b-PMMA Films with Sub-25 nm Full Pitch
Carbone, Corrado A lab-on-a-chip with 30 nm nanochannels and plasmonic bowtie nanoantenna
Carlton, David Dipole-Coupled Nanomagnet Chains Fabricated on Silicon Nitride Membranes for Time-Resolved X-Ray Microscopy Experiments
Carnevale, Santino GaN/AlN Double Barrier Nanowire Resonant Tunneling Diodes
Carroll, Allen Image distortion in REBL system: the correctable and the residual
Cau, Jean-Christophe Magnetic field assisted micro contact printing: a new concept of fully automated and calibrated process
Chandorkar, Saurabh Thermally-Modulated Alignment for Nanoimprinting
Chang, Cheng-Kai Study of optical anisotropy property to rapidly characterize structural qualities of CVD prepared graphene films
Chang, Chih-Hao Multifunctional Nanostructured Materials: Blurring the Lines between Optical Interfaces (Invited)
Fabrication of Hierarchical Three-Dimensional Nanostructures Using Template-Directed Assembly of Colloidal Particles
Fabrication of Periodic Hollow-Shell Nano-Volcano Arrays for Particle Trapping
Liquid-Immersion Lloyd’s Mirror Interference Lithography
Chang, En-Chiang Lloyd’s Mirror Interferometer Using a Single-Mode Fiber Spatial Filter
Chang, Hsiao-Yu Analog and digital flexible nanoelectronics fabricated from advanced 2D nanomaterials
Chang, Jae-Byum Rule-Based Directed Self-Assembly of Circuit-Like Block-Copolymer Patterns
Chang, Long Magnetic patterning by oxygen reduction using low energy Helium irradiation
Chang, Mike Laser Induced Structural Damage to Multi-walled Carbon Nanotubes in a Controlled-Pressure Environment
Chang, Mu-Tung Low-Energy Electron Diffractive Imaging Based on a Single-Atom Electron Source
Chang, Robert Infrared nanophotonics based on indium-tin-oxide nanorod array
Chang, Sehoon Lithographically-Defined ZnO Nanowire Growth
Chang, Wei-Tse Low-Energy Electron Diffractive Imaging Based on a Single-Atom Electron Source
Chao, Weilun Efficient Packaged Zoneplates for EUV Instruments
Dipole-Coupled Nanomagnet Chains Fabricated on Silicon Nitride Membranes for Time-Resolved X-Ray Microscopy Experiments
Chapuis, Yves-Andre Pattern Transfer from Directed PS-b-PMMA Films with Sub-25 nm Full Pitch
Charley, Anne-Laure CD Matching between CD-SEM and Scatterometry Metrology
Charpin, Christelle Fabrication of 18 nm split-gate charge trap memories by hybrid lithography (e-beam/DUV)
Chau, Kenneth All-angle Negative Refraction and Active Flat Lensing in the Ultraviolet (Invited)
Chen, Edward Fabrication of single-crystal diamond nano-slabs for photonic applications
Chen, Hao Double External Quantum Efficiency/Light Extraction and Widen Viewing Angle of Organic Light-Emitting Diodes with New Plasmonic Cavity with Subwavelength Hole Array (PlaCSH)
Sub-30 nm Roller Nanoimprint Lithography Using Flexible Hybrid Molds and Applications to Large-Area High-Performance Nanoplasmonic Sensors and Solar Cells
Fabrication of Large-area Flexible Roll-to-Roll Nanoimprint Molds with Sub-100nm Features Using Step-and-Repeat Duplication
Patterning of Light-Extraction Nanostructures on Sapphire Substrates Using Nanoimprint, SiO2 Masking and ICP Dry Etching
Chen, Hsuen-Li Study of optical anisotropy property to rapidly characterize structural qualities of CVD prepared graphene films
Chen, Kuei-Hsien Study of optical anisotropy property to rapidly characterize structural qualities of CVD prepared graphene films
Chen, Li-Chyong Study of optical anisotropy property to rapidly characterize structural qualities of CVD prepared graphene films
Chen, Li Optimization of Electron Beam Patterned HSQ Mask Edge Roughness for Low-Loss Silicon Waveguides
Chen, Qin Direct write and nanoprinting for plasmon resonance color filters (Invited)
Chen, T. C. Magnetic domain wall motion in permalloy wires with nanometer-scaled notches
Chen, Tao High yield fabrication of graphene resonators array with poly-Si sacrificial layer
Chen, Yi-Sheng Low-Energy Electron Diffractive Imaging Based on a Single-Atom Electron Source
Chen, Yifang Soft- and near-field lithography on glass hemisphere surface for spherical zone plates
Chenet, D. Fabrication of hundreds of field effect transistors on a single carbon nanotube for basic studies and molecular devices
Cheng, Guanglei Oxide Nanoelectronics on Demand (Invited)
Cheng, Jian Wei Jayce Lithographically-Defined ZnO Nanowire Growth
Cheng, Jing PS-b-PHEMA: A Promising High χ Polymer for Directed Self-Assembly Lithography
Directly-Photodefinable Guiding Layers: Enabling Simple 3-Step DSA Processes for Lithographic Patterning
Cheng, Xing Fabrication of organic MESFET device by dual-layer thermal nanoimprint
High-Throughput Transfer Imprinting for Organic Semiconductor
Exceptional Thermal Stability of Thermoplastic Polymer Nanostructures Patterned by Nanoimprint
Polymeric Sidewall Transfer Lithography
Cheng, Yao-Te Dual Layer Negative Tone Metal Liftoff Electron Beam Lithography process for nanometer scale Plasmonic and Photonic devices
Cheong, K. H. Parallel Auger Electron Analysis inside Scanning Electron Microscopes
Cheong, Lin Lee 3 D Nanostructures via Aligned Stacking of Pre-patterned Membranes
Cheshmekhani, Ameneh Methods for Controlled Polymerization in Negative Tone Resists
Cheung, Rebecca Tunability of silicon carbide resonators with electrothermal actuation and piezoelectric readout
Control of stress in sputtered tantalum films for MEMS applications, Enrico Mastropaolo
High yield fabrication of graphene resonators array with poly-Si sacrificial layer
Chevalier, Xavier Defectivity and uniformity evaluation of block copolymer directed self-assembly for contact hole shrink 300mm-process
Chhim, Bophan Exposure Strategy: Investigation of the Relationship between Exposure Speed and Ultra High Resolution in electron beam lithography
Chihara, Yoshinori Correlation between Electron-Irradiation Effect and Stress in Carbon Nanotubes: Molecular Dynamics Study
Chirumamillaa, M. 2D and 3D Plasmonic Nanostars for Bio-sensing Applications - Single Molecule Detection
Cho, H.-K. Analytic Model of Line Edge Roughness from Stochastic Exposure Distribution in Electron-beam Lithography
Cho, Han-Ku Dependency Analysis of Line Edge Roughness in Electron-beam Lithography
A Fast Path-based Method for 3-D Resist Development Simulation in Electron-beam Lithography
Choi, Chang-Hwan Fabrication of hierarchical nanostructures using free-standing tri-layer membrane
Plasmonic Nanogap Arrays Fabricated via Moiré Holographic Lithography
Fabrication of Nano-Bowl Arrays via Simple Holographic Patterning and Lift-Off Process
Choi, Hong Kyoon Rule-Based Directed Self-Assembly of Circuit-Like Block-Copolymer Patterns
Choi, Hyungryul Fabrication of transparent superoleophobic surfaces by multiple shrinking mask etching and layer-by-layer coating
Choi, Jun-Hyuk Planarized Ag Nanopattern Array for Plasmonic Resonance-driven Electroluminescence Enhancement
Choi, Keebong The Effect of Improved Hardness using Polyurethane Acrylate in Replica Mold for Substrate Conformal Imprint Lithography
Choo, Gihoon High-Throughput Transfer Imprinting for Organic Semiconductor
Chou, Stephen Double External Quantum Efficiency/Light Extraction and Widen Viewing Angle of Organic Light-Emitting Diodes with New Plasmonic Cavity with Subwavelength Hole Array (PlaCSH)
Fabrication of Plasmonic-enhanced Nanostructured Electron Source (PNE) Using Epitaxial Lift-off and Nanoimprint Lithography
Sub-30 nm Roller Nanoimprint Lithography Using Flexible Hybrid Molds and Applications to Large-Area High-Performance Nanoplasmonic Sensors and Solar Cells
Fabrication and Demonstration of Ultra-sensitive and Fast Immunoassay Platform With 3D Nanoplasmonic Cavity Antenna and Microfluidics Using Nanoimprint
Ultrathin, High-Efficiency, Broad-Band, Omni-Acceptance Organic Solar Cells Using New Plasmonic Cavity with Subwavelength Hole Array
Fabrication of Large-area Flexible Roll-to-Roll Nanoimprint Molds with Sub-100nm Features Using Step-and-Repeat Duplication
Patterning of Light-Extraction Nanostructures on Sapphire Substrates Using Nanoimprint, SiO2 Masking and ICP Dry Etching
Chouiki, M. Roll-to-Roll Hot Embossing of Micron and Nanoscale Structures for the Fabrication of Plastic Devices
Chu, Yiwen Free-standing nanoscale mechanical and photonic devices fabricated in single-crystal diamond
Chueh, Ching-Hao Structural properties and electroforming-free resistive switching characteristics of Nd2O3, Dy2O3 and Er2O3 memory devices fabricated in full room temperature
Civay, Deniz EUVL Aberration Metrology using Resist Images from a Strong Phase Shifting Mask
Cohen, Robert Fabrication of transparent superoleophobic surfaces by multiple shrinking mask etching and layer-by-layer coating
Cohen, Ross A Model for Nano-manufactured Electrodes utilizing Vertical Carbon Nanotubes
Coleman, Johnathan Micromachined stylus ion traps through high aspect ratio lithography and electrochemical deposition
Colle, Dominique Advanced Maskless Grayscale Lithography using a new writing strategy to increase the number of grayscale levels
Colombe, Yves Micromachined stylus ion traps through high aspect ratio lithography and electrochemical deposition
Comboroure, Corinne Fabrication of 18 nm split-gate charge trap memories by hybrid lithography (e-beam/DUV)
Con, Celal Nanofabrication of high aspect ratio structures using evaporated polystyrene resist containing metal
Effect of toluene treatment on PDMS molding into nanoholes
Consultant A Multiple electron beam wafer inspection system design using permanent magnetic lens arrays
Conway, James W Dual Layer Negative Tone Metal Liftoff Electron Beam Lithography process for nanometer scale Plasmonic and Photonic devices
Cooke, Simon Image distortion in REBL system: the correctable and the residual
Multi-Source, Complex Beamline Modeling Development in MICHELLE eBEAM
Crozier, Kenneth The nano-optics of plasmonic optical tweezers, SERS substrates and multi-colored silicon nanowires (Invited)
Cui, Bo Theoretical study of the effects of substrate material refractive index on the optical transmission of nano-hole arrays
Fabrication of Gold Bowtie Nano-antenna by E-beam Lithography on Si3N4 Membrane for SERS
Electron beam optimization using self-developing resist for large write-field electron beam lithography
Write-field alignment optimization using self-developing electron beam resist
Effect of toluene treatment on PDMS molding into nanoholes
Contrast curve engineering by using multi-layer polystyrene electron beam resist
Polycarbonate as an ideal grayscale electron beam resist using diluted cyclopentanone developer
Cui, Celal Con Bo Out-of-plane nanofabrication using evaporated electron beam resist
Cui, Xugao
Enhanced up-conversion luminescence in a microtubular optical resonator
Cui,, Bo Nanofabrication of high aspect ratio structures using evaporated polystyrene resist containing metal
Cumming, David Direct write and nanoprinting for plasmon resonance color filters (Invited)
Curtis, Todd HfC(310) high brightness sources for advanced imaging applications (Invited)
Czaplewski, David Design and Fabrication of Ultrananocrystalline Diamond Based Nanoelectromechanical Switches
Determining the range and intensity of backscattered electrons from the substrate density and atomic number (Invited)

D

Dai, Qing A Fast Path-based Method for 3-D Resist Development Simulation in Electron-beam Lithography
Dani, John Fabrication of neural probes for simultaneous in vivo optical stimulation and electrical recording in the brain
Daniels-Race, Theda Nano-Rough Gold for Enhanced Raman Scattering
Dansberg, M.P. MAPPER progress towards a High Volume Manufacturing EBDW system (Invited)
Dasa, G. 2D and 3D Plasmonic Nanostars for Bio-sensing Applications - Single Molecule Detection
Dash, Raj Kishora Development of a Mask-less Nanofabrication Process for SnO2 Periodic Nanostructure
De Angelisa, F. 2D and 3D Plasmonic Nanostars for Bio-sensing Applications - Single Molecule Detection
De Bisschop, Peter Continuous and Stochastic effects for 2D structures in EUV Lithography
De Boeck, Jo Breaking through the scaling boundaries, key for a sustainable society
de Boer, G. MAPPER progress towards a High Volume Manufacturing EBDW system (Invited)
de Coulomb, C. Thanner Roll-to-Roll Hot Embossing of Micron and Nanoscale Structures for the Fabrication of Plastic Devices
de Leon, Nathalie Free-standing nanoscale mechanical and photonic devices fabricated in single-crystal diamond
De Oteyza, Dimas Garcia Comparison of Au feature formation using two Au-calixarene resists, electron beam lithography, and low temperature organic removal
de Pablo, Juan Non Equilibrium Block Copolymer Directed Self Assembly. Multiblocks, Solvents, and Thick Films (Invited)
Decker, Shawn Chemical Composition and Pattern Development in Inorganic Photoresist Materials Deposited from Aqueous Solution
Delgadillo, Paulina A. Rincon Directed Self-Assembly of Ternary Blends of Block Copolymer and Homopolymers on Chemical Patterns
Denby, Phil Mm2 size arrays of metal nano-particles for solar cell applications fabricated by Electron Beam Lithography (EBL)
Denham, Paul EUVL Aberration Metrology using Resist Images from a Strong Phase Shifting Mask
Depero, Laura Triggering and monitoring plasmon enhanced reactions by optical nanoantennas coupled to photocatalytic beads
Depoil, David Bifunctional Nanoarrays for Probing the Immune Response at the Single-Molecule Level
DeRose, Guy Exposure Strategy: Investigation of the Relationship between Exposure Speed and Ultra High Resolution in electron beam lithography
Dew, Steven K. Study of multilayer systems in electron beam lithography
Application of EBL fabricated nanostructured substrates for SERS detection of protein A in aqueous solution
Dey, Ripon Kumar Electron beam optimization using self-developing resist for large write-field electron beam lithography
Write-field alignment optimization using self-developing electron beam resist
Dhima, Khalid Free-standing filaments in thermal nanoimprint induced by pre-filling
Reflow minimization via viscosity control by exposure
Underestimated impact of instabilities with nanoimprint
2D- visualization of imprint-induced flow by means of crystallizing polymers
Dhuey, Scott Negative-Tone Chemically-Amplified for Sub-20nm Lithography
A lab-on-a-chip with 30 nm nanochannels and plasmonic bowtie nanoantenna
A novel route for fabricating Printable Photonic Devices with a high refractive index, Carlos Pina Hernandez, Giuseppe Calafiore, Christophe Peroz, aBeam Tech
Sub-10nm nanofabrication by step-and-repeat UV nanoimprint lithography
Comparison of Au feature formation using two Au-calixarene resists, electron beam lithography, and low temperature organic removal
Di Fabrizio, Enzo The five whys (and one h) of super hydrophobic surfaces in medicine (Invited)
Di Fabrizioa, E. 2D and 3D Plasmonic Nanostars for Bio-sensing Applications - Single Molecule Detection
Ding, Wei Double External Quantum Efficiency/Light Extraction and Widen Viewing Angle of Organic Light-Emitting Diodes with New Plasmonic Cavity with Subwavelength Hole Array (PlaCSH)
Ultrathin, High-Efficiency, Broad-Band, Omni-Acceptance Organic Solar Cells Using New Plasmonic Cavity with Subwavelength Hole Array
Diniz, José Alexandre Fabrication of p-type Silicon Nanowires for 3D FETs Using Focused Ion Beam
Divan, Ralu Microfilters with Nanotopography for Isolation of Circulating Tumor Cell from Blood
Metal-Assisted Etching of Silicon Molds for Electroforming
Diziain, Séverine Freestanding Photonic Crystals in Lithium Niobate
Dmitriev, Alexandre Sub-10nm Resolution after Lift-Off using HSQ/PMMA Double Layer Resist (Invited)
dos Santos, Marcos Vinicius Puydinger Fabrication of p-type Silicon Nanowires for 3D FETs Using Focused Ion Beam
Dou, Hong-Yan SPR-based Surface-enhanced Raman Scattering for the Detection of Organophosphorus Pesticide
Dou, Hongyan 2D Azobenzene Liquid-crystalline Polymer-based Switchable Photonic Crystals via Nanoimprint
Dowsett, David Combined SIMS-SPM instrument for high sensitivity and high resolution elemental 3D analysis
Towards SIMS on the Helium Ion Microscope: detection limits and experimental results on the ORION
Druzhinina, Tamara Thermodynamic origin of placement errors for contact holes created by directed self-assembly
Du, Kan DNA Origami: Prospects for Nanomanufacturing
Du, Ke Fabrication of hierarchical nanostructures using free-standing tri-layer membrane
Plasmonic Nanogap Arrays Fabricated via Moiré Holographic Lithography
Fabrication of Nano-Bowl Arrays via Simple Holographic Patterning and Lift-Off Process
Duan, Huigao Hydrogen Silsesquioxane As A Resist And Material Of Choice In Fabricating Plasmonic Antennas
Point-spread function of energy deposition by an electron-beam determined by using energy-filtered TEM
Duan, Jinsong Pronounced Effects of Anisotropy on Plasmonic Properties of Nanorings Fabricated by Electron Beam Lithography, Rachel Near, Georgia Institute of Technology
Dumond, J. Roll-to-Roll Hot Embossing of Micron and Nanoscale Structures for the Fabrication of Plastic Devices
Dustin, Michael Bifunctional Nanoarrays for Probing the Immune Response at the Single-Molecule Level

E

Ehr, Jim Von Multi-spot-size vector writing approach to atomically precise H depassivation lithography
Eichelsdoerfer, Daniel J. Active Cantilever-free Scanning Probe Lithography (Invited)
Eisenbraun, Eric Changing to TiOx Based Nanostructured Catalyst Support Materials for PEM Fuel Cells Utilizing ALD and PEALD
Ekinci, Yasin EUV Bessel beam lithography
Single-digit patterning using EUV light
El Kodadi, Mohamed A method for dynamic parameterized shape reconstruction. Application to scatterometry
El-Sayed, Mostafa Pronounced Effects of Anisotropy on Plasmonic Properties of Nanorings Fabricated by Electron Beam Lithography, Rachel Near, Georgia Institute of Technology
Elam, Jeffrey Nanoengineered charge-drain film for electron-optical MEMS in the REBL E-beam column
Elek, Jonathan Fabrication of Hierarchical Three-Dimensional Nanostructures Using Template-Directed Assembly of Colloidal Particles
Elezi, Eljon Nanograting–Mediated Growth of Bismuth Selenide Topological Insulator Nanoribbons
Emanuel, M DWDM laser arrays fabricated using thermal nanoimprint lithography on Indium Phosphide substrates
Emmrich, Daniel Fabrication and Modification of Carbon Nanomembranes (CNMs) by Helium Ion Lithography
Endo, Akira Extendability of LPP EUV source technology in kW average power and 6.x nm wavelength operation (Invited)
Engelmann, Sebastian U. Contamination Mitigation from Salty HSQ Development for Nanoscale CMOS Device Patterning
Englund, Dirk Optoelectronic Devices on-Fiber Enabled by Micro-Assembly Process Using Polydimethylsiloxane Probes
Fabrication of single-crystal diamond nano-slabs for photonic applications
Ercius, Peter Investigation into Shot Noise Effects of Direct Write Electron Beam Lithography Using High Energy Electron Beams
Esashi, Masayoshi Prototype Active-Matrix Nanocrystalline Silicon Electron Emitter Array for Massively Parallel Direct-Write Electron Beam Lithography, Naokatsu Ikegami, Nobuyoshi Koshida, Tokyo University of Agriculture and Technology
Evans-Lutterodt, Kenneth Measuring Field-Stitch Boundary Error of Electron Beam Lithography With X-ray Diffraction

F

Fader, Robert Accuracy of Wafer Level Alignment with Substrate Conformal Imprint Lithography
Fang, Cheng-Yi Study of optical anisotropy property to rapidly characterize structural qualities of CVD prepared graphene films
Fang, Yangfu Enhanced up-conversion luminescence in a microtubular optical resonator
Farrow, Reginald A Model for Nano-manufactured Electrodes utilizing Vertical Carbon Nanotubes
Fay, Patrick Inductively Coupled Plasma Etching of Through-Cell Vias in Indium-Bearing III-V Solar Cells Using SiCl4/Ar plasma
Fegadolli, William Nanofabricated Silicon Devices: From Nanosensors to Medical Implants (Invited)
Feldman, Martin Nano-Rough Gold for Enhanced Raman Scattering
Feng, Philip Silicon Carbide Nanoelectromechanical Systems and Nanomechanical Logic (Invited)
Fenger, Germain EUVL Aberration Metrology using Resist Images from a Strong Phase Shifting Mask
Fernandez-Cuesta, Irene A lab-on-a-chip with 30 nm nanochannels and plasmonic bowtie nanoantenna
Ferranti, D. Metal Depositions Induced by Helium and Neon Ion Beams
Ferranti, David Chemical Assisted Etching with Ne+ & He+ Ion Microscope (Invited)
Filho, José Godoy Fabrication of p-type Silicon Nanowires for 3D FETs Using Focused Ion Beam
Finders, Jo Thermodynamic origin of placement errors for contact holes created by directed self-assembly
Finn, Andreas Fabrication of Polymer Structures with Undercuts by Reverse Imprint Lithography (Invited)
Finnegan, Patrick Micromachined stylus ion traps through high aspect ratio lithography and electrochemical deposition
Fischer, Peter Dipole-Coupled Nanomagnet Chains Fabricated on Silicon Nitride Membranes for Time-Resolved X-Ray Microscopy Experiments
Fischer, Wolf-Joachim Fabrication of Polymer Structures with Undercuts by Reverse Imprint Lithography (Invited)
Fito, Taras Study of multilayer systems in electron beam lithography
Flauraud, Valentin Morphological characterization of metallic nano-structures evaporated through stencil on graphene
Fleger, Markus An Innovative EBL Writing Strategy for High Speed and Precision Lithography of Large Circle Arrays for Microfiltration and Photonics in Solar Cells
Fleming, Yves Combined SIMS-SPM instrument for high sensitivity and high resolution elemental 3D analysis
Fleury, Guillaume Defectivity and uniformity evaluation of block copolymer directed self-assembly for contact hole shrink 300mm-process
Foundry, Molecular Comparison of Au feature formation using two Au-calixarene resists, electron beam lithography, and low temperature organic removal
Fowlkes, Jason D. Enhanced purity via laser assisted electron beam induced deposition of tungsten
Frey, Lothar Accuracy of Wafer Level Alignment with Substrate Conformal Imprint Lithography
Fridmann, Joel Progress Report on the Multi-Species Focused Ion Beam Lithography System and Its Applications
Direct Ga and Si Ion Beam Lithography for Nanopore Fabrication with High Resolution and Reproducibility
Fu, Chien-Chung Lloyd’s Mirror Interferometer Using a Single-Mode Fiber Spatial Filter
Fu, Joseph Atom-based Pitch and Length Standards
Fu, Wangyang Morphological characterization of metallic nano-structures evaporated through stencil on graphene
Fucetola, Corey 3 D Nanostructures via Aligned Stacking of Pre-patterned Membranes
Fuchs, Ehud Multi-spot-size vector writing approach to atomically precise H depassivation lithography
Fujita, Honoka Novel template releasing process Novel template releasing process by multi-axis controlled systems in nanoimprint lithography
Fukuda, Hiroshi Reconstructing Cross-Sectional Profiles from Top-View SEM Images Using Edge Fluctuation Characteristics
Funakoshi, Kota Durability assessment of mold release agents for ultraviolet nanoimprint lithography

G

G., Amir Tavakkoli K. Sacrificial Post Templating Method for Block Copolymer Self-Assembly
G.Kreindl Roll-to-Roll Hot Embossing of Micron and Nanoscale Structures for the Fabrication of Plastic Devices
Gadegaard, Nikolaj Targeted nanopatterning for medical applications (Invited)
Gallatin, Gregg DNA Origami: Prospects for Nanomanufacturing
Gao, He Pattern Transfer from Directed PS-b-PMMA Films with Sub-25 nm Full Pitch
Garfunkel, Eric Chemical Composition and Pattern Development in Inorganic Photoresist Materials Deposited from Aqueous Solution
Gargas, Daniel Reaching theoretical resonance quality factor limit in coaxial plasmonic nano resonators fabricated by Helium Ion Microscope
A lab-on-a-chip with 30 nm nanochannels and plasmonic bowtie nanoantenna
Gauzner, Gene Defect-tracking For Nanoimprint Lithography Using Optical Surface Analyzer and Scanning Electron Microscope
Gaylord, Thomas K. Pattern-integrated interference lithography: vector modeling of the single-exposure recording of integrated photonic-crystal structures
Ge, Haixiong A Light-driven Micro-motor Based on Angular Momentum Transfer through Subwavelength Grating Waveplates
Geer, Robert Changing to TiOx Based Nanostructured Catalyst Support Materials for PEM Fuel Cells Utilizing ALD and PEALD
Geiss, Reinhard Freestanding Photonic Crystals in Lithium Niobate
Gely, Marc Fabrication of 18 nm split-gate charge trap memories by hybrid lithography (e-beam/DUV)
Gentile, Francesco The five whys (and one h) of super hydrophobic surfaces in medicine (Invited)
Gerard, Mathieu Combined SIMS-SPM instrument for high sensitivity and high resolution elemental 3D analysis
Gessner, Thomas Functional Nano Patterns realized by Thermal and UV Nano Imprint Lithography
Gharbi, Ahmed Defectivity and uniformity evaluation of block copolymer directed self-assembly for contact hole shrink 300mm-process
Gheewala, Mufaddal Development of a low energy neutral particle printer for atomically precise patterning of desorption resists
Fabrication of neural probes for simultaneous in vivo optical stimulation and electrical recording in the brain
Surface-enhanced Raman Spectroscopy with monolithic, hierarchical nanoporous gold disk substrates
Giannelis, Emmanuel Nanoparticle Photoresists: Highly Sensitive EUV Resists with a New Patterning Mechanism (Invited)
Gila, Brent Progress Report on the Multi-Species Focused Ion Beam Lithography System and Its Applications
Glatzel, Thilo Combined SIMS-SPM instrument for high sensitivity and high resolution elemental 3D analysis
Glinskis, Simas Fabrication of Electronic Fabry-Perot Interferometer in the Quantum Hall Regime
Gobrecht, Jens EUV Bessel beam lithography
Single-digit patterning using EUV light
Goh, Xiao Ming Hydrogen Silsesquioxane As A Resist And Material Of Choice In Fabricating Plasmonic Antennas
Goldberg, Kenneth EUVL Aberration Metrology using Resist Images from a Strong Phase Shifting Mask
Gölzhäuser, Armin Fabrication and Modification of Carbon Nanomembranes (CNMs) by Helium Ion Lithography
Gonzalez, Carlos M. Enhanced purity via laser assisted electron beam induced deposition of tungsten
Gonzalez, G.M. Metal Depositions Induced by Helium and Neon Ion Beams
Gopalakrishnana,b, A. 2D and 3D Plasmonic Nanostars for Bio-sensing Applications - Single Molecule Detection
Gosselin, David Sub-10nm nanofabrication by step-and-repeat UV nanoimprint lithography
Gotrik, Kevin Sacrificial Post Templating Method for Block Copolymer Self-Assembly
Graczyk, Mariusz Replication of NIL Stamps by Metal-Assisted Chemical Etching of Silicon
Nanoimprint-Based Lift-off Process for a Large-Scale Epitaxial Growth of Nanowires
Gradecak, Silvija Lithographically-Defined ZnO Nanowire Growth
Grady, Eldad Control of stress in sputtered tantalum films for MEMS applications, Enrico Mastropaolo
Graves, William Optically Actuated Nanostructured Electron-Emitter Arrays
Greer, Frank Enabling High Performance Detectors and Optics for Astronomy and Planetary Exploration with PEALD (Invited)
Grella, Luca Investigation into Shot Noise Effects of Direct Write Electron Beam Lithography Using High Energy Electron Beams
Grepstad, Jon Olav Advances on e-beam fabrication of photonic crystal membranes
Greve, Martin Mm2 size arrays of metal nano-particles for solar cell applications fabricated by Electron Beam Lithography (EBL)
Griffis, Dieter Ga+ Focused Ion Beam Micromachining of Thermoplastic Polymers
Grill, Leonhard Controlled bottom-up assembly of functional molecules: From wires to networks (Invited)
Gronheid , Roel Directed Self-Assembly of Ternary Blends of Block Copolymer and Homopolymers on Chemical Patterns
Gu, C.Z. Hexagonal three-dimensional plasmonic nanoantenna arrays
Gu, Jing Cryogenic imaging of biological specimens using Helium Ion Microscope
Gu, Xiaodan Sub-10 nm silicon nano-structures based on block copolymer lithography and high selectivity, cryogenic temperature dry etching (Invited)
Gu, Zheng Dipole-Coupled Nanomagnet Chains Fabricated on Silicon Nitride Membranes for Time-Resolved X-Ray Microscopy Experiments
Guan, Dong KOH Polishing of Nanoscale Deep Reactive-Ion Etched Ultra-High Aspect Ratio Gratings
Guillorn, Michael A. Contamination Mitigation from Salty HSQ Development for Nanoscale CMOS Device Patterning
Dot-Matrix Marks for Dynamic Overlay Measurements in Electron Beam Lithography
Gullikson, Eric Efficient Packaged Zoneplates for EUV Instruments
EUVL Aberration Metrology using Resist Images from a Strong Phase Shifting Mask
Guo, Dengji Mechanical characteristics of the ultra-long horizontal free-space-nanowire grown by real-time feedback control on focused-ion-beam chemical vapor deposition
Guo, Hong-Jie Development of a low energy neutral particle printer for atomically precise patterning of desorption resists
Guo, L. Jay Moving Towards Structural Color Display: Angle Insensitive Structural Colors Based on Metallic Gratings and Color Pixels beyond the Diffraction Limit
Continuous and Scalable Fabrication of Functional films via Vibrational Indentation Patterning and Photo Roll Lithography
Guo, Lingjie Tapered hyperbolic metamaterials for broadband absorption
Guo, Peijun Infrared nanophotonics based on indium-tin-oxide nanorod array
Guo, Rui Analytic Model of Line Edge Roughness from Stochastic Exposure Distribution in Electron-beam Lithography
A Fast Path-based Method for 3-D Resist Development Simulation in Electron-beam Lithography
Gutierrez-Rivera, Luis Study of multilayer systems in electron beam lithography
Application of EBL fabricated nanostructured substrates for SERS detection of protein A in aqueous solution
Gysin, Urs Combined SIMS-SPM instrument for high sensitivity and high resolution elemental 3D analysis

H

Hack, Paul Chemical Assisted Etching with Ne+ & He+ Ion Microscope (Invited)
Hadziioannou, Georges Defectivity and uniformity evaluation of block copolymer directed self-assembly for contact hole shrink 300mm-process
Hagen, Kees Fabrication of a rotation corrector for electron multi beam array micro-lenses
Haglund, Richard Electromagnetically Induced Transparency in Au:VO2 Nanoparticles
Hah, Dooyoung Nano-Rough Gold for Enhanced Raman Scattering
Hamaguchi, Shin-ichi Low line edge roughness patterning with Character Projection EB Lithography for Photonic Devices
Hamden, Erika Enabling High Performance Detectors and Optics for Astronomy and Planetary Exploration with PEALD (Invited)
Han, Xue Electro-Active Single Mode Integrated Optical Waveguide Application in Spectroelectrochemistry
Hannon, Adam F. Rule-Based Directed Self-Assembly of Circuit-Like Block-Copolymer Patterns
Hannon, Adam Sacrificial Post Templating Method for Block Copolymer Self-Assembly
Hansen, Ole DWDM laser arrays fabricated using thermal nanoimprint lithography on Indium Phosphide substrates
Hansen, Robin Changing to TiOx Based Nanostructured Catalyst Support Materials for PEM Fuel Cells Utilizing ALD and PEALD
Hao, Hanfang Patterning Plasmonic Nanostructures - Regimes of the Gallium Focused Ion Beam and Helium Ion Microscope
Harada, Tetsuo Phase Defect Characterization on an EUV Blank Mask using Micro Coherent EUV Scatterometry Microscope
Harteneck, Bruce Comparison of Au feature formation using two Au-calixarene resists, electron beam lithography, and low temperature organic removal
Hartfield, Cheryl. D. Enhanced purity via laser assisted electron beam induced deposition of tungsten
Hartley, John Print based estimation of probe size distribution in electron beam lithography
Hartung, Holger Freestanding Photonic Crystals in Lithium Niobate
Haruyama, Yuichi Effects of Fluorosurfactants on Antisticking Layer Resistance in Repeated UV Nanoimprint
Abrasion Test for Antisticking Layer by Scanning Probe Microscopy
Evaluation of fluorine additive segregation in UV nanoimprint resin by X-ray photoelectron spectroscopy
Selective Patterning of Fluorinated Self-assembled Monolayer by UV Nanoimprinting for Directed Self-Assembly
Reorientation Evaluation of Photoinduced Liquid Crystalline Polymer Pattern Fabricated by Hybrid Nanoimprinting with Linearly Polarized Ultra Violet Irradiation
Harvey, Tyler Small-Pitch Electron Diffraction Holograms Patterned on Inorganic Resist with Electron Beam Lithography
Hastings, J. Todd Liquid-phase electron-beam-induced-deposition on bulk substrates without liquid cells
Controlling the Morphology of Silver Nanoparticle Films formed by Laser-induced Deposition from Liquids
Hausmann, Birgit Free-standing nanoscale mechanical and photonic devices fabricated in single-crystal diamond
He, Xiaoli Changing to TiOx Based Nanostructured Catalyst Support Materials for PEM Fuel Cells Utilizing ALD and PEALD
Heerkens, Carel Fabrication of a rotation corrector for electron multi beam array micro-lenses
Heidari, Babak Nanoimprint-Based Lift-off Process for a Large-Scale Epitaxial Growth of Nanowires
Heilmann, Ralf KOH Polishing of Nanoscale Deep Reactive-Ion Etched Ultra-High Aspect Ratio Gratings
Henderson, Clifford L. Methods for Controlled Polymerization in Negative Tone Resists
Detailed Molecular Dynamics Studies of Block Copolymer Directed Self-Assembly: Effect of Guiding Layer Properties on Block Copolymer Directed Self-Assembly
PS-b-PHEMA: A Promising High χ Polymer for Directed Self-Assembly Lithography
Directly-Photodefinable Guiding Layers: Enabling Simple 3-Step DSA Processes for Lithographic Patterning
Henein, Gerard Wafer Scale Fabrication of High-Aspect Ratio Gold Nanostructures using Ar+ - Ion Beam Etching
Hennessy, John Enabling High Performance Detectors and Optics for Astronomy and Planetary Exploration with PEALD (Invited)
Hensel, René Fabrication of Polymer Structures with Undercuts by Reverse Imprint Lithography (Invited)
Her, Jim-Long Structural properties and electroforming-free resistive switching characteristics of Nd2O3, Dy2O3 and Er2O3 memory devices fabricated in full room temperature
Herman, Gregory Chemical Composition and Pattern Development in Inorganic Photoresist Materials Deposited from Aqueous Solution
Heurlin, Magnus Nanoimprint-Based Lift-off Process for a Large-Scale Epitaxial Growth of Nanowires
Hillenbrand, Rainer Infrared nanospectroscopy meets FIB and TEM (Invited)
Hirai, Yoshihiko Simulation Study on Template Releasing Process in Nanoimprint Lithography
Novel Ordered Hetero Junction Organic Photovoltaics (OHJ-OPV) Novel Ordered Hetero Junction Organic Photovoltaics (OHJ-OPV) by multi-layered direct nanoimprint using buli-in electrode mold
Correlation between Electron-Irradiation Effect and Stress in Carbon Nanotubes: Molecular Dynamics Study
Selective Edge Lithography for Fabricating Imprint Mold with Nano Size and Large Size Mixed Patterns
Novel template releasing process Novel template releasing process by multi-axis controlled systems in nanoimprint lithography
Hirano, Ryoichi Influence of EUV mask structure on electron trajectories
Hiroshima, Hiroshi Effects of Fluorosurfactants on Antisticking Layer Resistance in Repeated UV Nanoimprint
Hite, Dustin Micromachined stylus ion traps through high aspect ratio lithography and electrochemical deposition
Hobbs, Richard Optically Actuated Nanostructured Electron-Emitter Arrays
Hodges, Jonathan Fabrication of single-crystal diamond nano-slabs for photonic applications
Hoenk, Michael Enabling High Performance Detectors and Optics for Astronomy and Planetary Exploration with PEALD (Invited)
Hofer, Manuel Mix&Match Electron Beam and Scanning Probe Lithography for sub-5 nm Patterning
Hoga, Morihisa Au split-ring resonator arrays responsive to a magnetic field in a visible frequency region fabricated by UV nanoimprint lithography
Hojeij, Mohamad EUV Bessel beam lithography
Single-digit patterning using EUV light
Hollowell, Andrew E. Moving Towards Structural Color Display: Angle Insensitive Structural Colors Based on Metallic Gratings and Color Pixels beyond the Diffraction Limit
Hollowell, Andrew Micromachined stylus ion traps through high aspect ratio lithography and electrochemical deposition
Holst, Bodil Advances on e-beam fabrication of photonic crystal membranes
Mm2 size arrays of metal nano-particles for solar cell applications fabricated by Electron Beam Lithography (EBL)
Holt, Martin Determining the range and intensity of backscattered electrons from the substrate density and atomic number (Invited)
Homyk, Andrew Nanofabricated Silicon Devices: From Nanosensors to Medical Implants (Invited)
Hone, J. Fabrication of hundreds of field effect transistors on a single carbon nanotube for basic studies and molecular devices
Hone, James Graphene Field-Effect Transistors with Gigahertz-Frequency Power Gain on Flexible Substrates
Hong, SungEun Pattern Transfer from Directed PS-b-PMMA Films with Sub-25 nm Full Pitch
Hornung, Michael Accuracy of Wafer Level Alignment with Substrate Conformal Imprint Lithography
Hozumi, Takashi Application of Proton Beam Writing to a Direct Etching of PTFE for PDMS Replica Molding
Hsu, Wei-Hao Low-Energy Electron Diffractive Imaging Based on a Single-Atom Electron Source
Hsu, Wei-Liang Fabrication of Patterned Interference-Based and Absorption-Based Polarizers
Hu, Huan Fabricating arbitrary silicon nanostructures using thermal dip pen nanolithography (tDPN)
Hu, Xiaolong Optoelectronic Devices on-Fiber Enabled by Micro-Assembly Process Using Polydimethylsiloxane Probes
Huang, Gaoshan Enhanced up-conversion luminescence in a microtubular optical resonator
Thermal dewetting of gold particles on a template surface
Huang, Tao SPR-based Surface-enhanced Raman Scattering for the Detection of Organophosphorus Pesticide
Huang, Tzer-Bin Lloyd’s Mirror Interferometer Using a Single-Mode Fiber Spatial Filter
Huard, Chad Continuous and Scalable Fabrication of Functional films via Vibrational Indentation Patterning and Photo Roll Lithography
Huebner, Uwe Exploiting extreme coupling to realize a metamaterial perfect absorber (Invited)
Humbach, Oliver An Innovative EBL Writing Strategy for High Speed and Precision Lithography of Large Circle Arrays for Microfiltration and Photonics in Solar Cells
Huynh, Chuong Reaching theoretical resonance quality factor limit in coaxial plasmonic nano resonators fabricated by Helium Ion Microscope
Hwang, Ing-Shouh Low-Energy Electron Diffractive Imaging Based on a Single-Atom Electron Source
Hwang, JuneHo Towards an RF Planar Waveguide Electron LINAC
Planar Electronic Picosecond Electron Pulser
Hwu, Justin Sub-10 nm silicon nano-structures based on block copolymer lithography and high selectivity, cryogenic temperature dry etching (Invited)

I

Icard, Beatrice Line width roughness reduction strategies for resist patterns printed via electron beam lithography
Ichimura, Takashi High throughput scanning electron microscopes with MEMS-based multi-beam optics
Iida, Susumu Influence of EUV mask structure on electron trajectories
Im, Mi Young Dipole-Coupled Nanomagnet Chains Fabricated on Silicon Nitride Membranes for Time-Resolved X-Ray Microscopy Experiments
Iqbal, Zafer A Model for Nano-manufactured Electrodes utilizing Vertical Carbon Nanotubes
Irannejad, Mehrdad Theoretical study of the effects of substrate material refractive index on the optical transmission of nano-hole arrays
Fabrication of Gold Bowtie Nano-antenna by E-beam Lithography on Si3N4 Membrane for SERS
Ishchuk, Valentyn Sub-10 nm silicon nano-structures based on block copolymer lithography and high selectivity, cryogenic temperature dry etching (Invited)
Ishihara, Sunao Mechanical characteristics of the ultra-long horizontal free-space-nanowire grown by real-time feedback control on focused-ion-beam chemical vapor deposition
Optomechanical resonator fabrication with the surface plasmon antenna for the wavelength detection
Ishikawa, Manabu Novel Ordered Hetero Junction Organic Photovoltaics (OHJ-OPV) Novel Ordered Hetero Junction Organic Photovoltaics (OHJ-OPV) by multi-layered direct nanoimprint using buli-in electrode mold
Itani, Toshiro An in situ analysis of EUV resist dissolution characteristics by high speed AFM
Iyoshi, Shuso Effects of Fluorosurfactants on Antisticking Layer Resistance in Repeated UV Nanoimprint
Evaluation of fluorine additive segregation in UV nanoimprint resin by X-ray photoelectron spectroscopy
Iyoshi, Syuso
Selective Patterning of Fluorinated Self-assembled Monolayer by UV Nanoimprinting for Directed Self-Assembly

J

Jager, R. MAPPER progress towards a High Volume Manufacturing EBDW system (Invited)
Jahn, Andreas Fabrication of Polymer Structures with Undercuts by Reverse Imprint Lithography (Invited)
Jan, Neuman Ion and Electron Beam Lithography in a Multifunctional Tool FIB/SEM with in-situ SPM
Jarnagin, Nathan PS-b-PHEMA: A Promising High χ Polymer for Directed Self-Assembly Lithography
Directly-Photodefinable Guiding Layers: Enabling Simple 3-Step DSA Processes for Lithographic Patterning
Jarro, Carlos A. Controlling the Morphology of Silver Nanoparticle Films formed by Laser-induced Deposition from Liquids
Jeanmaire, Damien Exposure Strategy: Investigation of the Relationship between Exposure Speed and Ultra High Resolution in electron beam lithography
Jede, Ralf Progress Report on the Multi-Species Focused Ion Beam Lithography System and Its Applications
Jedrasik, Piotr Sub-10nm Resolution after Lift-Off using HSQ/PMMA Double Layer Resist (Invited)
Jeon, C.-U. Analytic Model of Line Edge Roughness from Stochastic Exposure Distribution in Electron-beam Lithography
Jeon, Chan-Uk Dependency Analysis of Line Edge Roughness in Electron-beam Lithography
A Fast Path-based Method for 3-D Resist Development Simulation in Electron-beam Lithography
Jeong, Jun-Ho Planarized Ag Nanopattern Array for Plasmonic Resonance-driven Electroluminescence Enhancement
Jewell, April Enabling High Performance Detectors and Optics for Astronomy and Planetary Exploration with PEALD (Invited)
Ji, Ran Accuracy of Wafer Level Alignment with Substrate Conformal Imprint Lithography
Ji, Xin-Ming SPR-based Surface-enhanced Raman Scattering for the Detection of Organophosphorus Pesticide
Ji, Xinming 2D Azobenzene Liquid-crystalline Polymer-based Switchable Photonic Crystals via Nanoimprint
Jiang, Hao Improved Switching Uniformity for TiO2/HfO2 Bi-layer Memristive Devices
Voltage Dependent Electroforming of TiO2-based Memristive Devices
Low-power Resistive Switching in Ultra-smooth Native AlOx Thin Films Fabricated by Template Stripping
Ultralow Voltage Resistive Switching in Ultrathin Silicon Oxide
Jiang, Li Nano-structure Modified Thin-Film Paper Energy Storage Device
Jiang, Youwei Exceptional Thermal Stability of Thermoplastic Polymer Nanostructures Patterned by Nanoimprint
Jiruše, Jaroslav Ion and Electron Beam Lithography in a Multifunctional Tool FIB/SEM with in-situ SPM
Jones, Geb Mesoscopic Electronic Devices Fabricated using Atomic Force Lithography
Jordens, Robert Micromachined stylus ion traps through high aspect ratio lithography and electrochemical deposition
Jorntell, Henrik Nanowire-Based Electrode for Neural Recordings in the Brain
Jost, John Micromachined stylus ion traps through high aspect ratio lithography and electrochemical deposition
Jun, David First focused ion beam images using a novel electron impact gas ion source
Jung, Daewoong Analysis and understanding the regrowth of Multi-walled carbon nanotube forests
Effect of thickness of the catalyst film and the hydrogen gas on the spin-capability of a MWCNT forest
Jung, Joo-Yeon Planarized Ag Nanopattern Array for Plasmonic Resonance-driven Electroluminescence Enhancement
Jung, Yun Suk Si MOSFET with a Nanoscale Void Channel (Invited)
Jung, Yunbum Fabrication of organic MESFET device by dual-layer thermal nanoimprint
Jussot, Julien Line width roughness reduction strategies for resist patterns printed via electron beam lithography

K

Kaestner, Marcus Mix&Match Electron Beam and Scanning Probe Lithography for sub-5 nm Patterning
Kahl, Michael An Innovative EBL Writing Strategy for High Speed and Precision Lithography of Large Circle Arrays for Microfiltration and Photonics in Solar Cells
Kaichi, Tokyo Yuya Pre-hardening Ultraviolet nanoimprint lithography using opaque mold
Kamata, Yoshiyuki Directed Self-Assembling Lithography Process for High-Density Bit Patterned Magnetic Recording Media (Invited)
Kanamaru, Masahiro Directed Self-Assembling Lithography Process for High-Density Bit Patterned Magnetic Recording Media (Invited)
Kaneko, Shu Effects of Fluorosurfactants on Antisticking Layer Resistance in Repeated UV Nanoimprint
Kang, Kyung-Nam Nano-Rough Gold for Enhanced Raman Scattering
Kang, Woowon Fabrication of Electronic Fabry-Perot Interferometer in the Quantum Hall Regime
Kanwal, Alokik A Model for Nano-manufactured Electrodes utilizing Vertical Carbon Nanotubes
Kaplan, Alex Tapered hyperbolic metamaterials for broadband absorption
Kärtner, Franz Optically Actuated Nanostructured Electron-Emitter Arrays
Kasica, Richard Wafer Scale Fabrication of High-Aspect Ratio Gold Nanostructures using Ar+ - Ion Beam Etching
Kataoka, Masaya Mesoscopic Electronic Devices Fabricated using Atomic Force Lithography
Katz, Alexander Comparison of Au feature formation using two Au-calixarene resists, electron beam lithography, and low temperature organic removal
Kawata, Hiroaki Simulation Study on Template Releasing Process in Nanoimprint Lithography
Novel Ordered Hetero Junction Organic Photovoltaics (OHJ-OPV) Novel Ordered Hetero Junction Organic Photovoltaics (OHJ-OPV) by multi-layered direct nanoimprint using buli-in electrode mold
Correlation between Electron-Irradiation Effect and Stress in Carbon Nanotubes: Molecular Dynamics Study
Selective Edge Lithography for Fabricating Imprint Mold with Nano Size and Large Size Mixed Patterns
Novel template releasing process Novel template releasing process by multi-axis controlled systems in nanoimprint lithography
Kawatsuki, Nobuhiro Reorientation Evaluation of Photoinduced Liquid Crystalline Polymer Pattern Fabricated by Hybrid Nanoimprinting with Linearly Polarized Ultra Violet Irradiation
Keathley, Phillip Optically Actuated Nanostructured Electron-Emitter Arrays
Kellogg, Sean Off Axis Modeling and Measurement of Emission Parameters for the Schottky Emitter
Keszler, Douglas Chemical Composition and Pattern Development in Inorganic Photoresist Materials Deposited from Aqueous Solution
Khan, F DWDM laser arrays fabricated using thermal nanoimprint lithography on Indium Phosphide substrates
Kharel, Prashanta Optoelectronic Devices on-Fiber Enabled by Micro-Assembly Process Using Polydimethylsiloxane Probes
Khizroev, Sakhrat Magnetic patterning by oxygen reduction using low energy Helium irradiation
Khoshaman, Amir Laser Induced Structural Damage to Multi-walled Carbon Nanotubes in a Controlled-Pressure Environment
Khursheed, A. Parallel Auger Electron Analysis inside Scanning Electron Microscopes
Khursheed, Anjam Design of ring-cathode focused electron beam columns
A Multiple electron beam wafer inspection system design using permanent magnetic lens arrays
Kiani, Amirreza Lithographically-Defined ZnO Nanowire Growth
Kidwingira, Françoise Nanoengineered charge-drain film for electron-optical MEMS in the REBL E-beam column
Kihara, Naoko Directed Self-Assembling Lithography Process for High-Density Bit Patterned Magnetic Recording Media (Invited)
Kikitsu, Akira Directed Self-Assembling Lithography Process for High-Density Bit Patterned Magnetic Recording Media (Invited)
Killge, Sebastian Fabrication of Polymer Structures with Undercuts by Reverse Imprint Lithography (Invited)
Kim, B.-G. Analytic Model of Line Edge Roughness from Stochastic Exposure Distribution in Electron-beam Lithography
Kim, B. Fabrication of hundreds of field effect transistors on a single carbon nanotube for basic studies and molecular devices
Kim, Byung-Gook Dependency Analysis of Line Edge Roughness in Electron-beam Lithography
Kim, Byung-Guk A Fast Path-based Method for 3-D Resist Development Simulation in Electron-beam Lithography
Kim, Chul-Hyun Planarized Ag Nanopattern Array for Plasmonic Resonance-driven Electroluminescence Enhancement
Kim, Donghyun Analysis and understanding the regrowth of Multi-walled carbon nanotube forests
Effect of thickness of the catalyst film and the hydrogen gas on the spin-capability of a MWCNT forest
Kim, Geehong The Effect of Improved Hardness using Polyurethane Acrylate in Replica Mold for Substrate Conformal Imprint Lithography
Kim, Hong Koo Si MOSFET with a Nanoscale Void Channel (Invited)
Kim, Hyowook Graphene-based Broadband THz Modulators, Haidong Zhang, Ye Shao
Kim, Jeong-Gil Fabrication of transparent superoleophobic surfaces by multiple shrinking mask etching and layer-by-layer coating
Kim, Jeonghwan Nano-Rough Gold for Enhanced Raman Scattering
Kim, Jongmin Nanotechnology Convergence for IT, ET, and BTs
Kim, Sang-Kon Impact of pattern profile on surface plasmon polaritons in computational lithography
King, William Fabricating arbitrary silicon nanostructures using thermal dip pen nanolithography (tDPN)
Kinoshita, Hiroo Phase Defect Characterization on an EUV Blank Mask using Micro Coherent EUV Scatterometry Microscope
Kitagawa, Takuya Novel template releasing process Novel template releasing process by multi-axis controlled systems in nanoimprint lithography
Klaus, David P. Dot-Matrix Marks for Dynamic Overlay Measurements in Electron Beam Lithography
Klein, K. Metal Depositions Induced by Helium and Neon Ion Beams
Klein, Kate L. Understanding Nanomachining in Gold Substrates
Klein, Kate Chemical Assisted Etching with Ne+ & He+ Ion Microscope (Invited)
Kley, Ernst-Bernhard Freestanding Photonic Crystals in Lithium Niobate
Ko, Seung Hyeon DNA Origami: Prospects for Nanomanufacturing
Kobayashi, Kei Effects of Fluorosurfactants on Antisticking Layer Resistance in Repeated UV Nanoimprint
Kojima, Akira Prototype Active-Matrix Nanocrystalline Silicon Electron Emitter Array for Massively Parallel Direct-Write Electron Beam Lithography, Naokatsu Ikegami, Nobuyoshi Koshida, Tokyo University of Agriculture and Technology
Kojima, Shinichi Image distortion in REBL system: the correctable and the residual
Kometani, Reo Mechanical characteristics of the ultra-long horizontal free-space-nanowire grown by real-time feedback control on focused-ion-beam chemical vapor deposition
Optomechanical resonator fabrication with the surface plasmon antenna for the wavelength detection
Kondo, Mizuho Reorientation Evaluation of Photoinduced Liquid Crystalline Polymer Pattern Fabricated by Hybrid Nanoimprinting with Linearly Polarized Ultra Violet Irradiation
Konno, Yuki Control of carbon nanofibers configuration on glassy carbon by two-step ion beam irradiation method
Korivi, Naga Nano-structure Modified Thin-Film Paper Energy Storage Device
Kozawa, Takahiro Nanochemistry in Chemically Amplified Resists Used for Extreme Ultraviolet Lithography (Invited)
Synthesis of metal nanoparticles in polymeric films induced by electron beam
Process Dependence of Line Width Roughness in Electron Beam Resists
Kozicki, Michael Ionic memory and the future of the semiconductor industry (Invited)
Kratschmer, Ernst Dot-Matrix Marks for Dynamic Overlay Measurements in Electron Beam Lithography
Kratschmer, Ernst Contamination Mitigation from Salty HSQ Development for Nanoscale CMOS Device Patterning
Krishna, M Ghanashyam Development of a Mask-less Nanofabrication Process for SnO2 Periodic Nanostructure
Kristensen, Anders DWDM laser arrays fabricated using thermal nanoimprint lithography on Indium Phosphide substrates
Kruit, P. MAPPER progress towards a High Volume Manufacturing EBDW system (Invited)
Kruit, Pieter High throughput scanning electron microscopes with MEMS-based multi-beam optics
First focused ion beam images using a novel electron impact gas ion source
Fabrication of a rotation corrector for electron multi beam array micro-lenses
Krysak, Marie Nanoparticle Photoresists: Highly Sensitive EUV Resists with a New Patterning Mechanism (Invited)
Kshirsagar, Sachin D Development of a Mask-less Nanofabrication Process for SnO2 Periodic Nanostructure
Kubo, Shoichi Au split-ring resonator arrays responsive to a magnetic field in a visible frequency region fabricated by UV nanoimprint lithography
Kulshreshtha, Prashant Modulus Mapping in High Resolution Patterned Features
Negative-Tone Chemically-Amplified for Sub-20nm Lithography
Kumar, Ajay EUV Lithography and 3D IC (Invited)
Kumar, Karthik Hydrogen Silsesquioxane As A Resist And Material Of Choice In Fabricating Plasmonic Antennas
Kuo, C. Y. Magnetic domain wall motion in permalloy wires with nanometer-scaled notches
Kurashima, Yuichi Improvement of Bonding Strength in Room Temperature Wafer Bonding using Surface Smoothing by Ne Beam
Kurataka, Nobuo Defect-tracking For Nanoimprint Lithography Using Optical Surface Analyzer and Scanning Electron Microscope
Kurokawa, Masaki Low line edge roughness patterning with Character Projection EB Lithography for Photonic Devices
Kvennefors, Anders Replication of NIL Stamps by Metal-Assisted Chemical Etching of Silicon
Kwak, Moon Kyu Continuous and Scalable Fabrication of Functional films via Vibrational Indentation Patterning and Photo Roll Lithography

L

Lacatena, Valeria A novel route for fabricating Printable Photonic Devices with a high refractive index, Carlos Pina Hernandez, Giuseppe Calafiore, Christophe Peroz, aBeam Tech
Lafforgue, Ludovic Magnetic field assisted micro contact printing: a new concept of fully automated and calibrated process
Lal, Amit Towards an RF Planar Waveguide Electron LINAC
Planar Electronic Picosecond Electron Pulser
Lam, Y. W. Influence of Engineered Surface on Cell Motility and Directionality
Lambson, Brian Dipole-Coupled Nanomagnet Chains Fabricated on Silicon Nitride Membranes for Time-Resolved X-Ray Microscopy Experiments
Landis, Stefan 8” and 12” wafer scale Nano Imprint Lithography: from process control to optical functions (Invited)
Langworthy, Kurt Small-Pitch Electron Diffraction Holograms Patterned on Inorganic Resist with Electron Beam Lithography
Larsen, Simon T. Large scale fabrication scheme for all-polymer multilevel nano-microfluidic Lab-on-Chip (LoC) systems: the PolyNano approach
Latta, Nancy Dual Layer Negative Tone Metal Liftoff Electron Beam Lithography process for nanometer scale Plasmonic and Photonic devices
Lauer, Isaac Contamination Mitigation from Salty HSQ Development for Nanoscale CMOS Device Patterning
Lawler, Kristopher Process Monitoring of an Electron Beam Lithography Process for Silicon Photonics in a University Facility
Lawrence Dipole-Coupled Nanomagnet Chains Fabricated on Silicon Nitride Membranes for Time-Resolved X-Ray Microscopy Experiments
Lawson, Richard A. Methods for Controlled Polymerization in Negative Tone Resists
Lawson, Richard Detailed Molecular Dynamics Studies of Block Copolymer Directed Self-Assembly: Effect of Guiding Layer Properties on Block Copolymer Directed Self-Assembly
PS-b-PHEMA: A Promising High χ Polymer for Directed Self-Assembly Lithography
Directly-Photodefinable Guiding Layers: Enabling Simple 3-Step DSA Processes for Lithographic Patterning
Lee, Eung-Sug Planarized Ag Nanopattern Array for Plasmonic Resonance-driven Electroluminescence Enhancement
Lee, Gil Sik Analysis and understanding the regrowth of Multi-walled carbon nanotube forests
Effect of thickness of the catalyst film and the hydrogen gas on the spin-capability of a MWCNT forest
Lee, Hyomin Fabrication of transparent superoleophobic surfaces by multiple shrinking mask etching and layer-by-layer coating
Lee, Jae Jong An investigation into the role of self-assembled monolayers of silane in UV nano-imprint lithography
Lee, Jae Yong Continuous and Scalable Fabrication of Functional films via Vibrational Indentation Patterning and Photo Roll Lithography
Lee, JaeJong Polymer filling behaviors with imprinting velocity in NIL, JiHyeong Ryu, University of Science and Technology
The Effect of Improved Hardness using Polyurethane Acrylate in Replica Mold for Substrate Conformal Imprint Lithography
Polymer filling behaviors with imprinting velocity in NIL, JiHyeong Ryu, University of Science and Technology
Lee, Ji-Hye Planarized Ag Nanopattern Array for Plasmonic Resonance-driven Electroluminescence Enhancement
Lee, Jongho Analog and digital flexible nanoelectronics fabricated from advanced 2D nanomaterials
Lee, Jung-Hyun Dual scale controlled surface roughness by wrinkling of polymer imprints
Lee, Kyu-Tae Continuous and Scalable Fabrication of Functional films via Vibrational Indentation Patterning and Photo Roll Lithography
Lee, L. James Ionic Transportation through DNA-based Nanochannels
Lee, S.-H. Analytic Model of Line Edge Roughness from Stochastic Exposure Distribution in Electron-beam Lithography
Lee, S.-Y. Analytic Model of Line Edge Roughness from Stochastic Exposure Distribution in Electron-beam Lithography
Lee, Sang-Ho Polymer filling behaviors with imprinting velocity in NIL, JiHyeong Ryu, University of Science and Technology
Polymer filling behaviors with imprinting velocity in NIL, JiHyeong Ryu, University of Science and Technology
Lee, Sanghee Dependency Analysis of Line Edge Roughness in Electron-beam Lithography
A Fast Path-based Method for 3-D Resist Development Simulation in Electron-beam Lithography
Lee, Soo-Young Dependency Analysis of Line Edge Roughness in Electron-beam Lithography
A Fast Path-based Method for 3-D Resist Development Simulation in Electron-beam Lithography
Lee, SungWhi The Effect of Improved Hardness using Polyurethane Acrylate in Replica Mold for Substrate Conformal Imprint Lithography
Leibfried, Dietrich Micromachined stylus ion traps through high aspect ratio lithography and electrochemical deposition
Leibovici, Matthieu Pattern-integrated interference lithography: vector modeling of the single-exposure recording of integrated photonic-crystal structures
Leonidas, Ocola. E.  Fabrication of Electronic Fabry-Perot Interferometer in the Quantum Hall Regime
Leray, Philippe CD Matching between CD-SEM and Scatterometry Metrology
Levush, Baruch Multi-Source, Complex Beamline Modeling Development in MICHELLE eBEAM
Lewis, Scott Exposure Strategy: Investigation of the Relationship between Exposure Speed and Ultra High Resolution in electron beam lithography
Lezec, Henri All-angle Negative Refraction and Active Flat Lensing in the Ultraviolet (Invited)
Li, Can Ultralow Voltage Resistive Switching in Ultrathin Silicon Oxide
Li, Hui Thermal dewetting of gold particles on a template surface
Li, Huifeng Analog and digital flexible nanoelectronics fabricated from advanced 2D nanomaterials
Li, J.J. Hexagonal three-dimensional plasmonic nanoantenna arrays
Li, Jie Hui 2D Azobenzene Liquid-crystalline Polymer-based Switchable Photonic Crystals via Nanoimprint
Li, Jie-Hui SPR-based Surface-enhanced Raman Scattering for the Detection of Organophosphorus Pesticide
Li, Kai Atom-based Pitch and Length Standards
Li, Luozhou Optoelectronic Devices on-Fiber Enabled by Micro-Assembly Process Using Polydimethylsiloxane Probes
Fabrication of single-crystal diamond nano-slabs for photonic applications
Li, Shi-Qiang Infrared nanophotonics based on indium-tin-oxide nanorod array
Li, Shuhong Microfilters with Nanotopography for Isolation of Circulating Tumor Cell from Blood
Li, Wei Periodic metallic structures fabricated by coherent Talbot lithography in a table top system
Li, Wen-Di Visualizing the Interaction Volume of Helium Ions in Hydrogen Silsesquioxane
A Light-driven Micro-motor Based on Angular Momentum Transfer through Subwavelength Grating Waveplates
Forward sputtering of thin films using focused helium ion beam
Li, Xiuling Fabricating arbitrary silicon nanostructures using thermal dip pen nanolithography (tDPN)
Liang, Chi-Te Mesoscopic Electronic Devices Fabricated using Atomic Force Lithography
Liang, Xiaogan Transfer-Printing of Prepatterned Semiconducting Few-Layer-Molybdenum Disulfide Structures for Electronic Applications
Liang, Yixing Fabrication of Plasmonic-enhanced Nanostructured Electron Source (PNE) Using Epitaxial Lift-off and Nanoimprint Lithography
Liao, Xing Active Cantilever-free Scanning Probe Lithography (Invited)
Liddle, James DNA Origami: Prospects for Nanomanufacturing
Lim, HyungJun Polymer filling behaviors with imprinting velocity in NIL, JiHyeong Ryu, University of Science and Technology
The Effect of Improved Hardness using Polyurethane Acrylate in Replica Mold for Substrate Conformal Imprint Lithography
Polymer filling behaviors with imprinting velocity in NIL, JiHyeong Ryu, University of Science and Technology
Lin, Chun-Yueh Low-Energy Electron Diffractive Imaging Based on a Single-Atom Electron Source
Lin, De-Shiun Lloyd’s Mirror Interferometer Using a Single-Mode Fiber Spatial Filter
Lin, Guanyang Pattern Transfer from Directed PS-b-PMMA Films with Sub-25 nm Full Pitch
Lin, Pao-Te Lloyd’s Mirror Interferometer Using a Single-Mode Fiber Spatial Filter
Lin, Peng Integration of Planar Memristors with CMOS for Hybrid Circuits
Crossbar Arrays of Sub-10 nm Memristive Devices Fabricated with Nanoimprint Lithography
Low-power Resistive Switching in Ultra-smooth Native AlOx Thin Films Fabricated by Template Stripping
Lithography, MAPPER MAPPER progress towards a High Volume Manufacturing EBDW system (Invited)
Litvinov, Dmitri Magnetic patterning by oxygen reduction using low energy Helium irradiation
Liu, Amy Nanograting–Mediated Growth of Bismuth Selenide Topological Insulator Nanoribbons
Liu, Hui Optomechanical resonator fabrication with the surface plasmon antenna for the wavelength detection
Liu, Kun Off Axis Modeling and Measurement of Emission Parameters for the Schottky Emitter
Liu, Ran Soft- and near-field lithography on glass hemisphere surface for spherical zone plates
Thermal dewetting of gold particles on a template surface
Liu, Yu-Lun Study of optical anisotropy property to rapidly characterize structural qualities of CVD prepared graphene films
Liu, Yuyang Fabrication of hierarchical nanostructures using free-standing tri-layer membrane
Fabrication of Nano-Bowl Arrays via Simple Holographic Patterning and Lift-Off Process
Liu, Z. Hexagonal three-dimensional plasmonic nanoantenna arrays
Liu, Zhaoqian Thermal dewetting of gold particles on a template surface
Liu, Zuwei Sub-10 nm silicon nano-structures based on block copolymer lithography and high selectivity, cryogenic temperature dry etching (Invited)
Livengood, Richard Chemical Assisted Etching with Ne+ & He+ Ion Microscope (Invited)
Llobet, Jordi Novel nanofabrication method to achieve high aspect ratio metallic patterns by thermal nanoimprint lithography
Lo, Yi-Chen Polymeric Sidewall Transfer Lithography
Loeschner, Hans Proof of 50keV Electron Multi-Beam Writing at 0.1nm Address Grid (Invited)
Loncar, Marko Free-standing nanoscale mechanical and photonic devices fabricated in single-crystal diamond
Lovell, Josh HfC(310) high brightness sources for advanced imaging applications (Invited)
Low, Hong Yee Roll-to-Roll Hot Embossing of Micron and Nanoscale Structures for the Fabrication of Plastic Devices
Lozano, Gabriel Substrate Conformal Imprint Lithography for nanophotonics in applications (Invited)
Lu, Bingrui Soft- and near-field lithography on glass hemisphere surface for spherical zone plates
Lu, Chih-Hung Structural properties and electroforming-free resistive switching characteristics of Nd2O3, Dy2O3 and Er2O3 memory devices fabricated in full room temperature
Lu, Ming Fabrication of single-crystal diamond nano-slabs for photonic applications
Hard resist masks prepared with sequential infiltration synthesis process for high-resolution deep etch
Lu, Wu Ionic Transportation through DNA-based Nanochannels
GaN/AlN Double Barrier Nanowire Resonant Tunneling Diodes
Graphene-based Broadband THz Modulators, Haidong Zhang, Ye Shao
Ludovice, Peter Detailed Molecular Dynamics Studies of Block Copolymer Directed Self-Assembly: Effect of Guiding Layer Properties on Block Copolymer Directed Self-Assembly
Lukin, Mikhail Free-standing nanoscale mechanical and photonic devices fabricated in single-crystal diamond
Luo, Gang Nanoimprint-Based Lift-off Process for a Large-Scale Epitaxial Growth of Nanowires
Luo, Tao A Multiple electron beam wafer inspection system design using permanent magnetic lens arrays
Luttge, Regina Approach to an on-chip 3D neural-network in a hydrogel based bioreactor

M

Ma, Y DWDM laser arrays fabricated using thermal nanoimprint lithography on Indium Phosphide substrates
Ma, Ya-Qi Soft- and near-field lithography on glass hemisphere surface for spherical zone plates
Mack, Chris Stochastic Exposure Kinetics of EUV Photoresists: A Simulation Study
Mackie, Willilam HfC(310) high brightness sources for advanced imaging applications (Invited)
Maeda, Atsuhiko Improvement of Bonding Strength in Room Temperature Wafer Bonding using Surface Smoothing by Ne Beam
Maeda, Tomoyuki Directed Self-Assembling Lithography Process for High-Density Bit Patterned Magnetic Recording Media (Invited)
Magera, Gerald HfC(310) high brightness sources for advanced imaging applications (Invited)
Maier, Urs Combined SIMS-SPM instrument for high sensitivity and high resolution elemental 3D analysis
Majumder, Apratim Maskless Subwavelength Nanopatterning Using Vortex Phase Plates and Absorbance Modulation
Makarova, Olga Microfilters with Nanotopography for Isolation of Circulating Tumor Cell from Blood
Mane, Anil Nanoengineered charge-drain film for electron-optical MEMS in the REBL E-beam column
Manfrinato, Vitor Point-spread function of energy deposition by an electron-beam determined by using energy-filtered TEM
Mangat, Pawitter EUVL Aberration Metrology using Resist Images from a Strong Phase Shifting Mask
Mao, Xiang Optoelectronic Devices on-Fiber Enabled by Micro-Assembly Process Using Polydimethylsiloxane Probes
Marconi, Mario Periodic metallic structures fabricated by coherent Talbot lithography in a table top system
Marignier, Jean-Louis Synthesis of metal nanoparticles in polymeric films induced by electron beam
Martin, Chris Direct write and nanoprinting for plasmon resonance color filters (Invited)
Maruyama, Ken Modulus Mapping in High Resolution Patterned Features
Negative-Tone Chemically-Amplified for Sub-20nm Lithography
Masid, Farhana Optical patterning of features with spacing below the far-field diffraction limit using absorbance modulation
Masoero, Lia Fabrication of 18 nm split-gate charge trap memories by hybrid lithography (e-beam/DUV)
Mastropaolo, Enrico Tunability of silicon carbide resonators with electrothermal actuation and piezoelectric readout
High yield fabrication of graphene resonators array with poly-Si sacrificial layer
Matsuda, Yasuhiro H. Structural properties and electroforming-free resistive switching characteristics of Nd2O3, Dy2O3 and Er2O3 memory devices fabricated in full room temperature
Matsui, Shinji Effects of Fluorosurfactants on Antisticking Layer Resistance in Repeated UV Nanoimprint
Abrasion Test for Antisticking Layer by Scanning Probe Microscopy
Evaluation of fluorine additive segregation in UV nanoimprint resin by X-ray photoelectron spectroscopy
Selective Patterning of Fluorinated Self-assembled Monolayer by UV Nanoimprinting for Directed Self-Assembly
Reorientation Evaluation of Photoinduced Liquid Crystalline Polymer Pattern Fabricated by Hybrid Nanoimprinting with Linearly Polarized Ultra Violet Irradiation
Matteucci, Marco Large scale fabrication scheme for all-polymer multilevel nano-microfluidic Lab-on-Chip (LoC) systems: the PolyNano approach
Maximov, Ivan Replication of NIL Stamps by Metal-Assisted Chemical Etching of Silicon
Nanoimprint-Based Lift-off Process for a Large-Scale Epitaxial Growth of Nanowires
Mayer, Andre Free-standing filaments in thermal nanoimprint induced by pre-filling
Reflow minimization via viscosity control by exposure
Underestimated impact of instabilities with nanoimprint
2D- visualization of imprint-induced flow by means of crystallizing polymers
Mazarov, Paul Progress Report on the Multi-Species Focused Ion Beam Lithography System and Its Applications
Direct Ga and Si Ion Beam Lithography for Nanopore Fabrication with High Resolution and Reproducibility
McCord, Mark Image distortion in REBL system: the correctable and the residual
Nanoengineered charge-drain film for electron-optical MEMS in the REBL E-beam column
Investigation into Shot Noise Effects of Direct Write Electron Beam Lithography Using High Energy Electron Beams
McCrindle, Iain Direct write and nanoprinting for plasmon resonance color filters (Invited)
McGahan, Christina Electromagnetically Induced Transparency in Au:VO2 Nanoparticles
McKay, Kyle Micromachined stylus ion traps through high aspect ratio lithography and electrochemical deposition
McKinley, Gareth Fabrication of transparent superoleophobic surfaces by multiple shrinking mask etching and layer-by-layer coating
McMorran, Benjamin Small-Pitch Electron Diffraction Holograms Patterned on Inorganic Resist with Electron Beam Lithography
Mehregany, Mehran Silicon Carbide Nanoelectromechanical Systems and Nanomechanical Logic (Invited)
Mei, Yongfeng Enhanced up-conversion luminescence in a microtubular optical resonator
Thermal dewetting of gold particles on a template surface
Melli, Mauro Reaching theoretical resonance quality factor limit in coaxial plasmonic nano resonators fabricated by Helium Ion Microscope
Mendes, Sergio Electro-Active Single Mode Integrated Optical Waveguide Application in Spectroelectrochemistry
Meng, Xianghai Hard resist masks prepared with sequential infiltration synthesis process for high-resolution deep etch
Menon, Rajesh Patterning via Optical Saturable Transformations via Solubility Rate Difference
Optical patterning of features with spacing below the far-field diffraction limit using absorbance modulation
Maskless Subwavelength Nanopatterning Using Vortex Phase Plates and Absorbance Modulation
Optical microlithography on oblique surfaces via a novel diffractive phase mask
Menoni, Carmen Periodic metallic structures fabricated by coherent Talbot lithography in a table top system
Menzel, Christoph Exploiting extreme coupling to realize a metamaterial perfect absorber (Invited)
Meric, Inanc Graphene Field-Effect Transistors with Gigahertz-Frequency Power Gain on Flexible Substrates
Meyer, Ernst Combined SIMS-SPM instrument for high sensitivity and high resolution elemental 3D analysis
Michal, Kvapil Ion and Electron Beam Lithography in a Multifunctional Tool FIB/SEM with in-situ SPM
Mikolas, David Lloyd’s Mirror Interferometer Using a Single-Mode Fiber Spatial Filter
Milczarski, Stephanie A Model for Nano-manufactured Electrodes utilizing Vertical Carbon Nanotubes
Mirkin, Chad A. Active Cantilever-free Scanning Probe Lithography (Invited)
Mironov, Andrej DWDM laser arrays fabricated using thermal nanoimprint lithography on Indium Phosphide substrates
Miroslav, Rudolf Ion and Electron Beam Lithography in a Multifunctional Tool FIB/SEM with in-situ SPM
Mishra, A. K. Magnetic domain wall motion in permalloy wires with nanometer-scaled notches
Miyai, Hiroki Unveiling success rate of defect mitigation by experiment with EUV Actinic Blank Inspection Prototype for 16 nm hp
Miyake, Hiroto Evaluation of fluorine additive segregation in UV nanoimprint resin by X-ray photoelectron spectroscopy
Mizuta, Tomoya Evaluation of fluorine additive segregation in UV nanoimprint resin by X-ray photoelectron spectroscopy
Mkrtchyan, Masis Image distortion in REBL system: the correctable and the residual
Multi-Source, Complex Beamline Modeling Development in MICHELLE eBEAM
Mochi, Iacopo EUVL Aberration Metrology using Resist Images from a Strong Phase Shifting Mask
Moghaddam, Mehran Vahdani Shaped and multiple electron beams from a single thermionic cathode
Mohseni, Parsian Fabricating arbitrary silicon nanostructures using thermal dip pen nanolithography (tDPN)
Mojarad, Nassir EUV Bessel beam lithography
Single-digit patterning using EUV light
Molas, Gabriel Fabrication of 18 nm split-gate charge trap memories by hybrid lithography (e-beam/DUV)
Moldovan, N. Metal-Assisted Etching of Silicon Molds for Electroforming
Möllenbeck, Saskia Free-standing filaments in thermal nanoimprint induced by pre-filling
Montelius, Lars Nanowire-Based Electrode for Neural Recordings in the Brain
Moon, Euclid Thermally-Modulated Alignment for Nanoimprinting
3 D Nanostructures via Aligned Stacking of Pre-patterned Membranes
Moore, Tom M. Enhanced purity via laser assisted electron beam induced deposition of tungsten
Mostafavi, Mehran Synthesis of metal nanoparticles in polymeric films induced by electron beam
Motwani, Pratik Development of a low energy neutral particle printer for atomically precise patterning of desorption resists
Surface-enhanced Raman Spectroscopy with monolithic, hierarchical nanoporous gold disk substrates
Mu, Jinhua Patterning of Nanoparticles Using Electric Field Assisted Coffee Ring Effect
Mukherjee, Pran KOH Polishing of Nanoscale Deep Reactive-Ion Etched Ultra-High Aspect Ratio Gratings
Murachi, Tetsunori Unveiling success rate of defect mitigation by experiment with EUV Actinic Blank Inspection Prototype for 16 nm hp
Mustafa, Ali A Model for Nano-manufactured Electrodes utilizing Vertical Carbon Nanotubes
Mutunga, Eva M. Understanding Nanomachining in Gold Substrates
Myers, Roberto GaN/AlN Double Barrier Nanowire Resonant Tunneling Diodes
Myhre, Graham Fabrication of Patterned Interference-Based and Absorption-Based Polarizers

N

Nacci, Christophe Controlled bottom-up assembly of functional molecules: From wires to networks (Invited)
Nadzeyka, Achim Direct Ga and Si Ion Beam Lithography for Nanopore Fabrication with High Resolution and Reproducibility
Naieni, Ali Kashefian Tracking the Movement of Carbon Nanotubes during Dielectrophoretic Deposition
Nakagawa, Masaru Effects of Fluorosurfactants on Antisticking Layer Resistance in Repeated UV Nanoimprint
Au split-ring resonator arrays responsive to a magnetic field in a visible frequency region fabricated by UV nanoimprint lithography
Nakamura, Takayuki Low line edge roughness patterning with Character Projection EB Lithography for Photonic Devices
Nam, Chang-Yong Plasmonic Conductors for Organic Solar Cells
Nam, Hongsuk Transfer-Printing of Prepatterned Semiconducting Few-Layer-Molybdenum Disulfide Structures for Electronic Applications
Naulleau, Patrick Dipole-Coupled Nanomagnet Chains Fabricated on Silicon Nitride Membranes for Time-Resolved X-Ray Microscopy Experiments
Navarro, Christophe Defectivity and uniformity evaluation of block copolymer directed self-assembly for contact hole shrink 300mm-process
Nealey, Paul F. Directed Self-Assembly of Ternary Blends of Block Copolymer and Homopolymers on Chemical Patterns
Nef, Cornelia Morphological characterization of metallic nano-structures evaporated through stencil on graphene
Nehru, Neha Liquid-phase electron-beam-induced-deposition on bulk substrates without liquid cells
Nemutudi, Rudzani Mesoscopic Electronic Devices Fabricated using Atomic Force Lithography
Nicaise, Sam Sacrificial Post Templating Method for Block Copolymer Self-Assembly
Nicaise, Samuel Lithographically-Defined ZnO Nanowire Growth
Nielsen, Theodor DWDM laser arrays fabricated using thermal nanoimprint lithography on Indium Phosphide substrates
Nigra, Micheal Comparison of Au feature formation using two Au-calixarene resists, electron beam lithography, and low temperature organic removal
Nikzad, Shouleh Enabling High Performance Detectors and Optics for Astronomy and Planetary Exploration with PEALD (Invited)
Nilsson, Bengt Sub-10nm Resolution after Lift-Off using HSQ/PMMA Double Layer Resist (Invited)
Nishikawa, Hiroyuki Application of Proton Beam Writing to a Direct Etching of PTFE for PDMS Replica Molding
Nishikura, Naoki Simulation Study on Template Releasing Process in Nanoimprint Lithography
Novel Ordered Hetero Junction Organic Photovoltaics (OHJ-OPV) Novel Ordered Hetero Junction Organic Photovoltaics (OHJ-OPV) by multi-layered direct nanoimprint using buli-in electrode mold
Nishino, Tomoki Novel template releasing process Novel template releasing process by multi-axis controlled systems in nanoimprint lithography
Nishioka, Emi Reorientation Evaluation of Photoinduced Liquid Crystalline Polymer Pattern Fabricated by Hybrid Nanoimprinting with Linearly Polarized Ultra Violet Irradiation
Nojeh, Alireza Shaped and multiple electron beams from a single thermionic cathode
Tracking the Movement of Carbon Nanotubes during Dielectrophoretic Deposition
Laser Induced Structural Damage to Multi-walled Carbon Nanotubes in a Controlled-Pressure Environment
Noma, Hayato Selective Edge Lithography for Fabricating Imprint Mold with Nano Size and Large Size Mixed Patterns
Nørregaard, Jesper DWDM laser arrays fabricated using thermal nanoimprint lithography on Indium Phosphide substrates
Notte, John Towards SIMS on the Helium Ion Microscope: detection limits and experimental results on the ORION
Nuckolls, C. Fabrication of hundreds of field effect transistors on a single carbon nanotube for basic studies and molecular devices

O

O'Toole, Alexander Changing to TiOx Based Nanostructured Catalyst Support Materials for PEM Fuel Cells Utilizing ALD and PEALD
Ober, Christopher Nanoparticle Photoresists: Highly Sensitive EUV Resists with a New Patterning Mechanism (Invited)
Ocola, Leonidas E. Advances in Ion Beam micromachining for complex 3D microfluidics
Metal-Assisted Etching of Silicon Molds for Electroforming
Ocola, Leonidas Determining the range and intensity of backscattered electrons from the substrate density and atomic number (Invited)
Infrared nanophotonics based on indium-tin-oxide nanorod array
Ogando, Karim Metal-Assisted Etching of Silicon Molds for Electroforming
Ogletree, D. Frank Reaching theoretical resonance quality factor limit in coaxial plasmonic nano resonators fabricated by Helium Ion Microscope
Ohyi, Hideyuki Prototype Active-Matrix Nanocrystalline Silicon Electron Emitter Array for Massively Parallel Direct-Write Electron Beam Lithography, Naokatsu Ikegami, Nobuyoshi Koshida, Tokyo University of Agriculture and Technology
Ok, Jong G. Continuous and Scalable Fabrication of Functional films via Vibrational Indentation Patterning and Photo Roll Lithography
Okada, Makoto Effects of Fluorosurfactants on Antisticking Layer Resistance in Repeated UV Nanoimprint
Abrasion Test for Antisticking Layer by Scanning Probe Microscopy
Evaluation of fluorine additive segregation in UV nanoimprint resin by X-ray photoelectron spectroscopy
Selective Patterning of Fluorinated Self-assembled Monolayer by UV Nanoimprinting for Directed Self-Assembly
Reorientation Evaluation of Photoinduced Liquid Crystalline Polymer Pattern Fabricated by Hybrid Nanoimprinting with Linearly Polarized Ultra Violet Irradiation
Okino, Takeshi Directed Self-Assembling Lithography Process for High-Density Bit Patterned Magnetic Recording Media (Invited)
Oleksak, Richard Chemical Composition and Pattern Development in Inorganic Photoresist Materials Deposited from Aqueous Solution
Olynick, Deirdre Modulus Mapping in High Resolution Patterned Features
Negative-Tone Chemically-Amplified for Sub-20nm Lithography
Sub-10 nm silicon nano-structures based on block copolymer lithography and high selectivity, cryogenic temperature dry etching (Invited)
Sub-10nm nanofabrication by step-and-repeat UV nanoimprint lithography
Comparison of Au feature formation using two Au-calixarene resists, electron beam lithography, and low temperature organic removal
Ono, Hiroshi Reorientation Evaluation of Photoinduced Liquid Crystalline Polymer Pattern Fabricated by Hybrid Nanoimprinting with Linearly Polarized Ultra Violet Irradiation
Østergaard, Peter, F. Large scale fabrication scheme for all-polymer multilevel nano-microfluidic Lab-on-Chip (LoC) systems: the PolyNano approach
Ouyang, Christine Nanoparticle Photoresists: Highly Sensitive EUV Resists with a New Patterning Mechanism (Invited)
Ovtchinnikov, Serguei Multi-Source, Complex Beamline Modeling Development in MICHELLE eBEAM
Owen, James Multi-spot-size vector writing approach to atomically precise H depassivation lithography
Owen, William Multi-spot-size vector writing approach to atomically precise H depassivation lithography
Oyama, Takahiro Evaluation of fluorine additive segregation in UV nanoimprint resin by X-ray photoelectron spectroscopy

P

Pachter, Ruth Pronounced Effects of Anisotropy on Plasmonic Properties of Nanorings Fabricated by Electron Beam Lithography, Rachel Near, Georgia Institute of Technology
Pain, Laurent Defectivity and uniformity evaluation of block copolymer directed self-assembly for contact hole shrink 300mm-process
Line width roughness reduction strategies for resist patterns printed via electron beam lithography
Palma, Matteo Ordered arrays of carbon nanotube segments by directed assembly
Bifunctional Nanoarrays for Probing the Immune Response at the Single-Molecule Level
Palmer, Shane R. Fluctuation Control of Measurement Interferometers: Application of algorithms to correct for local stage-motion induced pressure surges
Pan, Tung-Ming Structural properties and electroforming-free resistive switching characteristics of Nd2O3, Dy2O3 and Er2O3 memory devices fabricated in full room temperature
Pang, S. W. Influence of Engineered Surface on Cell Motility and Directionality
Papenheim, Marc Free-standing filaments in thermal nanoimprint induced by pre-filling
Underestimated impact of instabilities with nanoimprint
Pappas, David Micromachined stylus ion traps through high aspect ratio lithography and electrochemical deposition
Pargon, Erwine Line width roughness reduction strategies for resist patterns printed via electron beam lithography
Park, Hongkun Free-standing nanoscale mechanical and photonic devices fabricated in single-crystal diamond
Park, Kyoo-Chul Fabrication of transparent superoleophobic surfaces by multiple shrinking mask etching and layer-by-layer coating
Park, Sunggook An investigation into the role of self-assembled monolayers of silane in UV nano-imprint lithography
Patel, Dinesh Periodic metallic structures fabricated by coherent Talbot lithography in a table top system
Patel, Kanaiyalal Pattern Transfer from Directed PS-b-PMMA Films with Sub-25 nm Full Pitch
Patjukova, Elena Simulation of dose variation and charging due to fogging in electron beam lithography
Pau, Stanley Fabrication of Patterned Interference-Based and Absorption-Based Polarizers
Paul, Lemaillet Dual scale controlled surface roughness by wrinkling of polymer imprints
Pauliac-Vaujour, Sebastien Fabrication of 18 nm split-gate charge trap memories by hybrid lithography (e-beam/DUV)
Paveau, Vincent Magnetic field assisted micro contact printing: a new concept of fully automated and calibrated process
Pease, R. Fabian Thermally-Modulated Alignment for Nanoimprinting
Peeters, Emiel Thermodynamic origin of placement errors for contact holes created by directed self-assembly
Pei, S.S. Development of a low energy neutral particle printer for atomically precise patterning of desorption resists
Peijster, J.J.M. MAPPER progress towards a High Volume Manufacturing EBDW system (Invited)
Peng, Ruoming Fabrication and Demonstration of Ultra-sensitive and Fast Immunoassay Platform With 3D Nanoplasmonic Cavity Antenna and Microfluidics Using Nanoimprint
Penzo, Erika Ordered arrays of carbon nanotube segments by directed assembly
Perera, Pradeep Comparison of Au feature formation using two Au-calixarene resists, electron beam lithography, and low temperature organic removal
Perez-Murano, Francesc Novel nanofabrication method to achieve high aspect ratio metallic patterns by thermal nanoimprint lithography
Peroz, Christophe Sub-10nm nanofabrication by step-and-repeat UV nanoimprint lithography
Pertsch, Thomas Freestanding Photonic Crystals in Lithium Niobate
Exploiting extreme coupling to realize a metamaterial perfect absorber (Invited)
Peters, Andrew Detailed Molecular Dynamics Studies of Block Copolymer Directed Self-Assembly: Effect of Guiding Layer Properties on Block Copolymer Directed Self-Assembly
Peters, Robert F. Study of multilayer systems in electron beam lithography
Application of EBL fabricated nanostructured substrates for SERS detection of protein A in aqueous solution
Petillo, John Multi-Source, Complex Beamline Modeling Development in MICHELLE eBEAM
Petric, Paul Image distortion in REBL system: the correctable and the residual
Petrone, Nicholas Graphene Field-Effect Transistors with Gigahertz-Frequency Power Gain on Flexible Substrates
Pfeiffer, Loren Fabrication of Plasmonic-enhanced Nanostructured Electron Source (PNE) Using Epitaxial Lift-off and Nanoimprint Lithography
Fabrication of Electronic Fabry-Perot Interferometer in the Quantum Hall Regime
Phillips, Richard Changing to TiOx Based Nanostructured Catalyst Support Materials for PEM Fuel Cells Utilizing ALD and PEALD
Pi, Shuang Integration of Planar Memristors with CMOS for Hybrid Circuits
Crossbar Arrays of Sub-10 nm Memristive Devices Fabricated with Nanoimprint Lithography
Piccirillo, Lucio Exposure Strategy: Investigation of the Relationship between Exposure Speed and Ultra High Resolution in electron beam lithography
Pickard, D.S. Cryogenic imaging of biological specimens using Helium Ion Microscope
Pickard, Daniel Patterning Plasmonic Nanostructures - Regimes of the Gallium Focused Ion Beam and Helium Ion Microscope
Pillatsch, Lex Towards SIMS on the Helium Ion Microscope: detection limits and experimental results on the ORION
Pimenta-Barros, Patricia Defectivity and uniformity evaluation of block copolymer directed self-assembly for contact hole shrink 300mm-process
Piner, Richard Analog and digital flexible nanoelectronics fabricated from advanced 2D nanomaterials
Plank, Natalie Field Effect Transistor Performance of Hydrothermal ZnO Nanowires (Invited)
Platzgummer, Elmar Proof of 50keV Electron Multi-Beam Writing at 0.1nm Address Grid (Invited)
Polyakov, Aleksandr Reaching theoretical resonance quality factor limit in coaxial plasmonic nano resonators fabricated by Helium Ion Microscope
Polyakov, Alexander A novel route for fabricating Printable Photonic Devices with a high refractive index, Carlos Pina Hernandez, Giuseppe Calafiore, Christophe Peroz, aBeam Tech
Pradeep, Namboodiri Atom-based Pitch and Length Standards
Pret, Alessandro Vaglio Continuous and Stochastic effects for 2D structures in EUV Lithography
Prinz, Christelle Nanowire-Based Electrode for Neural Recordings in the Brain
Pshenay-Severin, Ekaterina Exploiting extreme coupling to realize a metamaterial perfect absorber (Invited)
Purushothaman, Gopathy Fabrication of neural probes for simultaneous in vivo optical stimulation and electrical recording in the brain

Q

Qi, Ji Active-illumination parallel Raman/SERS imaging
Surface-enhanced Raman Spectroscopy with monolithic, hierarchical nanoporous gold disk substrates
Qu, Xin-Ping Soft- and near-field lithography on glass hemisphere surface for spherical zone plates
Quan, B.G. Hexagonal three-dimensional plasmonic nanoantenna arrays
Quan, Qimin Free-standing nanoscale mechanical and photonic devices fabricated in single-crystal diamond
Quintero, Kenneth J Perez Design and Fabrication of Ultrananocrystalline Diamond Based Nanoelectromechanical Switches

R

Rack, P.D. Metal Depositions Induced by Helium and Neon Ion Beams
Rack, Philip Monte Carlo Simulations of Helium and Neon Ions Beam Induced Deposition, Rajendra Timilsina, Daryl Smith
Radke, André Direct laser writing: Finer, faster and more flexible (Invited)
Raghunathan, Ananthan Print based estimation of probe size distribution in electron beam lithography
Raghunathan, Sudharshanan EUVL Aberration Metrology using Resist Images from a Strong Phase Shifting Mask
Rahman, Muhammad Nanofabricated Silicon Devices: From Nanosensors to Medical Implants (Invited)
Ramos, Daniel Free-standing nanoscale mechanical and photonic devices fabricated in single-crystal diamond
Randall, John Multi-spot-size vector writing approach to atomically precise H depassivation lithography
Randolph, Steven In-situ Microfluidics using a Liquid Injector for the Study of Beam Induced and Dynamic Processes
Rangelow, Ivo Mix&Match Electron Beam and Scanning Probe Lithography for sub-5 nm Patterning
Sub-10 nm silicon nano-structures based on block copolymer lithography and high selectivity, cryogenic temperature dry etching (Invited)
Ray, Vishva Nanograting–Mediated Growth of Bismuth Selenide Topological Insulator Nanoribbons
Reano, Ronald Optimization of Electron Beam Patterned HSQ Mask Edge Roughness for Low-Loss Silicon Waveguides
Reboud, Vincent 8” and 12” wafer scale Nano Imprint Lithography: from process control to optical functions (Invited)
Reisinger, Thomas Advances on e-beam fabrication of photonic crystal membranes
Rekawa, Senajith Efficient Packaged Zoneplates for EUV Instruments
Ren, Yan High throughput scanning electron microscopes with MEMS-based multi-beam optics
Renner, Michael Direct laser writing: Finer, faster and more flexible (Invited)
Rishton, S DWDM laser arrays fabricated using thermal nanoimprint lithography on Indium Phosphide substrates
Ritchie, Dave Mesoscopic Electronic Devices Fabricated using Atomic Force Lithography
Ro, Hyun Wook Dual scale controlled surface roughness by wrinkling of polymer imprints
Roberts, Nicholas A. Enhanced purity via laser assisted electron beam induced deposition of tungsten
Rockstuhl, Carsten Exploiting extreme coupling to realize a metamaterial perfect absorber (Invited)
Rodríguez, Said Substrate Conformal Imprint Lithography for nanophotonics in applications (Invited)
Rolff, Haiko EUVL Aberration Metrology using Resist Images from a Strong Phase Shifting Mask
Rommel, Marcus Sub-10nm Resolution after Lift-Off using HSQ/PMMA Double Layer Resist (Invited)
Rommel, Mathias Accuracy of Wafer Level Alignment with Substrate Conformal Imprint Lithography
Rosenman, Daniel Microfilters with Nanotopography for Isolation of Circulating Tumor Cell from Blood
Rosenmann, Daniel Metal-Assisted Etching of Silicon Molds for Electroforming
Rosenthal, Daniel Metal-Assisted Etching of Silicon Molds for Electroforming
Ross, Caroline A. Rule-Based Directed Self-Assembly of Circuit-Like Block-Copolymer Patterns
Ross, Caroline Sacrificial Post Templating Method for Block Copolymer Self-Assembly
Lithographically-Defined ZnO Nanowire Growth
Roukes, Michael Single Molecule and Single Cell Sensing with Nanomechanical Systems
Rowen, Adam Micromachined stylus ion traps through high aspect ratio lithography and electrochemical deposition
Ruchhoeft, Paul Magnetic patterning by oxygen reduction using low energy Helium irradiation
Rudzinski, Axel An Innovative EBL Writing Strategy for High Speed and Precision Lithography of Large Circle Arrays for Microfiltration and Photonics in Solar Cells
Rui, Huang Dual scale controlled surface roughness by wrinkling of polymer imprints
Ruiz, Ricardo Pattern Transfer from Directed PS-b-PMMA Films with Sub-25 nm Full Pitch
Rumler, Maximilian Accuracy of Wafer Level Alignment with Substrate Conformal Imprint Lithography
Ruoff, Rodney Analog and digital flexible nanoelectronics fabricated from advanced 2D nanomaterials
Russell, Thomas Sub-10 nm silicon nano-structures based on block copolymer lithography and high selectivity, cryogenic temperature dry etching (Invited)
Ruther, Rose Chemical Composition and Pattern Development in Inorganic Photoresist Materials Deposited from Aqueous Solution

S

Sabri, Firouzeh Low Temperature Electrical and Optical Characterization of Lithographically-Defined Au Microchannels on an Elastomeric Substrate
Sadek, Akram Nanofabricated Silicon Devices: From Nanosensors to Medical Implants (Invited)
SAIC Image distortion in REBL system: the correctable and the residual
Sakamoto, Jyunji Selective Edge Lithography for Fabricating Imprint Mold with Nano Size and Large Size Mixed Patterns
Sakamoto, Kiichi Low line edge roughness patterning with Character Projection EB Lithography for Photonic Devices
Salmistraro, Marco Triggering and monitoring plasmon enhanced reactions by optical nanoantennas coupled to photocatalytic beads
Samuelson, Lars Nanowire-Based Electrode for Neural Recordings in the Brain
Sanabia, Jason E. An Innovative EBL Writing Strategy for High Speed and Precision Lithography of Large Circle Arrays for Microfiltration and Photonics in Solar Cells
Sanabia, Jason Elliot Progress Report on the Multi-Species Focused Ion Beam Lithography System and Its Applications
Direct Ga and Si Ion Beam Lithography for Nanopore Fabrication with High Resolution and Reproducibility
Sanche, Marc Defectivity and uniformity evaluation of block copolymer directed self-assembly for contact hole shrink 300mm-process
Sanchez, Martha Investigation into Shot Noise Effects of Direct Write Electron Beam Lithography Using High Energy Electron Beams
Santhakumar, K. Graphene-based Broadband THz Modulators, Haidong Zhang, Ye Shao
Santillan, Julius Joseph An in situ analysis of EUV resist dissolution characteristics by high speed AFM
Sarkar, Anirban Nano-Rough Gold for Enhanced Raman Scattering
Sarwar, A.T.M. GaN/AlN Double Barrier Nanowire Resonant Tunneling Diodes
Sasaki, Shinya Durability assessment of mold release agents for ultraviolet nanoimprint lithography
Sasaki, Tomoyuki Reorientation Evaluation of Photoinduced Liquid Crystalline Polymer Pattern Fabricated by Hybrid Nanoimprinting with Linearly Polarized Ultra Violet Irradiation
Sasao, Norikatsu Directed Self-Assembling Lithography Process for High-Density Bit Patterned Magnetic Recording Media (Invited)
Satake, Shin-ichi Three dimensional hologram-ROM duplication by UV-NIL
Savu, Veronica Morphological characterization of metallic nano-structures evaporated through stencil on graphene
Schattenburg, Mark KOH Polishing of Nanoscale Deep Reactive-Ion Etched Ultra-High Aspect Ratio Gratings
Schedel, Thorsten EUVL Aberration Metrology using Resist Images from a Strong Phase Shifting Mask
Scheer, Hella-Christin Free-standing filaments in thermal nanoimprint induced by pre-filling
Reflow minimization via viscosity control by exposure
Underestimated impact of instabilities with nanoimprint
2D- visualization of imprint-induced flow by means of crystallizing polymers
Scherer, Axel Nanofabricated Silicon Devices: From Nanosensors to Medical Implants (Invited)
Exposure Strategy: Investigation of the Relationship between Exposure Speed and Ultra High Resolution in electron beam lithography
Schiavone, Patrick A method for dynamic parameterized shape reconstruction. Application to scatterometry
Schift, Helmut Free-standing filaments in thermal nanoimprint induced by pre-filling
Nanopatterned micromechanical elements by polymer injection molding with hybrid molds
Schönenberger, Christian Morphological characterization of metallic nano-structures evaporated through stencil on graphene
Schouenborg, Jens Nanowire-Based Electrode for Neural Recordings in the Brain
Schrempel, Frank Freestanding Photonic Crystals in Lithium Niobate
Schuck, Jim A lab-on-a-chip with 30 nm nanochannels and plasmonic bowtie nanoantenna
Schuck, P. Jim Reaching theoretical resonance quality factor limit in coaxial plasmonic nano resonators fabricated by Helium Ion Microscope
Schurink, Bart Approach to an on-chip 3D neural-network in a hydrogel based bioreactor
Schwartzberg, Adam Triggering and monitoring plasmon enhanced reactions by optical nanoantennas coupled to photocatalytic beads
Comparison of Au feature formation using two Au-calixarene resists, electron beam lithography, and low temperature organic removal
Schwind, Gregory Off Axis Modeling and Measurement of Emission Parameters for the Schottky Emitter
Scipioni, Larry Reaching theoretical resonance quality factor limit in coaxial plasmonic nano resonators fabricated by Helium Ion Microscope
Seagate Sub-10 nm silicon nano-structures based on block copolymer lithography and high selectivity, cryogenic temperature dry etching (Invited)
Sfeir, Matthew Plasmonic Conductors for Organic Solar Cells
Shannon, Mark Fabricating arbitrary silicon nanostructures using thermal dip pen nanolithography (tDPN)
Shao, Ye GaN/AlN Double Barrier Nanowire Resonant Tunneling Diodes
Shapera, Ethan Electromagnetically Induced Transparency in Au:VO2 Nanoparticles
Sheetz, Michael Bifunctional Nanoarrays for Probing the Immune Response at the Single-Molecule Level
Shepard, Kenneth Graphene Field-Effect Transistors with Gigahertz-Frequency Power Gain on Flexible Substrates
Shi, P. Influence of Engineered Surface on Cell Motility and Directionality
Shi, Yue Towards an RF Planar Waveguide Electron LINAC
Shields, Brendan Free-standing nanoscale mechanical and photonic devices fabricated in single-crystal diamond
Shih, Wei-Chuan Fabrication of neural probes for simultaneous in vivo optical stimulation and electrical recording in the brain
Active-illumination parallel Raman/SERS imaging
Surface-enhanced Raman Spectroscopy with monolithic, hierarchical nanoporous gold disk substrates
Shim, Wooyoung Active Cantilever-free Scanning Probe Lithography (Invited)
Shiotsu, Takahiro Simulation Study on Template Releasing Process in Nanoimprint Lithography
Novel template releasing process Novel template releasing process by multi-axis controlled systems in nanoimprint lithography
Shirato, Syo Durability assessment of mold release agents for ultraviolet nanoimprint lithography
Shriyan, Sameet Image distortion in REBL system: the correctable and the residual
Shtokhammer, Roman Multi-Source, Complex Beamline Modeling Development in MICHELLE eBEAM
Sijbrandij, Sybren Towards SIMS on the Helium Ion Microscope: detection limits and experimental results on the ORION
Šikola, Tomáš Ion and Electron Beam Lithography in a Multifunctional Tool FIB/SEM with in-situ SPM
Silver, Richard Atom-based Pitch and Length Standards
Singapore Hydrogen Silsesquioxane As A Resist And Material Of Choice In Fabricating Plasmonic Antennas
Singer, Sherwin Ionic Transportation through DNA-based Nanochannels
Slot, E. MAPPER progress towards a High Volume Manufacturing EBDW system (Invited)
Smistrup, Kristian DWDM laser arrays fabricated using thermal nanoimprint lithography on Indium Phosphide substrates
Smith, Bruce Investigating effects of aerial image averaging and pupil plane filtering on line edge roughness (LER)
EUVL Aberration Metrology using Resist Images from a Strong Phase Shifting Mask
Smith, Henry 3 D Nanostructures via Aligned Stacking of Pre-patterned Membranes
Smith, Mark Stochastic Exposure Kinetics of EUV Photoresists: A Simulation Study
Investigation into Shot Noise Effects of Direct Write Electron Beam Lithography Using High Energy Electron Beams
Sohn, Lydia Label-Free Cell Screening (Invited)
Soles, Christopher Dual scale controlled surface roughness by wrinkling of polymer imprints
Song, Yi CD Matching between CD-SEM and Scatterometry Metrology
Soo, Ong Kian Roll-to-Roll Hot Embossing of Micron and Nanoscale Structures for the Fabrication of Plastic Devices
Soulan, Sebastien A method for dynamic parameterized shape reconstruction. Application to scatterometry
Spreu, Christian Free-standing filaments in thermal nanoimprint induced by pre-filling
Srisonphan, Siwapon Si MOSFET with a Nanoscale Void Channel (Invited)
Stach, Eric Point-spread function of energy deposition by an electron-beam determined by using energy-filtered TEM
Stafford, Christopher Dual scale controlled surface roughness by wrinkling of polymer imprints
Steenbrink, S.W.H.K. MAPPER progress towards a High Volume Manufacturing EBDW system (Invited)
Steffen, Diez Advanced Maskless Grayscale Lithography using a new writing strategy to increase the number of grayscale levels
Stein, Aaron Periodic metallic structures fabricated by coherent Talbot lithography in a table top system
Measuring Field-Stitch Boundary Error of Electron Beam Lithography With X-ray Diffraction
Steinberg, Christian Underestimated impact of instabilities with nanoimprint
Steinert, Michael Freestanding Photonic Crystals in Lithium Niobate
Stepanova, Maria Study of multilayer systems in electron beam lithography
Application of EBL fabricated nanostructured substrates for SERS detection of protein A in aqueous solution
Stern, L.A. Metal Depositions Induced by Helium and Neon Ion Beams
Stern, Lewis A. Understanding Nanomachining in Gold Substrates
Stern, Lewis Chemical Assisted Etching with Ne+ & He+ Ion Microscope (Invited)
Stevens, M. M. Designing Bio-inorganic Nanomaterials for Ultrasensitive Biosensing
Stickle, William Chemical Composition and Pattern Development in Inorganic Photoresist Materials Deposited from Aqueous Solution
Su, Dong Point-spread function of energy deposition by an electron-beam determined by using energy-filtered TEM
Sumant, Anirudha Diamond based Micro and Nano Systems (Invited)
Design and Fabrication of Ultrananocrystalline Diamond Based Nanoelectromechanical Switches
Sun, Kai Nanograting–Mediated Growth of Bismuth Selenide Topological Insulator Nanoribbons
Sun, Lei EUVL Aberration Metrology using Resist Images from a Strong Phase Shifting Mask
Sung, Sang-Kuen Planarized Ag Nanopattern Array for Plasmonic Resonance-driven Electroluminescence Enhancement
Suyatin, Dmitry Nanowire-Based Electrode for Neural Recordings in the Brain
Svilicic, Boris Tunability of silicon carbide resonators with electrothermal actuation and piezoelectric readout
Swanson, Lynwood Off Axis Modeling and Measurement of Emission Parameters for the Schottky Emitter
Swanwick, Michael Optically Actuated Nanostructured Electron-Emitter Arrays

T

Taber, Andrew A lab-on-a-chip with 30 nm nanochannels and plasmonic bowtie nanoantenna
Tabor, Christopher Pronounced Effects of Anisotropy on Plasmonic Properties of Nanorings Fabricated by Electron Beam Lithography, Rachel Near, Georgia Institute of Technology
Taboryski, Rafael Large scale fabrication scheme for all-polymer multilevel nano-microfluidic Lab-on-Chip (LoC) systems: the PolyNano approach
Tada, Kazuhiro Novel Ordered Hetero Junction Organic Photovoltaics (OHJ-OPV) Novel Ordered Hetero Junction Organic Photovoltaics (OHJ-OPV) by multi-layered direct nanoimprint using buli-in electrode mold
Correlation between Electron-Irradiation Effect and Stress in Carbon Nanotubes: Molecular Dynamics Study
Tagawa, Seiichi Synthesis of metal nanoparticles in polymeric films induced by electron beam
Takagi, Hideki Improvement of Bonding Strength in Room Temperature Wafer Bonding using Surface Smoothing by Ne Beam
Takizawa, Masahiro Low line edge roughness patterning with Character Projection EB Lithography for Photonic Devices
Tan, Shawn Hydrogen Silsesquioxane As A Resist And Material Of Choice In Fabricating Plasmonic Antennas
Tan, Shida Chemical Assisted Etching with Ne+ & He+ Ion Microscope (Invited)
Tanaka, Yusuke Phase Defect Characterization on an EUV Blank Mask using Micro Coherent EUV Scatterometry Microscope
Tang, Cha-Mei Microfilters with Nanotopography for Isolation of Circulating Tumor Cell from Blood
Tang, Q. Y. Influence of Engineered Surface on Cell Motility and Directionality
Taniguchi, Jun Control of carbon nanofibers configuration on glassy carbon by two-step ion beam irradiation method
Three dimensional hologram-ROM duplication by UV-NIL
Pre-hardening Ultraviolet nanoimprint lithography using opaque mold
Durability assessment of mold release agents for ultraviolet nanoimprint lithography
Tanzi, Simone Large scale fabrication scheme for all-polymer multilevel nano-microfluidic Lab-on-Chip (LoC) systems: the PolyNano approach
Tao, Li Analog and digital flexible nanoelectronics fabricated from advanced 2D nanomaterials
Tarequzzaman, Mohammad Replication of NIL Stamps by Metal-Assisted Chemical Etching of Silicon
Terasawa, Tsuneo Influence of EUV mask structure on electron trajectories
TESCAN Ion and Electron Beam Lithography in a Multifunctional Tool FIB/SEM with in-situ SPM
Thelin, Jonas Nanowire-Based Electrode for Neural Recordings in the Brain
Thiel, Michael Direct laser writing: Finer, faster and more flexible (Invited)
Thomas, Germer Dual scale controlled surface roughness by wrinkling of polymer imprints
Thomas, Gordon A Model for Nano-manufactured Electrodes utilizing Vertical Carbon Nanotubes
Thompson, B. Metal Depositions Induced by Helium and Neon Ion Beams
Tiberio, Richard Dual Layer Negative Tone Metal Liftoff Electron Beam Lithography process for nanometer scale Plasmonic and Photonic devices
Tiron, Raluca Defectivity and uniformity evaluation of block copolymer directed self-assembly for contact hole shrink 300mm-process
Tolbert, Laren M. Methods for Controlled Polymerization in Negative Tone Resists
PS-b-PHEMA: A Promising High χ Polymer for Directed Self-Assembly Lithography
Tolbert, Laren Directly-Photodefinable Guiding Layers: Enabling Simple 3-Step DSA Processes for Lithographic Patterning
Tomaa, A. 2D and 3D Plasmonic Nanostars for Bio-sensing Applications - Single Molecule Detection
Tomioka, Tatsuya Au split-ring resonator arrays responsive to a magnetic field in a visible frequency region fabricated by UV nanoimprint lithography
Tomohiro, Kohei Novel Ordered Hetero Junction Organic Photovoltaics (OHJ-OPV) Novel Ordered Hetero Junction Organic Photovoltaics (OHJ-OPV) by multi-layered direct nanoimprint using buli-in electrode mold
Tong, W. Y. Influence of Engineered Surface on Cell Motility and Directionality
Tong, William Nanoengineered charge-drain film for electron-optical MEMS in the REBL E-beam column
Tran, Hieu Defect-tracking For Nanoimprint Lithography Using Optical Surface Analyzer and Scanning Electron Microscope
Trikeriotis, Markos Nanoparticle Photoresists: Highly Sensitive EUV Resists with a New Patterning Mechanism (Invited)
Trusheim, Matthew Fabrication of single-crystal diamond nano-slabs for photonic applications
Tseng, Kuo-Chun Lloyd’s Mirror Interferometer Using a Single-Mode Fiber Spatial Filter
Tsong, Tien-Tzou Low-Energy Electron Diffractive Imaging Based on a Single-Atom Electron Source
Tsuboi, Ryo Durability assessment of mold release agents for ultraviolet nanoimprint lithography
Tu, Charles Graphene-based Broadband THz Modulators, Haidong Zhang, Ye Shao
Tünnermann, Andreas Freestanding Photonic Crystals in Lithium Niobate

U

Uehara, Takuya Au split-ring resonator arrays responsive to a magnetic field in a visible frequency region fabricated by UV nanoimprint lithography
University, Auburn Dependency Analysis of Line Edge Roughness in Electron-beam Lithography
University, Columbia Fabrication of single-crystal diamond nano-slabs for photonic applications
University, Osaka Prefecture Correlation between Electron-Irradiation Effect and Stress in Carbon Nanotubes: Molecular Dynamics Study
University, Osaka Nanochemistry in Chemically Amplified Resists Used for Extreme Ultraviolet Lithography (Invited)
University, Princeton Sub-30 nm Roller Nanoimprint Lithography Using Flexible Hybrid Molds and Applications to Large-Area High-Performance Nanoplasmonic Sensors and Solar Cells
University, Tohoku Prototype Active-Matrix Nanocrystalline Silicon Electron Emitter Array for Massively Parallel Direct-Write Electron Beam Lithography, Naokatsu Ikegami, Nobuyoshi Koshida, Tokyo University of Agriculture and Technology
Unno, Noriyuki Three dimensional hologram-ROM duplication by UV-NIL
Urbanski, Lukasz Periodic metallic structures fabricated by coherent Talbot lithography in a table top system
Urwyler, Prabitha Nanopatterned micromechanical elements by polymer injection molding with hybrid molds
Usui, Youichi Phase Defect Characterization on an EUV Blank Mask using Micro Coherent EUV Scatterometry Microscope

V

van de Laar, Robert Accuracy of Wafer Level Alignment with Substrate Conformal Imprint Lithography
Van den hove, Luc Breaking through the scaling boundaries, key for a sustainable society
Van der heijden, Eddy Thermodynamic origin of placement errors for contact holes created by directed self-assembly
Van eesch, Chris Thermodynamic origin of placement errors for contact holes created by directed self-assembly
van Kouwen, Leon First focused ion beam images using a novel electron impact gas ion source
van Veldhoven, Emile Visualizing the Interaction Volume of Helium Ions in Hydrogen Silsesquioxane
Vangari, Manisha Nano-structure Modified Thin-Film Paper Energy Storage Device
Vanhove, Nico Towards SIMS on the Helium Ion Microscope: detection limits and experimental results on the ORION
Velásquez-García, Luis Optically Actuated Nanostructured Electron-Emitter Arrays
Verschuuren, Marc Substrate Conformal Imprint Lithography for nanophotonics in applications (Invited)
Accuracy of Wafer Level Alignment with Substrate Conformal Imprint Lithography
Vieker, Henning Fabrication and Modification of Carbon Nanomembranes (CNMs) by Helium Ion Lithography
Viswanathan, Vignesh Patterning Plasmonic Nanostructures - Regimes of the Gallium Focused Ion Beam and Helium Ion Microscope
Vladar, Andras E. Understanding Nanomachining in Gold Substrates
Vladar, Andras Chemical Assisted Etching with Ne+ & He+ Ion Microscope (Invited)
Vlasov, Alexander Multi-Source, Complex Beamline Modeling Development in MICHELLE eBEAM
Vochenhuber, Michaela EUV Bessel beam lithography
Vockenhuber, Michaela Single-digit patterning using EUV light
Vogelsang, Konrad Free-standing filaments in thermal nanoimprint induced by pre-filling
von Freymann, Georg Direct laser writing: Finer, faster and more flexible (Invited)

W

Wakaba, Hitomi Selective Patterning of Fluorinated Self-assembled Monolayer by UV Nanoimprinting for Directed Self-Assembly
Walavalkar, Sameer Nanofabricated Silicon Devices: From Nanosensors to Medical Implants (Invited)
Wallentin, Jesper Nanoimprint-Based Lift-off Process for a Large-Scale Epitaxial Growth of Nanowires
Waller, Erik Direct laser writing: Finer, faster and more flexible (Invited)
Wallman, Lars Nanowire-Based Electrode for Neural Recordings in the Brain
Wallow, Thomas EUVL Aberration Metrology using Resist Images from a Strong Phase Shifting Mask
Wallraff, Greg Investigation into Shot Noise Effects of Direct Write Electron Beam Lithography Using High Energy Electron Beams
Wan, Lei Pattern Transfer from Directed PS-b-PMMA Films with Sub-25 nm Full Pitch
Wan, Ling-Shu Directed Self-Assembly of Ternary Blends of Block Copolymer and Homopolymers on Chemical Patterns
Wang, Chao Fabrication and Demonstration of Ultra-sensitive and Fast Immunoassay Platform With 3D Nanoplasmonic Cavity Antenna and Microfluidics Using Nanoimprint
Wang, Jiao Enhanced up-conversion luminescence in a microtubular optical resonator
Wang, Li EUV Bessel beam lithography
Single-digit patterning using EUV light
Wang, Peng Optical microlithography on oblique surfaces via a novel diffractive phase mask
Wang, Risheng Ordered arrays of carbon nanotube segments by directed assembly
Wang, Si Free-standing filaments in thermal nanoimprint induced by pre-filling
Reflow minimization via viscosity control by exposure
Underestimated impact of instabilities with nanoimprint
2D- visualization of imprint-induced flow by means of crystallizing polymers
Wang, Szu-Ying Chemical Composition and Pattern Development in Inorganic Photoresist Materials Deposited from Aqueous Solution
Wang, Yue Patterning Plasmonic Nanostructures - Regimes of the Gallium Focused Ion Beam and Helium Ion Microscope
Wang, Yuxuan Double External Quantum Efficiency/Light Extraction and Widen Viewing Angle of Organic Light-Emitting Diodes with New Plasmonic Cavity with Subwavelength Hole Array (PlaCSH)
Fabrication of Plasmonic-enhanced Nanostructured Electron Source (PNE) Using Epitaxial Lift-off and Nanoimprint Lithography
Wang, Zhong Lin Triboelectric Generators for Self-Powered Electronics (Invited)
Warisawa, Shin'ichi Mechanical characteristics of the ultra-long horizontal free-space-nanowire grown by real-time feedback control on focused-ion-beam chemical vapor deposition
Optomechanical resonator fabrication with the surface plasmon antenna for the wavelength detection
Warring, Ulrich Micromachined stylus ion traps through high aspect ratio lithography and electrochemical deposition
Watanabe, Hidehiro Influence of EUV mask structure on electron trajectories
Watanabe, Takeo Phase Defect Characterization on an EUV Blank Mask using Micro Coherent EUV Scatterometry Microscope
Wathuthanthri, Ishan Fabrication of hierarchical nanostructures using free-standing tri-layer membrane
Plasmonic Nanogap Arrays Fabricated via Moiré Holographic Lithography
Fabrication of Nano-Bowl Arrays via Simple Holographic Patterning and Lift-Off Process
Weber-Bargioni, Alex Reaching theoretical resonance quality factor limit in coaxial plasmonic nano resonators fabricated by Helium Ion Microscope
Weber-Bargioni, Alexander Triggering and monitoring plasmon enhanced reactions by optical nanoantennas coupled to photocatalytic beads
Wegmann, Urs Combined SIMS-SPM instrument for high sensitivity and high resolution elemental 3D analysis
Weides, Martin Micromachined stylus ion traps through high aspect ratio lithography and electrochemical deposition
Weis, Jürgen Sub-10nm Resolution after Lift-Off using HSQ/PMMA Double Layer Resist (Invited)
Werner, Carsten Fabrication of Polymer Structures with Undercuts by Reverse Imprint Lithography (Invited)
West, Ken Fabrication of Electronic Fabry-Perot Interferometer in the Quantum Hall Regime
Wi, Sungjin Nanograting–Mediated Growth of Bismuth Selenide Topological Insulator Nanoribbons
Transfer-Printing of Prepatterned Semiconducting Few-Layer-Molybdenum Disulfide Structures for Electronic Applications
Wibowo, Andree Inductively Coupled Plasma Etching of Through-Cell Vias in Indium-Bearing III-V Solar Cells Using SiCl4/Ar plasma
Wieland, M.J. MAPPER progress towards a High Volume Manufacturing EBDW system (Invited)
Wiemer, Maik Functional Nano Patterns realized by Thermal and UV Nano Imprint Lithography
Wijnaendts, Niels Advanced Maskless Grayscale Lithography using a new writing strategy to increase the number of grayscale levels
Wilson, Andrew Micromachined stylus ion traps through high aspect ratio lithography and electrochemical deposition
Wilson, R. Low Temperature Electrical and Optical Characterization of Lithographically-Defined Au Microchannels on an Elastomeric Substrate
Wind, Shalom Ordered arrays of carbon nanotube segments by directed assembly
Bifunctional Nanoarrays for Probing the Immune Response at the Single-Molecule Level
Wineland, David Micromachined stylus ion traps through high aspect ratio lithography and electrochemical deposition
Wirtz, Tom Combined SIMS-SPM instrument for high sensitivity and high resolution elemental 3D analysis
Towards SIMS on the Helium Ion Microscope: detection limits and experimental results on the ORION
Wolfe, Jack Development of a low energy neutral particle printer for atomically precise patterning of desorption resists
Wolfe, John Fabrication of neural probes for simultaneous in vivo optical stimulation and electrical recording in the brain
Surface-enhanced Raman Spectroscopy with monolithic, hierarchical nanoporous gold disk substrates
Wolfenson, Haguy Bifunctional Nanoarrays for Probing the Immune Response at the Single-Molecule Level
Wong, H.-S. Philip Block Copolymer Directed Self-Assembly Two-Hole Pattern inside Peanut-Shaped Templates
Wong, Ka Ga+ Focused Ion Beam Micromachining of Thermoplastic Polymers
Wood, Cameron Field Effect Transistor Performance of Hydrothermal ZnO Nanowires (Invited)
Wood, Michael Optimization of Electron Beam Patterned HSQ Mask Edge Roughness for Low-Loss Silicon Waveguides
Wood, Obert EUVL Aberration Metrology using Resist Images from a Strong Phase Shifting Mask
Wu, , Wei Forward sputtering of thin films using focused helium ion beam
Wu, Banqiu EUV Lithography and 3D IC (Invited)
Wu, Huimeng Metal Depositions Induced by Helium and Neon Ion Beams
Wu, J. C. Magnetic domain wall motion in permalloy wires with nanometer-scaled notches
Wu, Wei A Light-driven Micro-motor Based on Angular Momentum Transfer through Subwavelength Grating Waveplates
Wu, Yi-Kuei Moving Towards Structural Color Display: Angle Insensitive Structural Colors Based on Metallic Gratings and Color Pixels beyond the Diffraction Limit
Wuister, Sander Thermodynamic origin of placement errors for contact holes created by directed self-assembly

X

Xia, D. Metal Depositions Induced by Helium and Neon Ion Beams
Xia, Qiangfei Integration of Planar Memristors with CMOS for Hybrid Circuits
Improved Switching Uniformity for TiO2/HfO2 Bi-layer Memristive Devices
Voltage Dependent Electroforming of TiO2-based Memristive Devices
Patterning of Nanoparticles Using Electric Field Assisted Coffee Ring Effect
Crossbar Arrays of Sub-10 nm Memristive Devices Fabricated with Nanoimprint Lithography
Low-power Resistive Switching in Ultra-smooth Native AlOx Thin Films Fabricated by Template Stripping
Ultralow Voltage Resistive Switching in Ultrathin Silicon Oxide
Xia, X.X. Hexagonal three-dimensional plasmonic nanoantenna arrays
Xu, Ting All-angle Negative Refraction and Active Flat Lensing in the Ultraviolet (Invited)

Y

Yamada, Akio Low line edge roughness patterning with Character Projection EB Lithography for Photonic Devices
Yamamoto, Hiroki Synthesis of metal nanoparticles in polymeric films induced by electron beam
Process Dependence of Line Width Roughness in Electron Beam Resists
Yamamoto, Manabu Three dimensional hologram-ROM duplication by UV-NIL
Yamamoto, Ryosuke Directed Self-Assembling Lithography Process for High-Density Bit Patterned Magnetic Recording Media (Invited)
Yamazaki, Tomoharu Process Dependence of Line Width Roughness in Electron Beam Resists
Yang, Ai-Guo Soft- and near-field lithography on glass hemisphere surface for spherical zone plates
Yang, J. Joshua Memristive Nanodevices: Mechanisms, Promises and Challenges (Invited)
Yang, Joel Hydrogen Silsesquioxane As A Resist And Material Of Choice In Fabricating Plasmonic Antennas
Yang, Peiyan Forward sputtering of thin films using focused helium ion beam
Yang, Tai-Chi Study of optical anisotropy property to rapidly characterize structural qualities of CVD prepared graphene films
Yang, Yin-Kuang Lloyd’s Mirror Interferometer Using a Single-Mode Fiber Spatial Filter
Yang, Yujia Optically Actuated Nanostructured Electron-Emitter Arrays
Yasuda, Masaaki Simulation Study on Template Releasing Process in Nanoimprint Lithography
Correlation between Electron-Irradiation Effect and Stress in Carbon Nanotubes: Molecular Dynamics Study
Selective Edge Lithography for Fabricating Imprint Mold with Nano Size and Large Size Mixed Patterns
Yavuz, Mustafa Contrast curve engineering by using multi-layer polystyrene electron beam resist
Polycarbonate as an ideal grayscale electron beam resist using diluted cyclopentanone developer
Yeh, Wei-Ming PS-b-PHEMA: A Promising High χ Polymer for Directed Self-Assembly Lithography
Directly-Photodefinable Guiding Layers: Enabling Simple 3-Step DSA Processes for Lithographic Patterning
Yen, Anthony Defect Management of EUV Masks: Progress and Outlook (Invited)
Yi, He Block Copolymer Directed Self-Assembly Two-Hole Pattern inside Peanut-Shaped Templates
Yin, Jian Pattern Transfer from Directed PS-b-PMMA Films with Sub-25 nm Full Pitch
Yoshida, Shuhei Three dimensional hologram-ROM duplication by UV-NIL
Yoshida, Takashi Prototype Active-Matrix Nanocrystalline Silicon Electron Emitter Array for Massively Parallel Direct-Write Electron Beam Lithography, Naokatsu Ikegami, Nobuyoshi Koshida, Tokyo University of Agriculture and Technology
Youtsey, Chris Inductively Coupled Plasma Etching of Through-Cell Vias in Indium-Bearing III-V Solar Cells Using SiCl4/Ar plasma
Yu, Chen-Chieh Study of optical anisotropy property to rapidly characterize structural qualities of CVD prepared graphene films
Yu, J. Fabrication of hundreds of field effect transistors on a single carbon nanotube for basic studies and molecular devices
Yu, Yanlei 2D Azobenzene Liquid-crystalline Polymer-based Switchable Photonic Crystals via Nanoimprint
Yu, Zhaoning Defect-tracking For Nanoimprint Lithography Using Optical Surface Analyzer and Scanning Electron Microscope

Z

Zaccariaa, R. Proetti 2D and 3D Plasmonic Nanostars for Bio-sensing Applications - Single Molecule Detection
Zeijl, Henk Van High accuracy dual side overlay with KOH through wafer etching
Zeiss, Carl Reaching theoretical resonance quality factor limit in coaxial plasmonic nano resonators fabricated by Helium Ion Microscope
Understanding Nanomachining in Gold Substrates
Zhang, Cheng Moving Towards Structural Color Display: Angle Insensitive Structural Colors Based on Metallic Gratings and Color Pixels beyond the Diffraction Limit
Zhang, Jian Fabrication of Gold Bowtie Nano-antenna by E-beam Lithography on Si3N4 Membrane for SERS
Effect of toluene treatment on PDMS molding into nanoholes
Zhang, Jing Enhanced up-conversion luminescence in a microtubular optical resonator
Zhang, Lihua Point-spread function of energy deposition by an electron-beam determined by using energy-filtered TEM
Zhang, Qi Sub-30 nm Roller Nanoimprint Lithography Using Flexible Hybrid Molds and Applications to Large-Area High-Performance Nanoplasmonic Sensors and Solar Cells
Fabrication and Demonstration of Ultra-sensitive and Fast Immunoassay Platform With 3D Nanoplasmonic Cavity Antenna and Microfluidics Using Nanoimprint
Fabrication of Large-area Flexible Roll-to-Roll Nanoimprint Molds with Sub-100nm Features Using Step-and-Repeat Duplication
Patterning of Light-Extraction Nanostructures on Sapphire Substrates Using Nanoimprint, SiO2 Masking and ICP Dry Etching
Zhang, Weihua Fabrication of Plasmonic-enhanced Nanostructured Electron Source (PNE) Using Epitaxial Lift-off and Nanoimprint Lithography
Fabrication and Demonstration of Ultra-sensitive and Fast Immunoassay Platform With 3D Nanoplasmonic Cavity Antenna and Microfluidics Using Nanoimprint
Zhang, X. Fabrication of hundreds of field effect transistors on a single carbon nanotube for basic studies and molecular devices
Zhang, Xianghui Fabrication and Modification of Carbon Nanomembranes (CNMs) by Helium Ion Lithography
Zhang, Xu Fabrication of Hierarchical Three-Dimensional Nanostructures Using Template-Directed Assembly of Colloidal Particles
Fabrication of Periodic Hollow-Shell Nano-Volcano Arrays for Particle Trapping
Zhang, Y DWDM laser arrays fabricated using thermal nanoimprint lithography on Indium Phosphide substrates
Zhao, Xi Ionic Transportation through DNA-based Nanochannels
Zhao, Xinyu Dependency Analysis of Line Edge Roughness in Electron-beam Lithography
Zhao, Yuning Inductively Coupled Plasma Etching of Through-Cell Vias in Indium-Bearing III-V Solar Cells Using SiCl4/Ar plasma
Zheng, Hannah Field Effect Transistor Performance of Hydrothermal ZnO Nanowires (Invited)
Zheng, Zhen Magnetic patterning by oxygen reduction using low energy Helium irradiation
Zhiya, Dang Fabrication of Complex Three-Dimensional Multilevel Silicon Micro- and Nano-Structures using High Energy Ion Irradiation
Zhong, Jian Enhanced up-conversion luminescence in a microtubular optical resonator
Zhou, Jing Tapered hyperbolic metamaterials for broadband absorption
Zhou, Liangcheng Fabrication and Demonstration of Ultra-sensitive and Fast Immunoassay Platform With 3D Nanoplasmonic Cavity Antenna and Microfluidics Using Nanoimprint
Zhu, Guang Triboelectric Generators for Self-Powered Electronics (Invited)
Zhu, Peixuan Microfilters with Nanotopography for Isolation of Circulating Tumor Cell from Blood
Zhu, Zhou-Yang Visualizing the Interaction Volume of Helium Ions in Hydrogen Silsesquioxane
Zhu, Zhouyang A Light-driven Micro-motor Based on Angular Momentum Transfer through Subwavelength Grating Waveplates
Zibrov, Alexander Free-standing nanoscale mechanical and photonic devices fabricated in single-crystal diamond
Ziegler, Dominik Modulus Mapping in High Resolution Patterned Features
Ziegler, Mario Exploiting extreme coupling to realize a metamaterial perfect absorber (Invited)
Zonnevylle, Aernout Christiaan Fabrication of a rotation corrector for electron multi beam array micro-lenses
Zuzana, Lišková Ion and Electron Beam Lithography in a Multifunctional Tool FIB/SEM with in-situ SPM

EIPBN Abstracts