EIPBN

EIPBN 2015 Authors Index


A | B | C | D | E | F | G | H | I | J | K | L | M | N | O | P | Q | R | S | T | U | V | W | X | Y | Z
Name Abstract

A

Abe, TsukasaVariation in phase defect volume on extreme ultraviolet mask before and after coating reflective multilayer
Afkhami, ShahriarLaser Induced Liquid Phase Instabilities: Transition From Single Particle Coalescence To Multi-Particle Breakup of Nickel Nano-Rivulets and Programming Instabilities
Agarwal, AkshayFabrication and Characterization of Membrane Nano-gratings for Electron Diffraction
Ahn, Sang JungLow Energy Performance of a SEM Using a New Monochromator with Double Offset Cylindrical Lenses
Ahn, Seung JoonA study of characteristics of a microcolumn based on CNT emitters
Akahori, RenaTranslocation of Single Stranded DNA through Nano-Cylindrical PEO Passage Self-Assembled by Amphiphilic Block Copolymer
Akinwande, DejiEncapsulated Delamination Transfer and Nanofabrication of Silicene Field-Effect Transistors
Aksyuk, VladimirImaging Nanophotonic Modes of Microresonators using a Focused Lithium Ion Beam
Alexander, JustinQuantitative Analysis of Digital STM Lithography Precision
Alexander-Katz, AlfredoNano Mesh Patterns by BCP Self-Templating
Ali, M. AzamFabrication of Free-standing Casein Microstructures with ‎Bioimprinted Cellular Surface Features
Alias, Mohd SharizalVisible subwavelength dielectric grating reflector fabricated using focused ion beam
Alkaisi, Maan M.Fabrication of Free-standing Casein Microstructures with ‎Bioimprinted Cellular Surface Features
Alkemade, PaulHelium-ion-beam-induced growth of 3-dimensional AFM probes
Protection of graphene against helium-ion-induced damage by h-BN encapsulation
Alsager, OmarCarbon nanotube field effect transistor apatasensors for estrogen detection in liquids
Alyalak, WadhaNeutral surface prepared by vapor phase coating for PS-b-PMMA self assembly
Amano, TsuyoshiSimulation technique for pattern inspection using projection electron microscope
Variation in phase defect volume on extreme ultraviolet mask before and after coating reflective multilayer
Amato, JimDirect photo-patterning of thiol-ene thermoset polymer thin film structures by DLP lithography
Anderson, ChrisDemonstration of below 30-nm half pitch resolution at the SHARP microscope
Andrew, TrishaSubwavelength NanoPatterning via Selective Dissolution of One-Photoisomer
Super-resolution Optical Nanolithography using two approaches of Absorbance Modulation
Andriolo, JessicaEffect of Iron-Doped Apatite Nanoparticles on a Eukaryotic Host-Virus System
Argoud, Maxime300mm DSA process qualification and stability
Arnold, GeorgHigh-Fidelity Shapes and Disruption Mechanism during Focused Electron Beam Induced Deposition
Arnold, WilliamEUV Lithography: Separating Fact from Fiction
Arreaga-Salas, DavidA thiol-ene / acrylate thermoset polymer as flexible substrate for implantable electronics
Asai, Masaya300mm DSA process qualification and stability
Asano, YusukeHigh Chi Block Co-polymers for Lithography
Ashby, PaulEncased Cantilevers for Low-Noise Force and Mass Sensing in Liquids
Aslam, A.Direct Electron Transfer From Single Enzymes to Single Wall Carbon Nanotubes
Avendano-Bolivar, AdrianA thiol-ene / acrylate thermoset polymer as flexible substrate for implantable electronics
Aydinoglu, FerhatUltra high aspect ratio sub-50 nm deep silicon trenches by photo-assisted electrochemical etching
Ultra-high resolution nanofabrication using self assembly of salt-polymer nanocomposite film
Azuma, TsukasaA simulation study on defect annihilation dynamics in directed self-assembly lithography
Electrical Yield Verification of Half Pitch 15 nm Patterns using Directed Self-assembly of PS-b-PMMA

B

Babin, Sergey1.5 nm fabrication of test patterns for characterization of metrological systems
Bagal, AbhijeetFabrication and Mechanical Properties of Porous 3D Nanostructures
Fabrication of Stretchable Transparent Conductors Using Nano-Accordion Structures
Fabrication of Thin Metallic Nanostructures Using Atomic Layer Deposition
Ballard, JoshuaQuantitative Analysis of Digital STM Lithography Precision
Banu, LailaPatterning of ≤ 16 nm defect arrays with electron beam lithography which are used to develop a high throughput electron beam defect inspection tool
Bastiaens, AlexAdvances in 3D neuronal cell culture
Basu, SrismritaNano Cost Nano Patterned Template for Surface Enhanced Raman Scattering
Baudisch, FrankStudies on the fully automated printing/imprint process using a double-side patterned soft stamp
Bauerdick, SvenImproved Instrumentation and Patterning Strategies for Extended and Continuous FIB Nanofabrication
Beique, GenevieveLine Edge Roughness Frequency Analysis during Pattern Transfer in Semiconductor Fabrication
Bekaert, JoostImplementation of Surface Energy Modification in Grapho-Epitaxy Directed Self-Assembly for Hole Multiplication
Belgouzi, MhammedThermal wrinkling of nanoimprinted SU-8 with masked UV-exposure
Benk, MarkusDemonstration of below 30-nm half pitch resolution at the SHARP microscope
Benkel, ChristianNovel Structuring Process for Injection Molding Inserts By Free-Form Reverse Nanoimprint Lithography
Berggren, Karl3D Nanofabrication by Geometrically-Confined Helium Ions in Diamond Nanostructures
Fabrication and Characterization of Membrane Nano-gratings for Electron Diffraction
Lithographic engineering of volume plasmons
Lithographic Evaluation of gL-2000: A High-Resolution Resist for Electron-Beam Lithography
Nano Mesh Patterns by BCP Self-Templating
Spatial-Mapping of Photoemission from Plasmonic Nanoparticle Arrays
Templated Self-Assembly of Block Copolymer Thin Films under Lithographic Confinement
Bertagnolli, EmmerichCharacterization of Electrophysiological Properties of Neurites using a Microfluidic-Microelectronic Platform
Characterization of QSil 216 and QSil 218 for Microfluidic and Biomedical Applications
Expanding nanomagnetic logic into the third dimension - new pathways via FEBID
Focused Electron Beam Induced Etching - Advantages, Features and Limitations of FEBIE with Chlorine
Ion-dose controlled etching of Nanoimprint stamps for the fabrication of Fresnell lenses
Nanomedicine of isolated axons - Electrical activity of individual neurites growing in a microfluidic channel
Towards high purity FEBID gold nanostructures – a comparison of purification approaches
Bertani, PaulDielectrophoresis-assisted 3D nanochannel electroporation for high-throughput cell transfection with dosage control
Biggs, ManusProbing Immune Cell Response to Heterogeneous Rigidity at the Nanoscale
Bilenberg, BrianNovel Structuring Process for Injection Molding Inserts By Free-Form Reverse Nanoimprint Lithography
Blachut, GregoryHigh Chi Block Co-polymers for Lithography
Black, CharlesDirected Assembly of Multiple Pattern Morphologies Using Block Copolymer Blends
Blancquaert, YoannMultiple e-beam direct write enters pre-production mode
Bobko, ChristopherFabrication and Mechanical Properties of Porous 3D Nanostructures
Boehme, LindsayEvaluating Process Parameters for Liquid Phase Electron Beam Induced Etching of Copper
Bol, AgeethLithography-free fabrication of graphene devices
Boltasseva, AlexandraUltra-thin, Smooth and Low loss Al-doped Ag Film and its Application in Plasmonic Interconnects
Boreyko, JonathanAir-Stable Droplet Interface Bilayers
Borsali, RedouaneHigh-χ Bio-Based Block Copolymers for Self-Assembled Nano-Lithography
Borselli, MatthewExchange-only Qubits in Si/SiGe Quantum Dots Patterned Using Electron-Beam Lithography
Bosch, EricA New In-situ Broad Ion Beam, With Energy Range 1 – 500 eV
Botman, AurelienHydrogen-beam induced deposition of platinum and tungsten microstructures
Bouet, N.1.5 nm fabrication of test patterns for characterization of metrological systems
Bourhis, EricA new Liquid Metal Ion Source configuration for improving Focused Ion Beams machines.
Brainard, RobertCross Section of Photo Acid Generators (PAGs) in EUV Photoresists vs. Electron Beam Energies
Brannaka, JosephDesigning Precursors for the Deposition Technique: CVD vs. EBID
Briggs, DayrlRealization of 2D and 3D All-Dielectric Optical Metamaterials
Bruchhaus, LarsA new Liquid Metal Ion Source configuration for improving Focused Ion Beams machines.
Brueck, S.R.JScatterometry of a 50-nm Half Pitch Wire Grid Polarizer
Brugger, JürgenCombining UV-nanoimprint lithography and inkjet printing for the fabrication of monolithic micro-optical components
Budden, MatthiasSelective Laser Ablation in Resists and Block Copolymers for High Resolution Lithographic Patterning
Buitrago, ElizabethTowards sub-10 nm node by EUV lithography
Bunday, BenA single-column, multi-beam SEM for high-resolution, high-throughput imaging
Patterning of ≤ 16 nm defect arrays with electron beam lithography which are used to develop a high throughput electron beam defect inspection tool
Burek, MichaelFabrication of high quality factor optical nanocavities in bulk single-crystal diamond
Faraday Cage Reactive Ion Etching: Simulation and Experiments
Burns, SeanDirected Self-Assembly Via Shrink Process with Lamella-forming Block Copolymers
Byer, RobertMicrostructure-Induced Laser Acceleration of Free Electrons

C

Cabrini, S.1.5 nm fabrication of test patterns for characterization of metrological systems
Cabrini, StefanoNanoscale Science Research Centers (NSRCs): User facilities for nanoscience and nanotechnology.
Printable Integrated Photonic Devices with a high refractive index
Cadarso, Victor J.Combining UV-nanoimprint lithography and inkjet printing for the fabrication of monolithic micro-optical components
Cadoux, CecileFabrication of high aspect ratio tungsten nanostructures on large area ultrathin c-Si membranes for X rays applications
Cai, HaogangMolecular Occupancy of Nanodot Arrays
Cai, JingxuanThree-dimensional Nanofabrication on Hydrogen Silsesquioxane Using Focused Helium Ion Beam Lithography
Calafiore, G.1.5 nm fabrication of test patterns for characterization of metrological systems
Printable Integrated Photonic Devices with a high refractive index
Camden, JonLaser-induced self-assembly of noble metal nanoparticles and EELS characterization
Cantu, PreciousSubwavelength NanoPatterning via Selective Dissolution of One-Photoisomer
Cao, LeifengFabrication of X-ray reflection sinusoidal grating using fast electron beam direct writing
Cao, XinnanElectronic Quantification of Protein Biomarkers Based on Bead Aggregate Sizing
Impedance Cytometry Based on Multi-fingered Interdigitated Electrodes
Cao, YiImplementation of Surface Energy Modification in Grapho-Epitaxy Directed Self-Assembly for Hole Multiplication
Caputo, RobertoEnhanced adhesion of electron beam resist by grafted monolayer PMMA brush
Carlson, MatthewHigh Chi Block Co-polymers for Lithography
Celiker, OrhanFabrication and Characterization of Membrane Nano-gratings for Electron Diffraction
Chaker, MohamedFabrication of high aspect ratio tungsten nanostructures on large area ultrathin c-Si membranes for X rays applications
Chamiot-Maitral, Gaëlle300mm DSA process qualification and stability
Chan, BTDirected Self-Assembly Process Integration – Fin Patterning Approaches and Challenges
Implementation of Surface Energy Modification in Grapho-Epitaxy Directed Self-Assembly for Hole Multiplication
Chan, E.1.5 nm fabrication of test patterns for characterization of metrological systems
Chang, ChiehHybrid Nanoscale X-ray Imaging
Chang, Chih-HaoFabrication and Mechanical Properties of Porous 3D Nanostructures
Fabrication of Stretchable Transparent Conductors Using Nano-Accordion Structures
Fabrication of Thin Metallic Nanostructures Using Atomic Layer Deposition
Chang, Jae-ByumTemplated Self-Assembly of Block Copolymer Thin Films under Lithographic Confinement
Chang, JosephineStand-alone Piezoeletronic Transistor
Chang, LingqianDielectrophoresis-assisted 3D nanochannel electroporation for high-throughput cell transfection with dosage control
Chao, WeilunPatterning of ≤ 16 nm defect arrays with electron beam lithography which are used to develop a high throughput electron beam defect inspection tool
Chaudhary, NarendraCorner2-EPC: A Layout Image Compression Algorithm for Electron Beam Lithography
Chen, Chien-ChunAtomic Resolution Electron Tomography
Chen, ChunhuiIntegrated On-Chip Energy Storage Using Porous-Silicon Electrochemical Capacitors
Chen, HaoSignificantly-Enhanced Light Extraction and Power Efficiency of Red Organic Light- Emitting Diode by Nano-Mesh Fabricated by Large-Area Nanoimprint
Chen, KylePhotoluminescence of Sequential Infiltration Synthesized ZnO nanostructures
Chen, LongUltra-thin, Smooth and Low loss Al-doped Ag Film and its Application in Plasmonic Interconnects
Chen, MikaiFabrication of Consistent MoS2 Biosensors for Quantifying Cancer-Related Biomarker Molecules with Femtomolar-Level Detection Limit
Fabrication of Transition Metal Dichalcogenide Photovoltaic Devices Using Surface-Charge Transfer (SCT) Doping Mechanism
Multilayer Transition Metal Dichalcogenide Device Arrays Fabricated Using Nanoimprint-Assisted Shear Exfoliation (NASE)
Chen, PengyuFabrication of Consistent MoS2 Biosensors for Quantifying Cancer-Related Biomarker Molecules with Femtomolar-Level Detection Limit
Chen, RenkunFabrication of Nano-Sized MTJ Array for MRAM Devices
Massively Parallel Silicon Micro-Patterning and Thin Slicing by Magnetically Guided Etching
Sensitivity of Thermo-Moisture Responsive Shape Memory Polymer Controlled by Imprinted Nano-Micro Patterns
Chen, RongIntegrated On-Chip Energy Storage Using Porous-Silicon Electrochemical Capacitors
Chen, XiFabrication of super-lyophobic surface on thermoplastic substrates with hybrid micro/nano-scale overhang structures
Planar interference lithography by exploiting high-k modes
Chen, XiaoHafnium oxide resistive memory based on cross-bar structures down to sub-20 nm dimensions
High performance lithium niobate surface acoustic wave transducers exceeding 10 GHz resonant frequency
Chen, YanFabrication of super-lyophobic surface on thermoplastic substrates with hybrid micro/nano-scale overhang structures
Chen, Yifang20 nm Flip Blazed Fresnel zone plates by a PMMA/ZEP bilayer technique
A novel PMMA/NEB bilayer process for sub-20 nm metallic nanoslits by electron beam lithography and dry etch
A Study of Nanoimprinted Color Filter with Ultra High Resolution
Gray scale electron beam lithography for photon-nanojet based nanolens with super resolution lithography prospect
Stencil lithography for damage free fabrication of short channel photo conductive devices in graphene
Chen, YongHigh contrast gratings for 3D additive manufacture
Chen, YuluLine Edge Roughness Frequency Analysis during Pattern Transfer in Semiconductor Fabrication
Chen, ZhaohuiIntegrated On-Chip Energy Storage Using Porous-Silicon Electrochemical Capacitors
Cheng, JoyDirected Self-Assembly Via Shrink Process with Lamella-forming Block Copolymers
Selective neutralization for neutral last grapho-epitaxy directed self-assembly
Cheng, XingVolume-expansion polymerization for UV-curable nanoimprint
Cheng, Yao-TeA Colored Cesium Iodide Photocathode Excited by 405 nm Irradiation
Cheng, ZhaohuiModeling of Local Dielectric Charging-up during SEM Observation
Chernev, BorilFocused Ion Beam Processing of Polymers: Pushing the Limits by Alternative Patterning Strategies
Cherqui, CharlesLaser-induced self-assembly of noble metal nanoparticles and EELS characterization
Chevalier, Xavier300mm DSA process qualification and stability
Chi, ChengDirected Self-Assembly Via Shrink Process with Lamella-forming Block Copolymers
Cho, BoklaeLow Energy Performance of a SEM Using a New Monochromator with Double Offset Cylindrical Lenses
Cho, Seong-YongNanopore perforation in various membrane material by focused electron beam in transmission electron microscope
Choi, ChulminFabrication of Nano-Sized MTJ Array for MRAM Devices
Massively Parallel Silicon Micro-Patterning and Thin Slicing by Magnetically Guided Etching
Sensitivity of Thermo-Moisture Responsive Shape Memory Polymer Controlled by Imprinted Nano-Micro Patterns
Choi, Hong KyoonTemplated Self-Assembly of Block Copolymer Thin Films under Lithographic Confinement
Choi, JinA Practical Approach to Modeling Scanning Electron Microscope Images for Minimization of Line Edge Roughness and Critical Dimension Error
Analytic Derivation and Minimization of Line Edge Roughness in Electron-beam Lithography
Choi, Seong SooOptical Transmission Via Elliptically Patterned Grooves on Pyramidal Nano-aperture
Choi, Sung WoongA study of characteristics of a microcolumn based on CNT emitters
Chou, StephenSignificantly-Enhanced Light Extraction and Power Efficiency of Red Organic Light- Emitting Diode by Nano-Mesh Fabricated by Large-Area Nanoimprint
Chu, YiwenFabrication of high quality factor optical nanocavities in bulk single-crystal diamond
Chun, Dong WonFabrication of Nano-Sized MTJ Array for MRAM Devices
Chun, DongwonMassively Parallel Silicon Micro-Patterning and Thin Slicing by Magnetically Guided Etching
Cinquanta, EugenioEncapsulated Delamination Transfer and Nanofabrication of Silicene Field-Effect Transistors
Clendenning, ScottIntegrated On-Chip Energy Storage Using Porous-Silicon Electrochemical Capacitors
Coady, DanielNanometer precise overlay for sub-20nm thermal scanning probe lithography
Colburn, MatthewDirected Self-Assembly Via Shrink Process with Lamella-forming Block Copolymers
Selective neutralization for neutral last grapho-epitaxy directed self-assembly
Collier, PatAir-Stable Droplet Interface Bilayers
Collins, PhilipSingle Molecule Bioelectronics using Carbon Nanotube Circuits
Con, CelalPMMA resist containing metal salt for enhanced dry etching resistance
Ultra high aspect ratio sub-50 nm deep silicon trenches by photo-assisted electrochemical etching
Ultra-high resolution nanofabrication using self assembly of salt-polymer nanocomposite film
Conley, R. 1.5 nm fabrication of test patterns for characterization of metrological systems
Connors, BailiEffect of Iron-Doped Apatite Nanoparticles on a Eukaryotic Host-Virus System
Constancias, ChristopheExperimental Beam Blur characterization in CAR resist for E-beam lithography at 5kV and 100kV using spectral analysis
Fabrication of high aspect ratio tungsten nanostructures on large area ultrathin c-Si membranes for X rays applications
Multiple e-beam direct write enters pre-production mode
Conway, JamesHigh performance lithium niobate surface acoustic wave transducers exceeding 10 GHz resonant frequency
Cooke, MikeNano Pattern Transfer into Si and ITO using masks made by Electron Beam Induced Deposition
Cooper, RyanProbing Immune Cell Response to Heterogeneous Rigidity at the Nanoscale
Copel, MatthewStand-alone Piezoeletronic Transistor
Coppersmith, SusanNanofabrication of singlet-triplet qubit in Si/SiGe quantum dots with integrated micromagnets
Costales, MayaDevelopment Characteristics of Polymethyl Methacrylate in Alcohol/Water Mixtures
Cottle, HongyunDirected Self-Assembly Via Shrink Process with Lamella-forming Block Copolymers
Cress, C.Graphene-derived Materials for NEMS
Cui, BoElectron beam lithography on irregular surface using grafted PMMA brush
Enhanced adhesion of electron beam resist by grafted monolayer PMMA brush
Neutral surface prepared by vapor phase coating for PS-b-PMMA self assembly
PMMA resist containing metal salt for enhanced dry etching resistance
Ultra high aspect ratio sub-50 nm deep silicon trenches by photo-assisted electrochemical etching
Ultra-high resolution nanofabrication using self assembly of salt-polymer nanocomposite film
Culbertson, J.Graphene-derived Materials for NEMS
Cummings, KevinPatterning of ≤ 16 nm defect arrays with electron beam lithography which are used to develop a high throughput electron beam defect inspection tool
Czaplewski, DavidPost-Directed-Self-Assembly Membrane Fabrication for In-situ Analysis of Block Copolymer Structures

D

Dahlberg, CarlProbing Immune Cell Response to Heterogeneous Rigidity at the Nanoscale
Dahmen, UlrichAtomic Resolution Electron Tomography
Dallorto, StefanoPrecise measurement of chromium dry etching rate at low temperatures for ultimate profile control
Dal'Zotto, B.Experimental Beam Blur characterization in CAR resist for E-beam lithography at 5kV and 100kV using spectral analysis
Dandley, ErinnFabrication and Mechanical Properties of Porous 3D Nanostructures
Fabrication of Stretchable Transparent Conductors Using Nano-Accordion Structures
Daniels-Race, ThedaNano Cost Nano Patterned Template for Surface Enhanced Raman Scattering
Datta, AnurupParallel near field optical lithography with sub wavelength resolution using a massive array of bowtie antennas
Davanco, MarceloImaging Nanophotonic Modes of Microresonators using a Focused Lithium Ion Beam
de Boer, GuidoMultiple e-beam direct write enters pre-production mode
De Teresa, JoseGrowth of functional magnetic and superconducting materials by Focused Beam Induced Deposition techniques
de Toonder, JaapAdvances in 3D neuronal cell culture
Delachat, FlorianFabrication of high aspect ratio tungsten nanostructures on large area ultrathin c-Si membranes for X rays applications
Delenia, EugeneDirected Self-Assembly Via Shrink Process with Lamella-forming Block Copolymers
Delgado, CyndiQuantitative Analysis of Digital STM Lithography Precision
Delprat, SebastienFabrication of high aspect ratio tungsten nanostructures on large area ultrathin c-Si membranes for X rays applications
Denbeaux, GregoryCross Section of Photo Acid Generators (PAGs) in EUV Photoresists vs. Electron Beam Energies
Deng, Biao20 nm Flip Blazed Fresnel zone plates by a PMMA/ZEP bilayer technique
Deng, ShaozhiGated Si Tip Field Electron Emitter with Integrated Nano-Conduction-Channel
Dey, RiponElectron beam lithography on irregular surface using grafted PMMA brush
Enhanced adhesion of electron beam resist by grafted monolayer PMMA brush
Dhima, KhalidA flexible hybrid stamp for T-NIL based on OrmoStamp
Procedure for high temperature nanoimprint of organic semi-conducting polymer
Thermal wrinkling of nanoimprinted SU-8 with masked UV-exposure
Dhuey, S.Printable Integrated Photonic Devices with a high refractive index
Dhuey, ScottPrecise measurement of chromium dry etching rate at low temperatures for ultimate profile control
Di Prima, MatthewDirect photo-patterning of thiol-ene thermoset polymer thin film structures by DLP lithography
Ding, JunjunTransferring Graphene Nanostructures onto a Transparent Flexible Substrate
Ding, WeiSignificantly-Enhanced Light Extraction and Power Efficiency of Red Organic Light- Emitting Diode by Nano-Mesh Fabricated by Large-Area Nanoimprint
Divan, RaluPost-Directed-Self-Assembly Membrane Fabrication for In-situ Analysis of Block Copolymer Structures
Progress in electron-beam-lithography-fabricated Fresnel zone plates on diamond membranes for hard X-ray focusing
ZnO Functionalization of Multi-walled Carbon Nanotubes for Methane Sensing at Single PPM Concentration Levels
Do, Hyung WanTemplated Self-Assembly of Block Copolymer Thin Films under Lithographic Confinement
Dobisz, E. A.Hybrid Nanoscale X-ray Imaging
Dobson, PhillipFabrication of Topography-Free Samples for Thermal Spatial Resolution Measurement of Scanning Thermal Microscopy
Doise, JanImplementation of Surface Energy Modification in Grapho-Epitaxy Directed Self-Assembly for Hole Multiplication
Doktycz, MitchMultiscale fluidic architectures for chemical manipulations of biological domains across length scales
Doktycz, MitchelFabrication of Nanoporous Membranes for Tuning Microbial Interactions and Biochemical Reactions
Microfluidic Exchange Devices for Cell-free Reactions
Drbohlavová, JanaDetermination of Mechanical, Electrical and Surface Properties of an Individual Carbon Nanotube by Single Measurement
Du, KeTransferring Graphene Nanostructures onto a Transparent Flexible Substrate
Duerig, UrsNanometer precise overlay for sub-20nm thermal scanning probe lithography
Durand, WilliamHigh Chi Block Co-polymers for Lithography
Duyen, HuynhA single protein resolution mapping of DNA-ZFP interaction using solid-state nanopores

E

Earley, WilliamCross Section of Photo Acid Generators (PAGs) in EUV Photoresists vs. Electron Beam Energies
Ekinci, YasimPatterned freestanding Carbon Nanomembranes and Graphene via Extreme UV interference Lithography
Ekinci, YasinTowards sub-10 nm node by EUV lithography
Ellison, ChristoperHigh Chi Block Co-polymers for Lithography
Emaminejad, SamImpedance Cytometry Based on Multi-fingered Interdigitated Electrodes
Engelmann, SebastianSelective neutralization for neutral last grapho-epitaxy directed self-assembly
Englund, DirkDeterministic Creation of Closely-Spaced Single NV Centers in Diamond
Ercius, PeterAtomic Resolution Electron Tomography
Eriksson, MarkNanofabrication of singlet-triplet qubit in Si/SiGe quantum dots with integrated micromagnets
Esfandiarpour, SamanehFocused Electron Beam Induced Deposition of Copper from Aqueous Solutions in Micro-wells

F

F. Bent, StaceyStrategies for selective deposition and selective etching of metal oxide materials on patterned substrates
Fainman, YashaiahuAll-optical control of magnetization in various metallic magnetic systems
Fairbrother, D. HowardDesigning Precursors for the Deposition Technique: CVD vs. EBID
Fallahi, AryaSpatial-Mapping of Photoemission from Plasmonic Nanoparticle Arrays
Fallica, RobertoTowards sub-10 nm node by EUV lithography
Fan, LinranChip-scale Cavity Electro-optomechanics with Aluminum Nitride
Fan, ZengjuVolume-expansion polymerization for UV-curable nanoimprint
Farinas, E. Direct Electron Transfer From Single Enzymes to Single Wall Carbon Nanotubes
Farrell, RichardDirected Self-Assembly Via Shrink Process with Lamella-forming Block Copolymers
Farrow, ReginaldDirect Electron Transfer From Single Enzymes to Single Wall Carbon Nanotubes
Fedorov, AndreiFOCUSED ELECTRON BEAM INDUCED PROCESSING VIA MULTI-MODE ENERGIZED MICRO/NANO-JETS TO ENABLE ADVANCES IN GRAPHENE NANOELECTRONICS
Feldman, MartinNano Cost Nano Patterned Template for Surface Enhanced Raman Scattering
Felix, NelsonDirected Self-Assembly Via Shrink Process with Lamella-forming Block Copolymers
Feng, HongtaoFabrication of super-lyophobic surface on thermoplastic substrates with hybrid micro/nano-scale overhang structures
Fernandez, AriadnaNovel Structuring Process for Injection Molding Inserts By Free-Form Reverse Nanoimprint Lithography
Ferreira, PlacidDirect Writing of Silver Nanostructures and Painting on Phosphate Glass with Electron Beam Irradiation
Fillot, Q.Printable Integrated Photonic Devices with a high refractive index
Fisher, FrankTransferring Graphene Nanostructures onto a Transparent Flexible Substrate
Fisher, JeffreyFOCUSED ELECTRON BEAM INDUCED PROCESSING VIA MULTI-MODE ENERGIZED MICRO/NANO-JETS TO ENABLE ADVANCES IN GRAPHENE NANOELECTRONICS
Fleury, Guillaume300mm DSA process qualification and stability
Floris, ChristianElectron Beam Induced High Resolution Biofunctionalised Nanopatterns
Foerthner, MichaelNovel UV-curable Hybrid Polymers with Elevated Refractive Index and Improved PDMS-compatibility
Fouchier, MarcExperimental Beam Blur characterization in CAR resist for E-beam lithography at 5kV and 100kV using spectral analysis
Fourkas, JohnPrinciples and Promise of Multicolor, Visible-Light Nanolithography
Fowlkes, JasonElectron beam induced oxidation of direct–write deposits: a simulation
Electron Stimulated Purification of Platinum Nanostructures Grown Via Focused Electron Beam Induced Deposition
High-Fidelity Shapes and Disruption Mechanism during Focused Electron Beam Induced Deposition
Laser Assisted Electron Beam Induced Deposition: Towards a Nanoscale Atomic Layer Deposition Process
Laser Induced Liquid Phase Instabilities: Transition From Single Particle Coalescence To Multi-Particle Breakup of Nickel Nano-Rivulets and Programming Instabilities
Laser-induced self-assembly of noble metal nanoparticles and EELS characterization
Francone, AchilleNovel Structuring Process for Injection Molding Inserts By Free-Form Reverse Nanoimprint Lithography
Fridmann, JoelImproved Instrumentation and Patterning Strategies for Extended and Continuous FIB Nanofabrication
Friedman, A.Graphene-derived Materials for NEMS
Friesen, MarkNanofabrication of singlet-triplet qubit in Si/SiGe quantum dots with integrated micromagnets
Frimat, Jean-PhilippeAdvances in 3D neuronal cell culture
Fröch, JohannesFocused Ion Beam Processing of Polymers: Pushing the Limits by Alternative Patterning Strategies
Fu, ZugenOrigin of insoluble residual in ZEP520 electron-beam resist development
Fuchs, EhudQuantitative Analysis of Digital STM Lithography Precision
Fujii, KazuoImpact of side wall angle of mold pattern on release force in nanoimprint lithography
Fullerton, EricAll-optical control of magnetization in various metallic magnetic systems
Furukawa, TsuyoshiDirected Self-Assembly Via Shrink Process with Lamella-forming Block Copolymers
Furukawa, YukiComputational Study of Resist Pattern Shrinkage under CD-SEM Observation

G

Gaathon, OphirDeterministic Creation of Closely-Spaced Single NV Centers in Diamond
Gadelrab, KarimNano Mesh Patterns by BCP Self-Templating
Gallego-Perez, DanielDielectrophoresis-assisted 3D nanochannel electroporation for high-throughput cell transfection with dosage control
Gamble, JohnNanofabrication of singlet-triplet qubit in Si/SiGe quantum dots with integrated micromagnets
Gao, BoDNA Origami as Molecular Circuit Boards: Attachment, Patterning, and Stability
Garbowski, TomaszA single-column, multi-beam SEM for high-resolution, high-throughput imaging
Garcia-Sandoval, AldoA thiol-ene / acrylate thermoset polymer as flexible substrate for implantable electronics
Gardner, DonaldIntegrated On-Chip Energy Storage Using Porous-Silicon Electrochemical Capacitors
Gavagnin, MarcoExpanding nanomagnetic logic into the third dimension - new pathways via FEBID
Ge, YunfeiFabrication of Topography-Free Samples for Thermal Spatial Resolution Measurement of Scanning Thermal Microscopy
Geier, BarbaraElectron beam induced oxidation of direct–write deposits: a simulation
Gergaux, PatriceFabrication of high aspect ratio tungsten nanostructures on large area ultrathin c-Si membranes for X rays applications
Geurts, RemcoA New In-situ Broad Ion Beam, With Energy Range 1 – 500 eV
Gharbi, Ahmed300mm DSA process qualification and stability
Gibb, AshleyElectron-Beam Induced Atomic-Scale Defects in 2D Materials at Elevated Temperatures using In Situ Transmission Electron Microscopy
Gierak, JacquesA new Liquid Metal Ion Source configuration for improving Focused Ion Beams machines.
Gilbert, MattElectron-Beam Induced Atomic-Scale Defects in 2D Materials at Elevated Temperatures using In Situ Transmission Electron Microscopy
Goekdeniz, ZeynepFocused Electron Beam Induced Etching - Advantages, Features and Limitations of FEBIE with Chlorine
Towards high purity FEBID gold nanostructures – a comparison of purification approaches
Goetze, BernhardBackside circuit edit with gas assisted etching on a platform with multiple focused ion beams
Goldberg, KennethDemonstration of below 30-nm half pitch resolution at the SHARP microscope
Micro-optics at the diffraction limit: design for manufacture
Goltsov, A.Printable Integrated Photonic Devices with a high refractive index
Gölzhäuser, ArminPatterned freestanding Carbon Nanomembranes and Graphene via Extreme UV interference Lithography
Goodman, SarahLithographic engineering of volume plasmons
Goodyear, AndyNano Pattern Transfer into Si and ITO using masks made by Electron Beam Induced Deposition
Gopalakrishnan, SingaramCell Migration Direction Switched by Angular Gratings
Goswami, SirjitProtection of graphene against helium-ion-induced damage by h-BN encapsulation
Gosztola, DavidAutomated Geometry assisted PEC for electron beam direct write nanolithography
Development Characteristics of Polymethyl Methacrylate in Alcohol/Water Mixtures
Photoluminescence of Sequential Infiltration Synthesized ZnO nanostructures
Goto, YusukeTranslocation of Single Stranded DNA through Nano-Cylindrical PEO Passage Self-Assembled by Amphiphilic Block Copolymer
Gotszalk, TeodorDesign, technology and application of piezoresistive scanning thermal probe for nanoscale investigations
Focused ion beam fabrication of planar probes for high resolution shear force microscopy
Grabiec, PiotrDesign, technology and application of piezoresistive scanning thermal probe for nanoscale investigations
Focused ion beam fabrication of planar probes for high resolution shear force microscopy
Grazianetti, CarloEncapsulated Delamination Transfer and Nanofabrication of Silicene Field-Effect Transistors
Grbic, AnthonyBreaking Malus’ Law: Enhancing Asymmetric Light Transmission with Metasurfaces
Greer, Clayton M.Microfluidic platform for studies of self-organizing processes in a bacterial cell
Greer, JuliaMaterials by Design: 3-Dimensional Architected Nanostructured Meta-Materials
Gronheid, RoelDirected Self-Assembly Process Integration – Fin Patterning Approaches and Challenges
Implementation of Surface Energy Modification in Grapho-Epitaxy Directed Self-Assembly for Hole Multiplication
Gruetzner, GabiNovel UV-curable Hybrid Polymers with Elevated Refractive Index and Improved PDMS-compatibility
Grütter, PeterFabrication of Silicon-on-Insulator Blazed-Grating Optical Couplers using a Thermal Scanning Probe System
Grützner, GabiCombining UV-nanoimprint lithography and inkjet printing for the fabrication of monolithic micro-optical components
Towards a Novel Positive Tone Resist mr-PosEBR for High Resolution Electron Beam Lithography
Grützner, SusanneCombining UV-nanoimprint lithography and inkjet printing for the fabrication of monolithic micro-optical components
Grzeskowiak, StevenCross Section of Photo Acid Generators (PAGs) in EUV Photoresists vs. Electron Beam Energies
Gu, ChangzhiControllable Synthesis of Single Conducting Polymer Nanowire on electrodes Fabricated by Focused Ion Beam Milling
Tip-Enhanced Surface Enhanced Raman Scattering on Gold Nanoparticle Decorated Silicon Microcone Array Substrate for DNA Sensing
Guillorn, MichaelSelective neutralization for neutral last grapho-epitaxy directed self-assembly
Gundel, LaraZnO Functionalization of Multi-walled Carbon Nanotubes for Methane Sensing at Single PPM Concentration Levels
Gunderson, KevinAdvances in scaling of genomic assays: The role of nanofabrication in the past, present, and future evolution of genome technology.
Gunkel, IljaSelective Laser Ablation in Resists and Block Copolymers for High Resolution Lithographic Patterning
Guo, L. JayBreaking Malus’ Law: Enhancing Asymmetric Light Transmission with Metasurfaces
Planar interference lithography by exploiting high-k modes
Scalable and high-throughput 2D nanopatterning via sequential combination of continuous 1D patterning strokes
Ultra-thin, Smooth and Low loss Al-doped Ag Film and its Application in Plasmonic Interconnects
Guo, RuiA Practical Approach to Modeling Scanning Electron Microscope Images for Minimization of Line Edge Roughness and Critical Dimension Error
Analytic Derivation and Minimization of Line Edge Roughness in Electron-beam Lithography
Gupta, AshuZnO Functionalization of Multi-walled Carbon Nanotubes for Methane Sensing at Single PPM Concentration Levels
Gustafson, JohnIntegrated On-Chip Energy Storage Using Porous-Silicon Electrochemical Capacitors
Guttmann, MarkusNovel Structuring Process for Injection Molding Inserts By Free-Form Reverse Nanoimprint Lithography

H

Hadziioannou, Georges300mm DSA process qualification and stability
Hagen, CornelisCombined Electron Beam Induced Deposition and Etching for 3D shape control
Electron Beam Induced High Resolution Biofunctionalised Nanopatterns
Fabrication of metal nano-antennas with sub-10nm gap by using electron-beam induced deposition etch masks
Nano Pattern Transfer into Si and ITO using masks made by Electron Beam Induced Deposition
Parallel Secondary Electron Imaging in a Multi-Beam SEM
Hailer, KatieEffect of Iron-Doped Apatite Nanoparticles on a Eukaryotic Host-Virus System
Halila, SamiHigh-χ Bio-Based Block Copolymers for Self-Assembled Nano-Lithography
Hallstein, Roy Exploring Neon GFIS Nano-Machining Applications in Circuit Edit
Hamaguchi, TetsuyaMetallic Nanostructures Controlled by Dewetting Thin Film on Patterned Ceramic Surface
Hamaguchi, TetusyaDamascene of metallic wires on imprinted flexible substrate
Han, Chul HeeOptical Transmission Via Elliptically Patterned Grooves on Pyramidal Nano-aperture
Han, Il KiReusable Moth-Eye nano-patterned PDMS sticker as a versatile function of coating for photovoltaics.
Han, Sang HoonOptical Transmission Via Elliptically Patterned Grooves on Pyramidal Nano-aperture
Han, Sang WooLarge-scale formation of three-dimensional plasmonic nanodishes using nanoimprint lithography
Hannah, EricIntegrated On-Chip Energy Storage Using Porous-Silicon Electrochemical Capacitors
Hansen, RyanMicrowell arrays for high-throughput investigation of microbial interactions
Hao, HanfangSolid Immersion Optics for Surface Plasmon Excitation in a Transmission Mode Photoemission Electron Microscope
Hao, LinStencil lithography for damage free fabrication of short channel photo conductive devices in graphene
Haraguchi, T.Development of a column using permanent magnet lens for a multi-axis maskless E-beam lithography system
Harder, IrinaTowards a Novel Positive Tone Resist mr-PosEBR for High Resolution Electron Beam Lithography
Hardman, RobLithographic Evaluation of gL-2000: A High-Resolution Resist for Electron-Beam Lithography
Hari, SangeethaCombined Electron Beam Induced Deposition and Etching for 3D shape control
Electron Beam Induced High Resolution Biofunctionalised Nanopatterns
Harris, JamesMicrostructure-Induced Laser Acceleration of Free Electrons
Hartley, JohnPatterning of ≤ 16 nm defect arrays with electron beam lithography which are used to develop a high throughput electron beam defect inspection tool
Hartnett, ChrisLaser Induced Liquid Phase Instabilities: Transition From Single Particle Coalescence To Multi-Particle Breakup of Nickel Nano-Rivulets and Programming Instabilities
Harukawa, RyotaDirected Self-Assembly Via Shrink Process with Lamella-forming Block Copolymers
Haruyama, YuichiTemperature dependence of molecular orientation of liquid crystalline polymer induced by nanoimprint-graphoepitaxy
Hasan, FarhanNovel Resist for Electron Beam Lithography on Insulating Substrates
Hashemi, AzadehFabrication of Free-standing Casein Microstructures with ‎Bioimprinted Cellular Surface Features
Hashemi, FatemehStrategies for selective deposition and selective etching of metal oxide materials on patterned substrates
Hastings, ToddEvaluating Process Parameters for Liquid Phase Electron Beam Induced Etching of Copper
Focused Electron Beam Induced Deposition of Copper from Aqueous Solutions in Micro-wells
Teflon AF Patterning using Variable Pressure Electron-Beam Lithography
Hattori, AzusaRapid growth in 30 seconds of thermally induced microphase-separation of PS-b-PMMA for directed self-assembly lithography
Havelka, MiloslavImprovement of Xe Plasma FIB Resolution and its Integration with Electron and Photon Beams
Hayashi, HidetakaProton beam writing on polyvinylidene difluoride films for high-aspect-ratio micro-structuring
Hayashi, TatsuyaResidual layer less nano-transfer by roll press and liquid transfer imprint lithography
He, JianStudies on the fully automated printing/imprint process using a double-side patterned soft stamp
Hedrick, JamesNanometer precise overlay for sub-20nm thermal scanning probe lithography
Heerkens, CarelFabrication of metal nano-antennas with sub-10nm gap by using electron-beam induced deposition etch masks
Laser triggered microfabricated Ultrafast Beam Blanker
Henderson, CliffordSelective Laser Ablation in Resists and Block Copolymers for High Resolution Lithographic Patterning
Henry, MatthewFOCUSED ELECTRON BEAM INDUCED PROCESSING VIA MULTI-MODE ENERGIZED MICRO/NANO-JETS TO ENABLE ADVANCES IN GRAPHENE NANOELECTRONICS
Herfst, RodolfHelium-ion-beam-induced growth of 3-dimensional AFM probes
Hesselink, LambertusA Colored Cesium Iodide Photocathode Excited by 405 nm Irradiation
Hetzer, DavidDirected Self-Assembly Via Shrink Process with Lamella-forming Block Copolymers
Hinds, BruceCarbon nanotube membranes as the active element in remotely programmable transdermal addiction treatment device
Hirai, YoshihikoComputational Study of Resist Pattern Shrinkage under CD-SEM Observation
Computational study on 3-Dimensional Imaging by Advanced Built-in Lens Mask (BILM) Lithography
High Aspect Nanopore Array Fabrication by Nanoimprint Employing Novel Demolding Process
Impact of side wall angle of mold pattern on release force in nanoimprint lithography
Molecular Dynamics Study of Line Edge Roughness in Nanoimprint Lithography
Hirano, RyoichiSimulation technique for pattern inspection using projection electron microscope
Hiroshiba, NobuyaRapid growth in 30 seconds of thermally induced microphase-separation of PS-b-PMMA for directed self-assembly lithography
Hishiro, YoshiNovel EUV resist development for sub-14 nm half pitch
Hobbs, Richard3D Nanofabrication by Geometrically-Confined Helium Ions in Diamond Nanostructures
Fabrication and Characterization of Membrane Nano-gratings for Electron Diffraction
Lithographic engineering of volume plasmons
Lithographic Evaluation of gL-2000: A High-Resolution Resist for Electron-Beam Lithography
Spatial-Mapping of Photoemission from Plasmonic Nanoparticle Arrays
Hobisch, JosefineFocused Ion Beam Processing of Polymers: Pushing the Limits by Alternative Patterning Strategies
Hodgkiss, JustinCarbon nanotube field effect transistor apatasensors for estrogen detection in liquids
Holzner, FelixFabrication of Silicon-on-Insulator Blazed-Grating Optical Couplers using a Thermal Scanning Probe System
Holzwarth, CharlesIntegrated On-Chip Energy Storage Using Porous-Silicon Electrochemical Capacitors
Honda, NaoLithographic Evaluation of gL-2000: A High-Resolution Resist for Electron-Beam Lithography
Hono, KazuhiroAll-optical control of magnetization in various metallic magnetic systems
Hoogenboom, JacobElectron Beam Induced High Resolution Biofunctionalised Nanopatterns
Fabrication of metal nano-antennas with sub-10nm gap by using electron-beam induced deposition etch masks
Laser triggered microfabricated Ultrafast Beam Blanker
Hosoda, RisaTemperature dependence of molecular orientation of liquid crystalline polymer induced by nanoimprint-graphoepitaxy
Hou, Hsuan-ChaoNano Cost Nano Patterned Template for Surface Enhanced Raman Scattering
Houston, B.Graphene-derived Materials for NEMS
Howitz, SteffenStudies on the fully automated printing/imprint process using a double-side patterned soft stamp
Hrnčíř, TomášImprovement of Xe Plasma FIB Resolution and its Integration with Electron and Photon Beams
Hu, WalterPost Fabrication of Foundry-Fabricated CMOS Serpentine Nanowire Biosensor with Focused Ion Beam
Huang, YileiFabrication of X-ray reflection sinusoidal grating using fast electron beam direct writing
Huang, ZhijunGated Si Tip Field Electron Emitter with Integrated Nano-Conduction-Channel
Hubálek, JaromírDetermination of Mechanical, Electrical and Surface Properties of an Individual Carbon Nanotube by Single Measurement
Humayun, Md TanimZnO Functionalization of Multi-walled Carbon Nanotubes for Methane Sensing at Single PPM Concentration Levels
Hwang, Kyung-JunFabrication of Nano-Sized MTJ Array for MRAM Devices
Sensitivity of Thermo-Moisture Responsive Shape Memory Polymer Controlled by Imprinted Nano-Micro Patterns
Hwang, Nong-moonIn situ observation of Au nanoparticles behavior on different substrate during e-beam irradiation

I

Iberi, VighterLithography and in situ elucidation of conductivity in graphene structures using scanning helium ion microscopy
Iida, SusumuSimulation technique for pattern inspection using projection electron microscope
Iqbal, Z.Direct Electron Transfer From Single Enzymes to Single Wall Carbon Nanotubes
Ito, A.Development of a column using permanent magnet lens for a multi-axis maskless E-beam lithography system
Iwasaki, ShikoMetallic Nanostructures Controlled by Dewetting Thin Film on Patterned Ceramic Surface
Iwata, NaoyaMolecular Dynamics Study of Line Edge Roughness in Nanoimprint Lithography

J

Jacobs, KyleDirect Writing of Silver Nanostructures and Painting on Phosphate Glass with Electron Beam Irradiation
Jacobsen, ChrisMultilayer on-chip stacked zone plates with high aspect ratio for hard X-ray nanoscale imaging
Jacot-Descombes, LoïcCombining UV-nanoimprint lithography and inkjet printing for the fabrication of monolithic micro-optical components
Jang, TaeheeBreaking Malus’ Law: Enhancing Asymmetric Light Transmission with Metasurfaces
Janus, PawelFocused ion beam fabrication of planar probes for high resolution shear force microscopy
Janus, PawełDesign, technology and application of piezoresistive scanning thermal probe for nanoscale investigations
Jarnagin, NathanSelective Laser Ablation in Resists and Block Copolymers for High Resolution Lithographic Patterning
Javanmard, MehdiElectronic Quantification of Protein Biomarkers Based on Bead Aggregate Sizing
Impedance Cytometry Based on Multi-fingered Interdigitated Electrodes
Jede, RalfA new Liquid Metal Ion Source configuration for improving Focused Ion Beams machines.
Jena, DebdeepNanoelectronic Devices with Layered Semiconductors: Challenges, and advances in Fabrication and Performance
Jennings, AnnaMicrofluidic platform for studies of self-organizing processes in a bacterial cell
Jeon, Chan-UkA Practical Approach to Modeling Scanning Electron Microscope Images for Minimization of Line Edge Roughness and Critical Dimension Error
Analytic Derivation and Minimization of Line Edge Roughness in Electron-beam Lithography
Jeong, MiraFabrication of the flexible metallic master using LTIL process for roll nanoimprint lithography
Jiang, HaoHighly Reliable Resistive Switching Devices Based on Tantalum-doped Silicon Oxide
Jin, SunghoFabrication of Nano-Sized MTJ Array for MRAM Devices
Massively Parallel Silicon Micro-Patterning and Thin Slicing by Magnetically Guided Etching
Sensitivity of Thermo-Moisture Responsive Shape Memory Polymer Controlled by Imprinted Nano-Micro Patterns
Jin, WeiIntegrated On-Chip Energy Storage Using Porous-Silicon Electrochemical Capacitors
Jiruše, JaroslavImprovement of Xe Plasma FIB Resolution and its Integration with Electron and Photon Beams
Jones, O.Novel Resist for Electron Beam Lithography on Insulating Substrates
Joseph, PraveenNovel Method for Fabrication of Sub-50nm Multi-tier Nanoimprint Lithography Templates
Joshi-Imre, AlexandraA thiol-ene / acrylate thermoset polymer as flexible substrate for implantable electronics
Direct photo-patterning of thiol-ene thermoset polymer thin film structures by DLP lithography
Joy, DavidLithography and in situ elucidation of conductivity in graphene structures using scanning helium ion microscopy
Jozwiak, GrzegorzFocused ion beam fabrication of planar probes for high resolution shear force microscopy
Jugessur, AjuNano-scale intra-cavity defects in photonic crystal microcavity filter for enhancing transmission
Jussot, JulienExperimental Beam Blur characterization in CAR resist for E-beam lithography at 5kV and 100kV using spectral analysis

K

Kahl, MichaelImproved Instrumentation and Patterning Strategies for Extended and Continuous FIB Nanofabrication
Kakinuma, NorihiroInjection-Compression Molding of Nanostructures for Three-Dimensional Cell-Culturing
Kamerbeek, MartinNano Pattern Transfer into Si and ITO using masks made by Electron Beam Induced Deposition
Kanai, HidekiA simulation study on defect annihilation dynamics in directed self-assembly lithography
Electrical Yield Verification of Half Pitch 15 nm Patterns using Directed Self-assembly of PS-b-PMMA
Kanakamedala, KalyanNano Cost Nano Patterned Template for Surface Enhanced Raman Scattering
Kanwal, A.Direct Electron Transfer From Single Enzymes to Single Wall Carbon Nanotubes
Karbasian, GolnazFabrication of Nanodamascene Metallic Single Electron Transistor
Karg, SiegfriedNanometer precise overlay for sub-20nm thermal scanning probe lithography
Kärtner, FranzSpatial-Mapping of Photoemission from Plasmonic Nanoparticle Arrays
Kasahara, YusukeA simulation study on defect annihilation dynamics in directed self-assembly lithography
Electrical Yield Verification of Half Pitch 15 nm Patterns using Directed Self-assembly of PS-b-PMMA
Kawamonzen, YoshiakiA simulation study on defect annihilation dynamics in directed self-assembly lithography
Electrical Yield Verification of Half Pitch 15 nm Patterns using Directed Self-assembly of PS-b-PMMA
Kawamura, DaijiDirected Self-Assembly Via Shrink Process with Lamella-forming Block Copolymers
Kawata, HiroakiComputational Study of Resist Pattern Shrinkage under CD-SEM Observation
Computational study on 3-Dimensional Imaging by Advanced Built-in Lens Mask (BILM) Lithography
High Aspect Nanopore Array Fabrication by Nanoimprint Employing Novel Demolding Process
Impact of side wall angle of mold pattern on release force in nanoimprint lithography
Molecular Dynamics Study of Line Edge Roughness in Nanoimprint Lithography
Kawatsuki, NobuhiroTemperature dependence of molecular orientation of liquid crystalline polymer induced by nanoimprint-graphoepitaxy
Kehagias, NikosNovel Structuring Process for Injection Molding Inserts By Free-Form Reverse Nanoimprint Lithography
Kemen, ThomasA single-column, multi-beam SEM for high-resolution, high-throughput imaging
Kessels, ErwinLithography-free fabrication of graphene devices
Khan, ArshadCost-effective and Solution Processed Fabrication for Metal Mesh Based Flexible Transparent Conducting Electrodes
Kihara, NaokoA simulation study on defect annihilation dynamics in directed self-assembly lithography
Electrical Yield Verification of Half Pitch 15 nm Patterns using Directed Self-assembly of PS-b-PMMA
Kihm, Yong H.Reusable Moth-Eye nano-patterned PDMS sticker as a versatile function of coating for photovoltaics.
Kikuta, HisaoComputational study on 3-Dimensional Imaging by Advanced Built-in Lens Mask (BILM) Lithography
Kim, Chung-Soo3D Nanofabrication by Geometrically-Confined Helium Ions in Diamond Nanostructures
Fabrication and Characterization of Membrane Nano-gratings for Electron Diffraction
Kim, Dae WookA study of characteristics of a microcolumn based on CNT emitters
Kim, Dal-HyunDevelopment of head-scanning atomic force microscope in scanning electron microscope
Kim, DohunNanofabrication of singlet-triplet qubit in Si/SiGe quantum dots with integrated micromagnets
Kim, Dokyun K.All-optical control of magnetization in various metallic magnetic systems
Kim, GanghunA Computational Fluorescent Microscopy Through a Glass Needle
Kim, GeeHongNumerical method using modified squeeze model for NIL
Kim, GunwooFabrication of Nano-Sized MTJ Array for MRAM Devices
Massively Parallel Silicon Micro-Patterning and Thin Slicing by Magnetically Guided Etching
Sensitivity of Thermo-Moisture Responsive Shape Memory Polymer Controlled by Imprinted Nano-Micro Patterns
Kim, Ho SeobA study of characteristics of a microcolumn based on CNT emitters
Kim, Hyung WooA study of characteristics of a microcolumn based on CNT emitters
Kim, HyungjunA single protein resolution mapping of DNA-ZFP interaction using solid-state nanopores
Kim, HyunmiA single protein resolution mapping of DNA-ZFP interaction using solid-state nanopores
Kim, Hyun-MiIn situ observation of Au nanoparticles behavior on different substrate during e-beam irradiation
Nanopore perforation in various membrane material by focused electron beam in transmission electron microscope
Kim, JeonghwanNano Cost Nano Patterned Template for Surface Enhanced Raman Scattering
Kim, Ki-BumA single protein resolution mapping of DNA-ZFP interaction using solid-state nanopores
In situ observation of Au nanoparticles behavior on different substrate during e-beam irradiation
Nanopore perforation in various membrane material by focused electron beam in transmission electron microscope
Kim, Kyoung NanDNA Origami as Molecular Circuit Boards: Attachment, Patterning, and Stability
Kim, MingonFabrication of the flexible metallic master using LTIL process for roll nanoimprint lithography
Kim, Ryoung-HanLine Edge Roughness Frequency Analysis during Pattern Transfer in Semiconductor Fabrication
Kim, Sang-KonPlasmonic Effect of Process Parameters on 10-nm Patterning in Computational Lithography
Kim, SongkilFOCUSED ELECTRON BEAM INDUCED PROCESSING VIA MULTI-MODE ENERGIZED MICRO/NANO-JETS TO ENABLE ADVANCES IN GRAPHENE NANOELECTRONICS
Kim, Sung InOptical Transmission Via Elliptically Patterned Grooves on Pyramidal Nano-aperture
Kim, Tae KyoungMassively Parallel Silicon Micro-Patterning and Thin Slicing by Magnetically Guided Etching
Kim, Yong SangOptical Transmission Via Elliptically Patterned Grooves on Pyramidal Nano-aperture
Kim, Young ChulA study of characteristics of a microcolumn based on CNT emitters
Kim, Young JinFabrication of Nano-Sized MTJ Array for MRAM Devices
Massively Parallel Silicon Micro-Patterning and Thin Slicing by Magnetically Guided Etching
Sensitivity of Thermo-Moisture Responsive Shape Memory Polymer Controlled by Imprinted Nano-Micro Patterns
Kim, YoungrokA single protein resolution mapping of DNA-ZFP interaction using solid-state nanopores
Kimura, YoshinoInjection-Compression Molding of Nanostructures for Three-Dimensional Cell-Culturing
Kimura, YoshinobuModeling of Local Dielectric Charging-up during SEM Observation
Kinsey, NathanielUltra-thin, Smooth and Low loss Al-doped Ag Film and its Application in Plasmonic Interconnects
Kisslinger, KimDirected patterning of arbitrary metal oxide nanostructures using polymer template nanoreactors
Klein, Jan JasperNovel UV-curable Hybrid Polymers with Elevated Refractive Index and Improved PDMS-compatibility
Klingfus, JoeImproved Instrumentation and Patterning Strategies for Extended and Continuous FIB Nanofabrication
Knoll, ArminNanometer precise overlay for sub-20nm thermal scanning probe lithography
Ko, Doo-HyunReusable Moth-Eye nano-patterned PDMS sticker as a versatile function of coating for photovoltaics.
Ko, HyungdukReusable Moth-Eye nano-patterned PDMS sticker as a versatile function of coating for photovoltaics.
Kobayashi, KatsutoshiA simulation study on defect annihilation dynamics in directed self-assembly lithography
Electrical Yield Verification of Half Pitch 15 nm Patterns using Directed Self-assembly of PS-b-PMMA
Kocsis, MichaelDemonstration of below 30-nm half pitch resolution at the SHARP microscope
Kodera, KatsuyoshiA simulation study on defect annihilation dynamics in directed self-assembly lithography
Electrical Yield Verification of Half Pitch 15 nm Patterns using Directed Self-assembly of PS-b-PMMA
Kometani, ReoCharacterization of mechanical properties of the carbon mechanical nanostructure fabricated from SU-8 resist by FIB/EB dual-beam lithography
Narrowband Photonic Absorber with Nano-Fins in Near-Infrared Region for Wavelength Detection with Mechanical Resonator
Komuro, OsamuModeling of Local Dielectric Charging-up during SEM Observation
Kondic, LouLaser Induced Liquid Phase Instabilities: Transition From Single Particle Coalescence To Multi-Particle Breakup of Nickel Nano-Rivulets and Programming Instabilities
Kooi, StevenTwo Photon Lithography Written Defects in 3D Holographic Lithography Structures and Conversion to Higher Index Materials
Koops, Hans W.P.Koops-GranMat, a Bose-Einstein Condensate material working at room temperature being capable to replace superconductors in many applications
Kopiec, DanielFocused ion beam fabrication of planar probes for high resolution shear force microscopy
Koshelev, A.Printable Integrated Photonic Devices with a high refractive index
Koshikawa, HiroshiProton beam writing on polyvinylidene difluoride films for high-aspect-ratio micro-structuring
Kothleitner, GeraldHigh-Fidelity Shapes and Disruption Mechanism during Focused Electron Beam Induced Deposition
Kottke, PeterFOCUSED ELECTRON BEAM INDUCED PROCESSING VIA MULTI-MODE ENERGIZED MICRO/NANO-JETS TO ENABLE ADVANCES IN GRAPHENE NANOELECTRONICS
Koutsos, VasileiosFabrication and characterization of zinc oxide nanoneedles for medical/biological applications
Koyama, HikaruModeling of Local Dielectric Charging-up during SEM Observation
Kravchenko, IvanRealization of 2D and 3D All-Dielectric Optical Metamaterials
Kraxner, JohannaFocused Ion Beam Processing of Polymers: Pushing the Limits by Alternative Patterning Strategies
Krishnan, KannanTunable mesoscale magnetic structures by nanoimprint lithography
Kruit, PieterCombined Electron Beam Induced Deposition and Etching for 3D shape control
Laser triggered microfabricated Ultrafast Beam Blanker
Parallel Secondary Electron Imaging in a Multi-Beam SEM
Kubota, HitoshiA simulation study on defect annihilation dynamics in directed self-assembly lithography
Electrical Yield Verification of Half Pitch 15 nm Patterns using Directed Self-assembly of PS-b-PMMA
Kulkarni, S MModelling and analysis of MEMS capacitive microphone with compliant diaphragm
Kulmala, TeroTowards sub-10 nm node by EUV lithography
Kulshreshtha, PrashantSelective Laser Ablation in Resists and Block Copolymers for High Resolution Lithographic Patterning
Kunicki, PiotrFocused ion beam fabrication of planar probes for high resolution shear force microscopy
Kurabayashi, KatsuoFabrication of Consistent MoS2 Biosensors for Quantifying Cancer-Related Biomarker Molecules with Femtomolar-Level Detection Limit
Kuru, CihanFabrication of Nano-Sized MTJ Array for MRAM Devices
Kwak, Moon KyuScalable and high-throughput 2D nanopatterning via sequential combination of continuous 1D patterning strokes
Kwon, Byung-SeokTunable mesoscale magnetic structures by nanoimprint lithography
Kysar, JeffreyProbing Immune Cell Response to Heterogeneous Rigidity at the Nanoscale

L

Lacey, I.1.5 nm fabrication of test patterns for characterization of metrological systems
Lagally, MaxNanofabrication of singlet-triplet qubit in Si/SiGe quantum dots with integrated micromagnets
Lai, KafaiDirected Self-Assembly Via Shrink Process with Lamella-forming Block Copolymers
Lam, Yun WahCell Migration Direction Switched by Angular Gratings
Lambert, Charles H.All-optical control of magnetization in various metallic magnetic systems
Lamprou, DimitriosFabrication and characterization of zinc oxide nanoneedles for medical/biological applications
Latawiec, PawelFaraday Cage Reactive Ion Etching: Simulation and Experiments
Lattard, L.Experimental Beam Blur characterization in CAR resist for E-beam lithography at 5kV and 100kV using spectral analysis
Lattard, LudovicMultiple e-beam direct write enters pre-production mode
Le Drogoff, BorisFabrication of high aspect ratio tungsten nanostructures on large area ultrathin c-Si membranes for X rays applications
Lee, GunwooEffects of thermal treatment on the transfer characteristics of sub-100 nm SnS2 thin-film transistor arrays
Lee, JaejongFabrication of the flexible metallic master using LTIL process for roll nanoimprint lithography
Numerical method using modified squeeze model for NIL
Lee, JeongsuEffects of thermal treatment on the transfer characteristics of sub-100 nm SnS2 thin-film transistor arrays
Lee, JiyeControlling excitons in semiconductor quantum dots for nanophotonic applications
Lee, Kim YPrecise measurement of chromium dry etching rate at low temperatures for ultimate profile control
Lee, L. JamesDielectrophoresis-assisted 3D nanochannel electroporation for high-throughput cell transfection with dosage control
Lee, Sang-HoNumerical method using modified squeeze model for NIL
Lee, Seung-BeckEffects of thermal treatment on the transfer characteristics of sub-100 nm SnS2 thin-film transistor arrays
Lee, Soo-YoungA Practical Approach to Modeling Scanning Electron Microscope Images for Minimization of Line Edge Roughness and Critical Dimension Error
Analytic Derivation and Minimization of Line Edge Roughness in Electron-beam Lithography
Lee, Tae GeolLarge-scale formation of three-dimensional plasmonic nanodishes using nanoimprint lithography
Lee, TaehwaScalable and high-throughput 2D nanopatterning via sequential combination of continuous 1D patterning strokes
Lee, Young BokA study of characteristics of a microcolumn based on CNT emitters
Leedle, KenMicrostructure-Induced Laser Acceleration of Free Electrons
Lenczner, MichelDesign, technology and application of piezoresistive scanning thermal probe for nanoscale investigations
Lester, KevinElectron Stimulated Purification of Platinum Nanostructures Grown Via Focused Electron Beam Induced Deposition
Levy, AntonioHoneycomb Lattice Patterned on GaAs Quantum Well: Artificial Graphene
Lewis, BrettElectron beam induced oxidation of direct–write deposits: a simulation
Electron Stimulated Purification of Platinum Nanostructures Grown Via Focused Electron Beam Induced Deposition
Laser Assisted Electron Beam Induced Deposition: Towards a Nanoscale Atomic Layer Deposition Process
Lezec, HenriFIB Milling and Replica Molding of Complex Surfaces with Atomic-Scale Precision
Li, CanHighly Reliable Resistive Switching Devices Based on Tantalum-doped Silicon Oxide
Li, GuoliangLaser-induced self-assembly of noble metal nanoparticles and EELS characterization
Li, JunjieControllable Synthesis of Single Conducting Polymer Nanowire on electrodes Fabricated by Focused Ion Beam Milling
Stencil lithography for damage free fabrication of short channel photo conductive devices in graphene
Tip-Enhanced Surface Enhanced Raman Scattering on Gold Nanoparticle Decorated Silicon Microcone Array Substrate for DNA Sensing
Li, KenanMultilayer on-chip stacked zone plates with high aspect ratio for hard X-ray nanoscale imaging
Li, QianResearch on three-dimension current density distribution of a 30kV focused ion beam with Coulomb interactions
Li, ShijieFiber-facet SERS Probes Fabricated Using Double-Transfer Nanoimprint Lithography
Li, WenbinPost Fabrication of Foundry-Fabricated CMOS Serpentine Nanowire Biosensor with Focused Ion Beam
Li, Wen-DiCost-effective and Solution Processed Fabrication for Metal Mesh Based Flexible Transparent Conducting Electrodes
Fiber-facet SERS Probes Fabricated Using Double-Transfer Nanoimprint Lithography
Three-dimensional Nanofabrication on Hydrogen Silsesquioxane Using Focused Helium Ion Beam Lithography
Li, WenpingResearch on three-dimension current density distribution of a 30kV focused ion beam with Coulomb interactions
Li, XuLow-leakage current and damage-free silicon nitride deposition at 30oC by inductively coupled plasma with neutral beams by neutralization grid plate
Li, YuanruiFocused Microwave Cancer Therapy Using Lithographically Defined Nanoparticles
High contrast gratings for 3D additive manufacture
Li, ZhengTunable mesoscale magnetic structures by nanoimprint lithography
Li, ZipingVolume-expansion polymerization for UV-curable nanoimprint
Liang, XiaoganFabrication of Consistent MoS2 Biosensors for Quantifying Cancer-Related Biomarker Molecules with Femtomolar-Level Detection Limit
Fabrication of Transition Metal Dichalcogenide Photovoltaic Devices Using Surface-Charge Transfer (SCT) Doping Mechanism
Multilayer Transition Metal Dichalcogenide Device Arrays Fabricated Using Nanoimprint-Assisted Shear Exfoliation (NASE)
Liao, Hsien-YuVisible subwavelength dielectric grating reflector fabricated using focused ion beam
Liao, JinyuProbing Immune Cell Response to Heterogeneous Rigidity at the Nanoscale
Liao, Kuo-TangFIB Milling and Replica Molding of Complex Surfaces with Atomic-Scale Precision
Lichtman, JeffA single-column, multi-beam SEM for high-resolution, high-throughput imaging
Liddle, J. AlexanderAssembly of Superparamagnetic Iron Oxide Nanoparticles on DNA Nanostructures
Micro-optics at the diffraction limit: design for manufacture
The Center for Nanoscale Science and Technology: NIST’s Nanotechnology User Facility
Liddy, MadelaineFabrication of high quality factor optical nanocavities in bulk single-crystal diamond
Lieberman, MaryaDNA Origami as Molecular Circuit Boards: Attachment, Patterning, and Stability
Lim, HyungJunNumerical method using modified squeeze model for NIL
Lim, MincheolA single protein resolution mapping of DNA-ZFP interaction using solid-state nanopores
Lin, GuanyangImplementation of Surface Energy Modification in Grapho-Epitaxy Directed Self-Assembly for Hole Multiplication
Lin, ZhongtianElectronic Quantification of Protein Biomarkers Based on Bead Aggregate Sizing
Impedance Cytometry Based on Multi-fingered Interdigitated Electrodes
Linn, AllisonLithography and in situ elucidation of conductivity in graphene structures using scanning helium ion microscopy
Liu, BoHigh performance lithium niobate surface acoustic wave transducers exceeding 10 GHz resonant frequency
Liu, CharlieDirected Self-Assembly Via Shrink Process with Lamella-forming Block Copolymers
Liu, Chi-ChunSelective neutralization for neutral last grapho-epitaxy directed self-assembly
Liu, Chin-HungFabrication of Nano-Sized MTJ Array for MRAM Devices
Massively Parallel Silicon Micro-Patterning and Thin Slicing by Magnetically Guided Etching
Liu, HeFabrication of passive polarization-dependent asymmetric optical devices using nanoimprint lithography
High contrast gratings for 3D additive manufacture
Low DC-Bias Silicon Nitride Anisotropic Etching
Liu, Jianpeng20 nm Flip Blazed Fresnel zone plates by a PMMA/ZEP bilayer technique
Stencil lithography for damage free fabrication of short channel photo conductive devices in graphene
Liu, JubiaoResearch on three-dimension current density distribution of a 30kV focused ion beam with Coulomb interactions
Liu, MingFabrication of X-ray reflection sinusoidal grating using fast electron beam direct writing
Liu, MingzhaoOrigin of insoluble residual in ZEP520 electron-beam resist development
Liu, Xiao-HuStand-alone Piezoeletronic Transistor
Liu, YangIntegrated On-Chip Energy Storage Using Porous-Silicon Electrochemical Capacitors
Post Fabrication of Foundry-Fabricated CMOS Serpentine Nanowire Biosensor with Focused Ion Beam
Livengood, RichardExploring Neon GFIS Nano-Machining Applications in Circuit Edit
Focused Neon Ion Beam Induced Sputtering of Copper: Monte Carlo Simulations
Lohse, OlgaTowards a Novel Positive Tone Resist mr-PosEBR for High Resolution Electron Beam Lithography
Loncar, MarkoFabrication of high quality factor optical nanocavities in bulk single-crystal diamond
Faraday Cage Reactive Ion Etching: Simulation and Experiments
Lončar, MarkoFree-standing Nanostructures in Single-crystal Quartz
Lopez, GeraldAutomated Geometry assisted PEC for electron beam direct write nanolithography
Lovell, JoshUse of HfC(210) as High Brightness Electron Sources
Lu, BingruiA novel PMMA/NEB bilayer process for sub-20 nm metallic nanoslits by electron beam lithography and dry etch
A Study of Nanoimprinted Color Filter with Ultra High Resolution
Gray scale electron beam lithography for photon-nanojet based nanolens with super resolution lithography prospect
Stencil lithography for damage free fabrication of short channel photo conductive devices in graphene
Lu, MingOrigin of insoluble residual in ZEP520 electron-beam resist development
Lu, WuDielectrophoresis-assisted 3D nanochannel electroporation for high-throughput cell transfection with dosage control
Luiten, JomApplication of laser-cooling and compression to create a high resolution focused ion beam
Photoionization of a laser-intensified atomic beam: prospects for high resolution focused ion beams
Lukin, MikhailFabrication of high quality factor optical nanocavities in bulk single-crystal diamond
Luo, YaoCorner2-EPC: A Layout Image Compression Algorithm for Electron Beam Lithography
Luttge, ReginaAdvances in 3D neuronal cell culture

M

Ma, Yaqi20 nm Flip Blazed Fresnel zone plates by a PMMA/ZEP bilayer technique
A novel PMMA/NEB bilayer process for sub-20 nm metallic nanoslits by electron beam lithography and dry etch
Ma, YueMultiple e-beam direct write enters pre-production mode
Maas, DiederikHelium-ion-beam-induced growth of 3-dimensional AFM probes
Mackie, WilliamElectron sources and applications for electron beams in air
Use of HfC(210) as High Brightness Electron Sources
Mackus, AdrieLithography-free fabrication of graphene devices
Maeda, EtsuoCharacterization of mechanical properties of the carbon mechanical nanostructure fabricated from SU-8 resist by FIB/EB dual-beam lithography
Narrowband Photonic Absorber with Nano-Fins in Near-Infrared Region for Wavelength Detection with Mechanical Resonator
Maekawa, YasunariProton beam writing on polyvinylidene difluoride films for high-aspect-ratio micro-structuring
Magera, GeraldElectron sources and applications for electron beams in air
Use of HfC(210) as High Brightness Electron Sources
Mahady, KyleLaser Induced Liquid Phase Instabilities: Transition From Single Particle Coalescence To Multi-Particle Breakup of Nickel Nano-Rivulets and Programming Instabilities
Maher, MichaelHigh Chi Block Co-polymers for Lithography
Majstrzyk, WojciechFocused ion beam fabrication of planar probes for high resolution shear force microscopy
Majumder, ApratimSuper-resolution Optical Nanolithography using two approaches of Absorbance Modulation
Mäkilä, ErmeiIntegrated On-Chip Energy Storage Using Porous-Silicon Electrochemical Capacitors
Maldonado, JuanA Colored Cesium Iodide Photocathode Excited by 405 nm Irradiation
Malkoc, VeysiDielectrophoresis-assisted 3D nanochannel electroporation for high-throughput cell transfection with dosage control
Malloy, MattA single-column, multi-beam SEM for high-resolution, high-throughput imaging
Patterning of ≤ 16 nm defect arrays with electron beam lithography which are used to develop a high throughput electron beam defect inspection tool
Mamuro, MinoruHigh Aspect Nanopore Array Fabrication by Nanoimprint Employing Novel Demolding Process
Manfra, MichaelHoneycomb Lattice Patterned on GaAs Quantum Well: Artificial Graphene
Manfrinato, Vitor3D Nanofabrication by Geometrically-Confined Helium Ions in Diamond Nanostructures
Fabrication and Characterization of Membrane Nano-gratings for Electron Diffraction
Lithographic engineering of volume plasmons
Mangin, StephaneAll-optical control of magnetization in various metallic magnetic systems
Mankos, MarianA novel electron monochromator for high resolution imaging and spectroscopy
Mannik, JaanMicrofluidic platform for studies of self-organizing processes in a bacterial cell
Studies of bacterial cells and cellular assemblies using lab-on-a-chip platform
Margot, JoelleFabrication of high aspect ratio tungsten nanostructures on large area ultrathin c-Si membranes for X rays applications
Martinson, Alex B.Progress in electron-beam-lithography-fabricated Fresnel zone plates on diamond membranes for hard X-ray focusing
Martyna, GlennStand-alone Piezoeletronic Transistor
Maruyama, KenDirected Self-Assembly Via Shrink Process with Lamella-forming Block Copolymers
Marzook, TaisirDirected Self-Assembly Process Integration – Fin Patterning Approaches and Challenges
Masid, FarhanaSuper-resolution Optical Nanolithography using two approaches of Absorbance Modulation
Masiello, DavidLaser-induced self-assembly of noble metal nanoparticles and EELS characterization
Mastropaolo, EnricoFabrication and characterization of zinc oxide nanoneedles for medical/biological applications
Matola, BradLithography and in situ elucidation of conductivity in graphene structures using scanning helium ion microscopy
Matsui, ShinjiTemperature dependence of molecular orientation of liquid crystalline polymer induced by nanoimprint-graphoepitaxy
Matusoka, HokutoProton beam writing on polyvinylidene difluoride films for high-aspect-ratio micro-structuring
Mayer, RaphaelCharacterization of QSil 216 and QSil 218 for Microfluidic and Biomedical Applications
Mayrhofer, ClaudiaFocused Ion Beam Processing of Polymers: Pushing the Limits by Alternative Patterning Strategies
McClelland, JabezImaging Nanophotonic Modes of Microresonators using a Focused Lithium Ion Beam
McConnell, CaseyEffect of Iron-Doped Apatite Nanoparticles on a Eukaryotic Host-Virus System
McElwee-White, LisaDesigning Precursors for the Deposition Technique: CVD vs. EBID
McKinney, W.1.5 nm fabrication of test patterns for characterization of metrological systems
Medapalli, RajasekharAll-optical control of magnetization in various metallic magnetic systems
Medina, JuanNovel Structuring Process for Injection Molding Inserts By Free-Form Reverse Nanoimprint Lithography
Megrant, AnthonySuperconducting Resonators: The Canary in the Coherence Coalmine
Meiri, AmihaiA Computational Fluorescent Microscopy Through a Glass Needle
Meisburger, DanA High-Current Miniature Column for a High Volume Manufacturing Multi-Column Wafer Inspection System
Proposed Architecture of a Multi-Column Electron-Beam Wafer Inspection System for High Volume Manufacturing
Mejia Prada, C.Printable Integrated Photonic Devices with a high refractive index
Mekaru, HarutakaSurface Patterning of Protein Matrix Basement
Meli, LucianaDirected Self-Assembly Via Shrink Process with Lamella-forming Block Copolymers
Mellish, MacPatterning of ≤ 16 nm defect arrays with electron beam lithography which are used to develop a high throughput electron beam defect inspection tool
Menon, RajeshA Computational Fluorescent Microscopy Through a Glass Needle
Digital metamaterials & micro-optics for photonics & imaging
Subwavelength NanoPatterning via Selective Dissolution of One-Photoisomer
Super-resolution Optical Nanolithography using two approaches of Absorbance Modulation
Mensch, PhilippNanometer precise overlay for sub-20nm thermal scanning probe lithography
Merrill, SeanA Computational Fluorescent Microscopy Through a Glass Needle
Miao, JianweiAtomic Resolution Electron Tomography
Michalowski, MarcinImpact of side wall angle of mold pattern on release force in nanoimprint lithography
Mika, JohannCharacterization of Electrophysiological Properties of Neurites using a Microfluidic-Microelectronic Platform
Characterization of QSil 216 and QSil 218 for Microfluidic and Biomedical Applications
Focused Electron Beam Induced Etching - Advantages, Features and Limitations of FEBIE with Chlorine
Nanomedicine of isolated axons - Electrical activity of individual neurites growing in a microfluidic channel
Millet, LarryMultiscale fluidic architectures for chemical manipulations of biological domains across length scales
Min, Joong-HeeFabrication of Thin Metallic Nanostructures Using Atomic Layer Deposition
Minegishi, ShinyaA simulation study on defect annihilation dynamics in directed self-assembly lithography
Electrical Yield Verification of Half Pitch 15 nm Patterns using Directed Self-assembly of PS-b-PMMA
Miyagi, KenA simulation study on defect annihilation dynamics in directed self-assembly lithography
Electrical Yield Verification of Half Pitch 15 nm Patterns using Directed Self-assembly of PS-b-PMMA
Miyata, YutoCharacterization of mechanical properties of the carbon mechanical nanostructure fabricated from SU-8 resist by FIB/EB dual-beam lithography
Miyazoe, HiroyukiStand-alone Piezoeletronic Transistor
Miyoshi, HidetatsuFabrication of a high-resolution mask based on enhanced techniques for line edge roughness improvement with a non-chemically amplified resist and a post-exposure bake
Moczala, MagdalenaFocused ion beam fabrication of planar probes for high resolution shear force microscopy
Moerland, RobertLaser triggered microfabricated Ultrafast Beam Blanker
Moghaddam, MahtaFocused Microwave Cancer Therapy Using Lithographically Defined Nanoparticles
Mohammad, MohammadHafnium oxide resistive memory based on cross-bar structures down to sub-20 nm dimensions
High performance lithium niobate surface acoustic wave transducers exceeding 10 GHz resonant frequency
Mohanty, NiharDirected Self-Assembly Via Shrink Process with Lamella-forming Block Copolymers
Mohr, RobertNanofabrication of singlet-triplet qubit in Si/SiGe quantum dots with integrated micromagnets
Moitra, ParikshitRealization of 2D and 3D All-Dielectric Optical Metamaterials
Moldovan, NicolaieProgress in electron-beam-lithography-fabricated Fresnel zone plates on diamond membranes for hard X-ray focusing
Molle, AlessandroEncapsulated Delamination Transfer and Nanofabrication of Silicene Field-Effect Transistors
Mondol, MarkLithographic Evaluation of gL-2000: A High-Resolution Resist for Electron-Beam Lithography
Moon, Bum KiiIntegrated On-Chip Energy Storage Using Porous-Silicon Electrochemical Capacitors
Moon, EuclidParallel near field optical lithography with sub wavelength resolution using a massive array of bowtie antennas
Moro, MasatoshiResidual layer less nano-transfer by roll press and liquid transfer imprint lithography
Mulders, HansCombined Electron Beam Induced Deposition and Etching for 3D shape control
Hydrogen-beam induced deposition of platinum and tungsten microstructures
Lithography-free fabrication of graphene devices
Mulders, JohannesA New In-situ Broad Ion Beam, With Energy Range 1 – 500 eV
Mundy, J. ZacharyFabrication of Thin Metallic Nanostructures Using Atomic Layer Deposition
Munechika, KeikoControlling excitons in semiconductor quantum dots for nanophotonic applications
Munoz, AlexScatterometry of a 50-nm Half Pitch Wire Grid Polarizer
Muray, LawrenceA High-Current Miniature Column for a High Volume Manufacturing Multi-Column Wafer Inspection System
Proposed Architecture of a Multi-Column Electron-Beam Wafer Inspection System for High Volume Manufacturing
Mutreja, IshaFabrication of Free-standing Casein Microstructures with ‎Bioimprinted Cellular Surface Features
Mutsaers, PeterApplication of laser-cooling and compression to create a high resolution focused ion beam
Photoionization of a laser-intensified atomic beam: prospects for high resolution focused ion beams

N

Nadzeyka, AchimImproved Instrumentation and Patterning Strategies for Extended and Continuous FIB Nanofabrication
Nagarajan, NaveenA Computational Fluorescent Microscopy Through a Glass Needle
Nagaswami, VenkatDirected Self-Assembly Via Shrink Process with Lamella-forming Block Copolymers
Nagato, KeisukeDamascene of metallic wires on imprinted flexible substrate
Injection-Compression Molding of Nanostructures for Three-Dimensional Cell-Culturing
Metallic Nanostructures Controlled by Dewetting Thin Film on Patterned Ceramic Surface
Nakagawa, MasaruRapid growth in 30 seconds of thermally induced microphase-separation of PS-b-PMMA for directed self-assembly lithography
Nakamura, NaotoImpact of side wall angle of mold pattern on release force in nanoimprint lithography
Nakao, MasayukiDamascene of metallic wires on imprinted flexible substrate
Injection-Compression Molding of Nanostructures for Three-Dimensional Cell-Culturing
Metallic Nanostructures Controlled by Dewetting Thin Film on Patterned Ceramic Surface
Nam, Chang-YongDirected patterning of arbitrary metal oxide nanostructures using polymer template nanoreactors
Nam, HongsukFabrication of Consistent MoS2 Biosensors for Quantifying Cancer-Related Biomarker Molecules with Femtomolar-Level Detection Limit
Fabrication of Transition Metal Dichalcogenide Photovoltaic Devices Using Surface-Charge Transfer (SCT) Doping Mechanism
Multilayer Transition Metal Dichalcogenide Device Arrays Fabricated Using Nanoimprint-Assisted Shear Exfoliation (NASE)
Nanda, GauravHelium-ion-beam-induced growth of 3-dimensional AFM probes
Protection of graphene against helium-ion-induced damage by h-BN encapsulation
Nannini, MatthieuFabrication of Silicon-on-Insulator Blazed-Grating Optical Couplers using a Thermal Scanning Probe System
Narasimhan, AmritCross Section of Photo Acid Generators (PAGs) in EUV Photoresists vs. Electron Beam Energies
Nastasescu, OctavianExploring Neon GFIS Nano-Machining Applications in Circuit Edit
Naulleau, PatrickDemonstration of below 30-nm half pitch resolution at the SHARP microscope
Navarro, Christophe300mm DSA process qualification and stability
Nealey, PaulPost-Directed-Self-Assembly Membrane Fabrication for In-situ Analysis of Block Copolymer Structures
Neuzil, PavelDetermination of Mechanical, Electrical and Surface Properties of an Individual Carbon Nanotube by Single Measurement
Three weeks at CNST of NIST
Newns, DennisStand-alone Piezoeletronic Transistor
Ng, Tien KheeVisible subwavelength dielectric grating reflector fabricated using focused ion beam
Nguyen, Mary-AnneThin-film electrode patterning for encapsulated and air-stable droplet interface bilayers
Nicaise, SamuelNano Mesh Patterns by BCP Self-Templating
Nicolet, Célia300mm DSA process qualification and stability
Nielsen, TheodorNovel Structuring Process for Injection Molding Inserts By Free-Form Reverse Nanoimprint Lithography
Nishikawa, HiroyukiProton beam writing on polyvinylidene difluoride films for high-aspect-ratio micro-structuring
Nishino, KotaDirected Self-Assembly Via Shrink Process with Lamella-forming Block Copolymers
Niu, JiebinFabrication of X-ray reflection sinusoidal grating using fast electron beam direct writing
Nock, VolkerFabrication of Free-standing Casein Microstructures with ‎Bioimprinted Cellular Surface Features
Noh, Joo HyonElectron Stimulated Purification of Platinum Nanostructures Grown Via Focused Electron Beam Induced Deposition
Laser Assisted Electron Beam Induced Deposition: Towards a Nanoscale Atomic Layer Deposition Process
Nomura, SatoshiA simulation study on defect annihilation dynamics in directed self-assembly lithography
Electrical Yield Verification of Half Pitch 15 nm Patterns using Directed Self-assembly of PS-b-PMMA
Notte, JohnBackside circuit edit with gas assisted etching on a platform with multiple focused ion beams
Noubani, AdhamFocused Electron Beam Induced Deposition of Copper from Aqueous Solutions in Micro-wells

O

Oae, Y.Development of a column using permanent magnet lens for a multi-axis maskless E-beam lithography system
O'Callaghan, G.Novel Resist for Electron Beam Lithography on Insulating Substrates
Ocola, LeonidasAutomated Geometry assisted PEC for electron beam direct write nanolithography
Development Characteristics of Polymethyl Methacrylate in Alcohol/Water Mixtures
Photoluminescence of Sequential Infiltration Synthesized ZnO nanostructures
Post-Directed-Self-Assembly Membrane Fabrication for In-situ Analysis of Block Copolymer Structures
Progress in electron-beam-lithography-fabricated Fresnel zone plates on diamond membranes for hard X-ray focusing
Ocula, LeonidasCross Section of Photo Acid Generators (PAGs) in EUV Photoresists vs. Electron Beam Energies
Ogawa, TakashiLow Energy Performance of a SEM Using a New Monochromator with Double Offset Cylindrical Lenses
Oh, Bo-RamFabrication of Consistent MoS2 Biosensors for Quantifying Cancer-Related Biomarker Molecules with Femtomolar-Level Detection Limit
Oh, SharonHybrid Nanoscale X-ray Imaging
Oh, Tae SikA study of characteristics of a microcolumn based on CNT emitters
Oike, MakotoInjection-Compression Molding of Nanostructures for Three-Dimensional Cell-Culturing
Ok, Jong G.Scalable and high-throughput 2D nanopatterning via sequential combination of continuous 1D patterning strokes
Okada, MakotoTemperature dependence of molecular orientation of liquid crystalline polymer induced by nanoimprint-graphoepitaxy
Okubo, RyoRapid growth in 30 seconds of thermally induced microphase-separation of PS-b-PMMA for directed self-assembly lithography
Oldham, ChristopherFabrication and Mechanical Properties of Porous 3D Nanostructures
Fabrication of Stretchable Transparent Conductors Using Nano-Accordion Structures
Oldham, Christopher J.Fabrication of Thin Metallic Nanostructures Using Atomic Layer Deposition
Olynick, DeirdrePrecise measurement of chromium dry etching rate at low temperatures for ultimate profile control
Selective Laser Ablation in Resists and Block Copolymers for High Resolution Lithographic Patterning
Ono, HiroshiTemperature dependence of molecular orientation of liquid crystalline polymer induced by nanoimprint-graphoepitaxy
Ooi, Boon SiewVisible subwavelength dielectric grating reflector fabricated using focused ion beam
Orlov, AlexeiFabrication of Nanodamascene Metallic Single Electron Transistor
Orlowska, KarolinaFocused ion beam fabrication of planar probes for high resolution shear force microscopy
Orthacker, AngelinaFocused Ion Beam Processing of Polymers: Pushing the Limits by Alternative Patterning Strategies
High-Fidelity Shapes and Disruption Mechanism during Focused Electron Beam Induced Deposition
Ostrander, JonathanCross Section of Photo Acid Generators (PAGs) in EUV Photoresists vs. Electron Beam Energies
Oteyza, DimasSelective Laser Ablation in Resists and Block Copolymers for High Resolution Lithographic Patterning
Ouhab, DjamilaHigh-χ Bio-Based Block Copolymers for Self-Assembled Nano-Lithography
Owen, JamesQuantitative Analysis of Digital STM Lithography Precision

P

Panday, AshwinScalable and high-throughput 2D nanopatterning via sequential combination of continuous 1D patterning strokes
Pang, JunchaoFabrication of super-lyophobic surface on thermoplastic substrates with hybrid micro/nano-scale overhang structures
Pang, StellaCell Migration Direction Switched by Angular Gratings
Papenheim, MarcA flexible hybrid stamp for T-NIL based on OrmoStamp
Procedure for high temperature nanoimprint of organic semi-conducting polymer
Thermal wrinkling of nanoimprinted SU-8 with masked UV-exposure
Paprotny, IgorZnO Functionalization of Multi-walled Carbon Nanotubes for Methane Sensing at Single PPM Concentration Levels
Park, Byong ChonDevelopment of head-scanning atomic force microscope in scanning electron microscope
Park, JeongwonHybrid Nanoscale X-ray Imaging
Park, Kyoung JinOptical Transmission Via Elliptically Patterned Grooves on Pyramidal Nano-aperture
Park, Myoung JinOptical Transmission Via Elliptically Patterned Grooves on Pyramidal Nano-aperture
Park, NamkyouOptical Transmission Via Elliptically Patterned Grooves on Pyramidal Nano-aperture
Park, SooyeonFabrication of the flexible metallic master using LTIL process for roll nanoimprint lithography
Park, SunghoonA Practical Approach to Modeling Scanning Electron Microscope Images for Minimization of Line Edge Roughness and Critical Dimension Error
Analytic Derivation and Minimization of Line Edge Roughness in Electron-beam Lithography
Parsons, GregoryFabrication and Mechanical Properties of Porous 3D Nanostructures
Fabrication of Stretchable Transparent Conductors Using Nano-Accordion Structures
Fabrication of Thin Metallic Nanostructures Using Atomic Layer Deposition
Patel, LaxaExploring Neon GFIS Nano-Machining Applications in Circuit Edit
Patel, ParthFabrication of high quality factor optical nanocavities in bulk single-crystal diamond
Paul, PhilipFabrication of Silicon-on-Insulator Blazed-Grating Optical Couplers using a Thermal Scanning Probe System
Pease, R. FabianA Colored Cesium Iodide Photocathode Excited by 405 nm Irradiation
Microstructure-Induced Laser Acceleration of Free Electrons
Pedulla, MarisaEffect of Iron-Doped Apatite Nanoparticles on a Eukaryotic Host-Virus System
Pellegrini, VittorioHoneycomb Lattice Patterned on GaAs Quantum Well: Artificial Graphene
Perera, PradeepSelective Laser Ablation in Resists and Block Copolymers for High Resolution Lithographic Patterning
Perez-Murano, FrancescAdvances in fabrication and electrical transduction of silicon nanowire mechanical resonators
Peroz, C.1.5 nm fabrication of test patterns for characterization of metrological systems
Peroz, ChristophePrintable Integrated Photonic Devices with a high refractive index
Pfeiffer, CarlBreaking Malus’ Law: Enhancing Asymmetric Light Transmission with Metasurfaces
Pfeiffer, LorenHoneycomb Lattice Patterned on GaAs Quantum Well: Artificial Graphene
Pfirrmann, StefanTowards a Novel Positive Tone Resist mr-PosEBR for High Resolution Electron Beam Lithography
Pham, ThangElectron-Beam Induced Atomic-Scale Defects in 2D Materials at Elevated Temperatures using In Situ Transmission Electron Microscopy
Pi, ShuangEffects of Programming Current and Environment on the Resistive Switching of a Nanoscale Memristive Device
Pianetta, PieroA Colored Cesium Iodide Photocathode Excited by 405 nm Irradiation
Pickard, DanielSolid Immersion Optics for Surface Plasmon Excitation in a Transmission Mode Photoemission Electron Microscope
Pieczulewski, Charles300mm DSA process qualification and stability
Pillatsch, LexO2 and H2O mediated FEBIE for fabrication of sub-10-nm diameter nanopores in few layer graphene
Pillers, MichelleDNA Origami as Molecular Circuit Boards: Attachment, Patterning, and Stability
Pimenta-Barros, Patricia300mm DSA process qualification and stability
Pina-Hernandez, C.Printable Integrated Photonic Devices with a high refractive index
Pinczuk, AronHoneycomb Lattice Patterned on GaAs Quantum Well: Artificial Graphene
Pint, CaryIntegrated On-Chip Energy Storage Using Porous-Silicon Electrochemical Capacitors
Pitera, JedDirected Self-Assembly Via Shrink Process with Lamella-forming Block Copolymers
Selective neutralization for neutral last grapho-epitaxy directed self-assembly
Plank, HaraldElectron beam induced oxidation of direct–write deposits: a simulation
Electron Stimulated Purification of Platinum Nanostructures Grown Via Focused Electron Beam Induced Deposition
Focused Electron Beam Induced Processing: An Application Perspective
Focused Ion Beam Processing of Polymers: Pushing the Limits by Alternative Patterning Strategies
High-Fidelity Shapes and Disruption Mechanism during Focused Electron Beam Induced Deposition
Laser Assisted Electron Beam Induced Deposition: Towards a Nanoscale Atomic Layer Deposition Process
Plank, NatalieCarbon nanotube field effect transistor apatasensors for estrogen detection in liquids
Pluska, M.Focused ion beam fabrication of planar probes for high resolution shear force microscopy
Pollock, BenSubwavelength NanoPatterning via Selective Dissolution of One-Photoisomer
Pollock, BenjaminSuper-resolution Optical Nanolithography using two approaches of Absorbance Modulation
Polster, JanImprovement of Xe Plasma FIB Resolution and its Integration with Electron and Photon Beams
Post, ChrisFabrication of metal nano-antennas with sub-10nm gap by using electron-beam induced deposition etch masks
Povinelli, MichelleFabrication of passive polarization-dependent asymmetric optical devices using nanoimprint lithography
Pradelles, JonathanExperimental Beam Blur characterization in CAR resist for E-beam lithography at 5kV and 100kV using spectral analysis
Multiple e-beam direct write enters pre-production mode
Prakasam, HaripriyaExploring Neon GFIS Nano-Machining Applications in Circuit Edit
Prance, JonathanNanofabrication of singlet-triplet qubit in Si/SiGe quantum dots with integrated micromagnets
Preece, J.Novel Resist for Electron Beam Lithography on Insulating Substrates
Putnam, WilliamSpatial-Mapping of Photoemission from Plasmonic Nanoparticle Arrays

Q

Qi, JiSignificantly-Enhanced Light Extraction and Power Efficiency of Red Organic Light- Emitting Diode by Nano-Mesh Fabricated by Large-Area Nanoimprint
Qian, LiuxiPost Fabrication of Foundry-Fabricated CMOS Serpentine Nanowire Biosensor with Focused Ion Beam
Quan, BaogangControllable Synthesis of Single Conducting Polymer Nanowire on electrodes Fabricated by Focused Ion Beam Milling
Tip-Enhanced Surface Enhanced Raman Scattering on Gold Nanoparticle Decorated Silicon Microcone Array Substrate for DNA Sensing
Quessab, YassineAll-optical control of magnetization in various metallic magnetic systems

R

Rack, PhilipElectron beam induced oxidation of direct–write deposits: a simulation
Electron Stimulated Purification of Platinum Nanostructures Grown Via Focused Electron Beam Induced Deposition
Focused Neon Ion Beam Induced Sputtering of Copper: Monte Carlo Simulations
High-Fidelity Shapes and Disruption Mechanism during Focused Electron Beam Induced Deposition
Laser Assisted Electron Beam Induced Deposition: Towards a Nanoscale Atomic Layer Deposition Process
Laser Induced Liquid Phase Instabilities: Transition From Single Particle Coalescence To Multi-Particle Breakup of Nickel Nano-Rivulets and Programming Instabilities
Laser-induced self-assembly of noble metal nanoparticles and EELS characterization
Randall, JohnQuantitative Analysis of Digital STM Lithography Precision
Randolph, StevenHydrogen-beam induced deposition of platinum and tungsten microstructures
Rangelow, IvoPrecise measurement of chromium dry etching rate at low temperatures for ultimate profile control
Selective Laser Ablation in Resists and Block Copolymers for High Resolution Lithographic Patterning
Rawlings, ColinNanometer precise overlay for sub-20nm thermal scanning probe lithography
Ray, VishvaBreaking Malus’ Law: Enhancing Asymmetric Light Transmission with Metasurfaces
Reche, J.Experimental Beam Blur characterization in CAR resist for E-beam lithography at 5kV and 100kV using spectral analysis
Reche, JérômeMultiple e-beam direct write enters pre-production mode
Reit, RaduA thiol-ene / acrylate thermoset polymer as flexible substrate for implantable electronics
Ren, JiaxingPost-Directed-Self-Assembly Membrane Fabrication for In-situ Analysis of Block Copolymer Structures
Ren, Tian-LingHafnium oxide resistive memory based on cross-bar structures down to sub-20 nm dimensions
High performance lithium niobate surface acoustic wave transducers exceeding 10 GHz resonant frequency
Ren, YanParallel Secondary Electron Imaging in a Multi-Beam SEM
Renau, AnthonyDirected Ribbon Beam Processing
Retterer, ScottFabrication of Nanoporous Membranes for Tuning Microbial Interactions and Biochemical Reactions
Microfluidic Exchange Devices for Cell-free Reactions
Microfluidic platform for studies of self-organizing processes in a bacterial cell
Microwell arrays for high-throughput investigation of microbial interactions
Multiscale fluidic architectures for chemical manipulations of biological domains across length scales
Thin-film electrode patterning for encapsulated and air-stable droplet interface bilayers
Richards, ChrisAir-Stable Droplet Interface Bilayers
Ristic, SasaFabrication of Silicon-on-Insulator Blazed-Grating Optical Couplers using a Thermal Scanning Probe System
Roberts, NicholasLaser Assisted Electron Beam Induced Deposition: Towards a Nanoscale Atomic Layer Deposition Process
Robinson, A.Novel Resist for Electron Beam Lithography on Insulating Substrates
Robinson, J.Graphene-derived Materials for NEMS
Rochman, JakeFabrication of high quality factor optical nanocavities in bulk single-crystal diamond
Roediger, PeterFocused Electron Beam Induced Etching - Advantages, Features and Limitations of FEBIE with Chlorine
Rommel, MathiasNovel UV-curable Hybrid Polymers with Elevated Refractive Index and Improved PDMS-compatibility
Rondinone, AdamLithography and in situ elucidation of conductivity in graphene structures using scanning helium ion microscopy
Rooks, MichaelHybrid Nanoscale X-ray Imaging
Rosenmann, DanielZnO Functionalization of Multi-walled Carbon Nanotubes for Methane Sensing at Single PPM Concentration Levels
Ross, CarolineNano Mesh Patterns by BCP Self-Templating
Templated Self-Assembly of Block Copolymer Thin Films under Lithographic Confinement
Rothschild, Lynn3D Printing of Advanced Biocomposites on Earth and Beyond
Rozendaal, JeroenElectron Beam Induced High Resolution Biofunctionalised Nanopatterns
Rudek, MaciejDesign, technology and application of piezoresistive scanning thermal probe for nanoscale investigations
Ruffell, SimonDirected Ribbon Beam Processing
Russell, ThomasSelective Laser Ablation in Resists and Block Copolymers for High Resolution Lithographic Patterning
Russew, Maria-MelanieNovel UV-curable Hybrid Polymers with Elevated Refractive Index and Improved PDMS-compatibility
Rustomji, CyrusMassively Parallel Silicon Micro-Patterning and Thin Slicing by Magnetically Guided Etching
Rymuza, ZygmuntImpact of side wall angle of mold pattern on release force in nanoimprint lithography
Ryu, JiHyeongNumerical method using modified squeeze model for NIL

S

Sadeghian, HamedHelium-ion-beam-induced growth of 3-dimensional AFM probes
Sakdinawat, AnneHybrid Nanoscale X-ray Imaging
Sanchez, MarthaDirected Self-Assembly Via Shrink Process with Lamella-forming Block Copolymers
Sanders, DanielDirected Self-Assembly Via Shrink Process with Lamella-forming Block Copolymers
Selective neutralization for neutral last grapho-epitaxy directed self-assembly
Sando, StewartWafer-Scale Etching of Nanometer-Scale Features With Low Energy Electron Enhanced Etching (LE4)
Sarles, S.A.Air-Stable Droplet Interface Bilayers
Thin-film electrode patterning for encapsulated and air-stable droplet interface bilayers
Sarveswaran, KoshalaDNA Origami as Molecular Circuit Boards: Attachment, Patterning, and Stability
Sasago, MasaruComputational study on 3-Dimensional Imaging by Advanced Built-in Lens Mask (BILM) Lithography
Sassolini, SimonePrecise measurement of chromium dry etching rate at low temperatures for ultimate profile control
Sato, HironobuA simulation study on defect annihilation dynamics in directed self-assembly lithography
Electrical Yield Verification of Half Pitch 15 nm Patterns using Directed Self-assembly of PS-b-PMMA
Savage, DonaldNanofabrication of singlet-triplet qubit in Si/SiGe quantum dots with integrated micromagnets
Savari, SerapCorner2-EPC: A Layout Image Compression Algorithm for Electron Beam Lithography
Sayan, SafakDirected Self-Assembly Process Integration – Fin Patterning Approaches and Challenges
Scarabelli, DiegoDeterministic Creation of Closely-Spaced Single NV Centers in Diamond
Honeycomb Lattice Patterned on GaAs Quantum Well: Artificial Graphene
Schad, JonathonCross Section of Photo Acid Generators (PAGs) in EUV Photoresists vs. Electron Beam Energies
Schalek, RichardA single-column, multi-beam SEM for high-resolution, high-throughput imaging
Scheer, Hella-ChristinA flexible hybrid stamp for T-NIL based on OrmoStamp
Procedure for high temperature nanoimprint of organic semi-conducting polymer
Thermal wrinkling of nanoimprinted SU-8 with masked UV-exposure
Scheffler, ChrisExploring Neon GFIS Nano-Machining Applications in Circuit Edit
Schiffels, DanielAssembly of Superparamagnetic Iron Oxide Nanoparticles on DNA Nanostructures
Schift, HelmutCombining UV-nanoimprint lithography and inkjet printing for the fabrication of monolithic micro-optical components
Schleunitz, ArneCombining UV-nanoimprint lithography and inkjet printing for the fabrication of monolithic micro-optical components
Novel UV-curable Hybrid Polymers with Elevated Refractive Index and Improved PDMS-compatibility
Schmidt, KristinDirected Self-Assembly Via Shrink Process with Lamella-forming Block Copolymers
Schmied, RolandFocused Ion Beam Processing of Polymers: Pushing the Limits by Alternative Patterning Strategies
High-Fidelity Shapes and Disruption Mechanism during Focused Electron Beam Induced Deposition
Scholze, PetraCharacterization of Electrophysiological Properties of Neurites using a Microfluidic-Microelectronic Platform
Nanomedicine of isolated axons - Electrical activity of individual neurites growing in a microfluidic channel
Schrott, AlejandroStand-alone Piezoeletronic Transistor
Schuller, PatrickNanomedicine of isolated axons - Electrical activity of individual neurites growing in a microfluidic channel
Schumacher, JoshuaFIB Milling and Replica Molding of Complex Surfaces with Atomic-Scale Precision
Schurink, BartAdvances in 3D neuronal cell culture
Schwartzberg, AdamSelective Laser Ablation in Resists and Block Copolymers for High Resolution Lithographic Patterning
Schwarz, KarinCharacterization of Electrophysiological Properties of Neurites using a Microfluidic-Microelectronic Platform
Nanomedicine of isolated axons - Electrical activity of individual neurites growing in a microfluidic channel
Scott, M.Atomic Resolution Electron Tomography
Scotuzzi, MarijkeNano Pattern Transfer into Si and ITO using masks made by Electron Beam Induced Deposition
Segal-Peretz, TamarPost-Directed-Self-Assembly Membrane Fabrication for In-situ Analysis of Block Copolymer Structures
Seino, YurikoA simulation study on defect annihilation dynamics in directed self-assembly lithography
Electrical Yield Verification of Half Pitch 15 nm Patterns using Directed Self-assembly of PS-b-PMMA
Servin, Isabelle300mm DSA process qualification and stability
Shadman, KhashayarA novel electron monochromator for high resolution imaging and spectroscopy
Shahrin, RahnumaFabrication and Mechanical Properties of Porous 3D Nanostructures
Shalaev, Vladimir M.Ultra-thin, Smooth and Low loss Al-doped Ag Film and its Application in Plasmonic Interconnects
Shami, Nabeel RehmanSolid Immersion Optics for Surface Plasmon Excitation in a Transmission Mode Photoemission Electron Microscope
Shankles, PeterFabrication of Nanoporous Membranes for Tuning Microbial Interactions and Biochemical Reactions
Microfluidic Exchange Devices for Cell-free Reactions
Shao, Jinhai20 nm Flip Blazed Fresnel zone plates by a PMMA/ZEP bilayer technique
A novel PMMA/NEB bilayer process for sub-20 nm metallic nanoslits by electron beam lithography and dry etch
A Study of Nanoimprinted Color Filter with Ultra High Resolution
Gray scale electron beam lithography for photon-nanojet based nanolens with super resolution lithography prospect
Stencil lithography for damage free fabrication of short channel photo conductive devices in graphene
Shaw, ThomasStand-alone Piezoeletronic Transistor
Shawrav, Mostafa MoonirExpanding nanomagnetic logic into the third dimension - new pathways via FEBID
Focused Electron Beam Induced Etching - Advantages, Features and Limitations of FEBIE with Chlorine
Towards high purity FEBID gold nanostructures – a comparison of purification approaches
She, JuncongGated Si Tip Field Electron Emitter with Integrated Nano-Conduction-Channel
Sheetz, MichaelMolecular Occupancy of Nanodot Arrays
Shi, BailingFabrication of X-ray reflection sinusoidal grating using fast electron beam direct writing
Shi, PeixiongFabrication of X-ray reflection sinusoidal grating using fast electron beam direct writing
Shi, ZhanNanofabrication of singlet-triplet qubit in Si/SiGe quantum dots with integrated micromagnets
Shimomukai, KazumaHigh Aspect Nanopore Array Fabrication by Nanoimprint Employing Novel Demolding Process
Impact of side wall angle of mold pattern on release force in nanoimprint lithography
Shin, In-KyunA Practical Approach to Modeling Scanning Electron Microscope Images for Minimization of Line Edge Roughness and Critical Dimension Error
Analytic Derivation and Minimization of Line Edge Roughness in Electron-beam Lithography
Shinada, HiroyukiModeling of Local Dielectric Charging-up during SEM Observation
Shiraishi, MasayukiA simulation study on defect annihilation dynamics in directed self-assembly lithography
Electrical Yield Verification of Half Pitch 15 nm Patterns using Directed Self-assembly of PS-b-PMMA
Shokouhi, BabakNeutral surface prepared by vapor phase coating for PS-b-PMMA self assembly
Shu, WeiliangFabrication of super-lyophobic surface on thermoplastic substrates with hybrid micro/nano-scale overhang structures
Sierakowski, AndrzejDesign, technology and application of piezoresistive scanning thermal probe for nanoscale investigations
Focused ion beam fabrication of planar probes for high resolution shear force microscopy
Simatos, DimitriosControlling excitons in semiconductor quantum dots for nanophotonic applications
Simon, DustinA thiol-ene / acrylate thermoset polymer as flexible substrate for implantable electronics
Simpson, MikeMicrowell arrays for high-throughput investigation of microbial interactions
Singh, LovejeetDirected Self-Assembly Via Shrink Process with Lamella-forming Block Copolymers
Singh, RobinModelling and analysis of MEMS capacitive microphone with compliant diaphragm
Singhan, SharwanScatterometry of a 50-nm Half Pitch Wire Grid Polarizer
Skinner, JackEffect of Iron-Doped Apatite Nanoparticles on a Eukaryotic Host-Virus System
Snider, GregoryFabrication of Nanodamascene Metallic Single Electron Transistor
Snow, E.Graphene-derived Materials for NEMS
Sohn, LydiaNode-Pore Sensing: A Label-Free Method for Cell Screening
Sohn, Young-IkFaraday Cage Reactive Ion Etching: Simulation and Experiments
Free-standing Nanostructures in Single-crystal Quartz
Solomon, PaulStand-alone Piezoeletronic Transistor
ZnO Functionalization of Multi-walled Carbon Nanotubes for Methane Sensing at Single PPM Concentration Levels
Son, Jin GyeongLarge-scale formation of three-dimensional plasmonic nanodishes using nanoimprint lithography
Song, BoxiangHigh contrast gratings for 3D additive manufacture
Song, ChengyuElectron-Beam Induced Atomic-Scale Defects in 2D Materials at Elevated Temperatures using In Situ Transmission Electron Microscopy
Song, XuanHigh contrast gratings for 3D additive manufacture
Spallas, JamesA High-Current Miniature Column for a High Volume Manufacturing Multi-Column Wafer Inspection System
Proposed Architecture of a Multi-Column Electron-Beam Wafer Inspection System for High Volume Manufacturing
Sreenivasan, S. V.Novel Method for Fabrication of Sub-50nm Multi-tier Nanoimprint Lithography Templates
Precision Overlay in UV Nanoimprint Lithography
Scatterometry of a 50-nm Half Pitch Wire Grid Polarizer
Srinivasan, KartikImaging Nanophotonic Modes of Microresonators using a Focused Lithium Ion Beam
Staaks, DanielPrecise measurement of chromium dry etching rate at low temperatures for ultimate profile control
Stach, EricLithographic engineering of volume plasmons
Stan, LilianaZnO Functionalization of Multi-walled Carbon Nanotubes for Methane Sensing at Single PPM Concentration Levels
Stanford, MichaelElectron beam induced oxidation of direct–write deposits: a simulation
Electron Stimulated Purification of Platinum Nanostructures Grown Via Focused Electron Beam Induced Deposition
Laser Assisted Electron Beam Induced Deposition: Towards a Nanoscale Atomic Layer Deposition Process
Stang, JohnFocused Microwave Cancer Therapy Using Lithographically Defined Nanoparticles
Stavis, SamuelFIB Milling and Replica Molding of Complex Surfaces with Atomic-Scale Precision
Steffen, MatthiasTaking Superconducting Qubits to the Next Generation
Stein, AaronDirected Assembly of Multiple Pattern Morphologies Using Block Copolymer Blends
Directed patterning of arbitrary metal oxide nanostructures using polymer template nanoreactors
Steinberg, ChristianA flexible hybrid stamp for T-NIL based on OrmoStamp
Procedure for high temperature nanoimprint of organic semi-conducting polymer
Thermal wrinkling of nanoimprinted SU-8 with masked UV-exposure
Su, DongLithographic engineering of volume plasmons
Sul, OnejaeEffects of thermal treatment on the transfer characteristics of sub-100 nm SnS2 thin-film transistor arrays
Sultan, MansoorTeflon AF Patterning using Variable Pressure Electron-Beam Lithography
Sun, LeiLine Edge Roughness Frequency Analysis during Pattern Transfer in Semiconductor Fabrication
Sun, ZhiweiSelective Laser Ablation in Resists and Block Copolymers for High Resolution Lithographic Patterning
Sung, Min GyuLine Edge Roughness Frequency Analysis during Pattern Transfer in Semiconductor Fabrication
Svatos, VojtechDetermination of Mechanical, Electrical and Surface Properties of an Individual Carbon Nanotube by Single Measurement
Three weeks at CNST of NIST
Syed, AtifFabrication and characterization of zinc oxide nanoneedles for medical/biological applications
Szkudlarek, AleksandraHigh-Fidelity Shapes and Disruption Mechanism during Focused Electron Beam Induced Deposition
In Situ Transport Properties Measurements of FEBID Cu(II)(hfa)2 During Annealing

T

Tai, RenzhongThe soft X-ray Interference Lithography Beamline(XIL, BL08U1B) at SSRF
Takahashi, KenDamascene of metallic wires on imprinted flexible substrate
Takahashi, Yukiko K.All-optical control of magnetization in various metallic magnetic systems
Takahata, K.Development of a column using permanent magnet lens for a multi-axis maskless E-beam lithography system
Taksatorn, NitGray scale electron beam lithography for photon-nanojet based nanolens with super resolution lithography prospect
Talukder, NiloyImpedance Cytometry Based on Multi-fingered Interdigitated Electrodes
Tan, ShidaExploring Neon GFIS Nano-Machining Applications in Circuit Edit
Focused Neon Ion Beam Induced Sputtering of Copper: Monte Carlo Simulations
Tanaka, HidekazuRapid growth in 30 seconds of thermally induced microphase-separation of PS-b-PMMA for directed self-assembly lithography
Tanaka, ToshikiComputational study on 3-Dimensional Imaging by Advanced Built-in Lens Mask (BILM) Lithography
Tang, HongChip-scale Cavity Electro-optomechanics with Aluminum Nitride
Taniguchi, JunResidual layer less nano-transfer by roll press and liquid transfer imprint lithography
Taniguchi, YusukeTemperature dependence of molecular orientation of liquid crystalline polymer induced by nanoimprint-graphoepitaxy
Tao, JunPost Fabrication of Foundry-Fabricated CMOS Serpentine Nanowire Biosensor with Focused Ion Beam
Tao, LiEncapsulated Delamination Transfer and Nanofabrication of Silicene Field-Effect Transistors
Taus, PhilippTowards high purity FEBID gold nanostructures – a comparison of purification approaches
Tavakkoli K. G., AmirNano Mesh Patterns by BCP Self-Templating
ten Haaf, GijsApplication of laser-cooling and compression to create a high resolution focused ion beam
Photoionization of a laser-intensified atomic beam: prospects for high resolution focused ion beams
Thamdrup, LasseNovel Structuring Process for Injection Molding Inserts By Free-Form Reverse Nanoimprint Lithography
Thiel, BradA single-column, multi-beam SEM for high-resolution, high-throughput imaging
Thissen, NickLithography-free fabrication of graphene devices
Thomas, G.Direct Electron Transfer From Single Enzymes to Single Wall Carbon Nanotubes
Thompson, LeslieDirected Self-Assembly Via Shrink Process with Lamella-forming Block Copolymers
Tian, HeHafnium oxide resistive memory based on cross-bar structures down to sub-20 nm dimensions
Tian, YanqingVolume-expansion polymerization for UV-curable nanoimprint
Tiberio, RichHybrid Nanoscale X-ray Imaging
Timilsina, RajendraFocused Neon Ion Beam Induced Sputtering of Copper: Monte Carlo Simulations
High-Fidelity Shapes and Disruption Mechanism during Focused Electron Beam Induced Deposition
Timm, AndreaFabrication of Nanoporous Membranes for Tuning Microbial Interactions and Biochemical Reactions
Microfluidic Exchange Devices for Cell-free Reactions
Timm, CollinMicrowell arrays for high-throughput investigation of microbial interactions
Tiron, Raluca300mm DSA process qualification and stability
High-χ Bio-Based Block Copolymers for Self-Assembled Nano-Lithography
Tobana, ToshikatsuA simulation study on defect annihilation dynamics in directed self-assembly lithography
Electrical Yield Verification of Half Pitch 15 nm Patterns using Directed Self-assembly of PS-b-PMMA
Tochino, TakamitsuImpact of side wall angle of mold pattern on release force in nanoimprint lithography
Tong, XiaoOrigin of insoluble residual in ZEP520 electron-beam resist development
Torres, Clivia M. SotmayorNovel Structuring Process for Injection Molding Inserts By Free-Form Reverse Nanoimprint Lithography
Toth, MilosRecent advances in gas-assisted electron and ion beam induced surface processing techniques
Toyoda, NoriakiGas Cluster Ion Beam Stimulated Reaction with Adsorbed Molecules on Metal Surface
Traverso, LuisParallel near field optical lithography with sub wavelength resolution using a massive array of bowtie antennas
Trimmel, GregorFocused Ion Beam Processing of Polymers: Pushing the Limits by Alternative Patterning Strategies
Trompenaars, PietA New In-situ Broad Ion Beam, With Energy Range 1 – 500 eV
Combined Electron Beam Induced Deposition and Etching for 3D shape control
Trushein, MatthewDeterministic Creation of Closely-Spaced Single NV Centers in Diamond
Tsai, HsinyuSelective neutralization for neutral last grapho-epitaxy directed self-assembly
Tsou, ChialinStencil lithography for damage free fabrication of short channel photo conductive devices in graphene
Tsou, Chia-LinA novel PMMA/NEB bilayer process for sub-20 nm metallic nanoslits by electron beam lithography and dry etch
Tung, Maryann C.Optimization of Peanut-Shaped Template Geometry for Block Copolymer Directed Self-Assembly
Turchanin, AndreyPatterned freestanding Carbon Nanomembranes and Graphene via Extreme UV interference Lithography
Twedt, KevinImaging Nanophotonic Modes of Microresonators using a Focused Lithium Ion Beam

U

Ueda, NaokiComputational study on 3-Dimensional Imaging by Advanced Built-in Lens Mask (BILM) Lithography
Uemura, KimiakiImpact of side wall angle of mold pattern on release force in nanoimprint lithography
Utke, IvoHigh-Fidelity Shapes and Disruption Mechanism during Focused Electron Beam Induced Deposition
In Situ Transport Properties Measurements of FEBID Cu(II)(hfa)2 During Annealing

V

Vaandrager, MirandaElectron Beam Induced High Resolution Biofunctionalised Nanopatterns
Valentine, JasonRealization of 2D and 3D All-Dielectric Optical Metamaterials
van Tol, RuudLaser triggered microfabricated Ultrafast Beam Blanker
van Veldhoven, EmileHelium-ion-beam-induced growth of 3-dimensional AFM probes
Vandenbroeck, NadiaDirected Self-Assembly Process Integration – Fin Patterning Approaches and Challenges
Vaz, AlfredoIn Situ Transport Properties Measurements of FEBID Cu(II)(hfa)2 During Annealing
Vemareddy, KaushikDirected Self-Assembly Via Shrink Process with Lamella-forming Block Copolymers
Vergeer, NielsMultiple e-beam direct write enters pre-production mode
Virzbickas, K.Novel Resist for Electron Beam Lithography on Insulating Substrates
Viscomi, Francesco NardaEnhanced adhesion of electron beam resist by grafted monolayer PMMA brush
Viswanathan, VigneshSolid Immersion Optics for Surface Plasmon Excitation in a Transmission Mode Photoemission Electron Microscope
Vladar, A.1.5 nm fabrication of test patterns for characterization of metrological systems
Vockenhuber, MichaelaPatterned freestanding Carbon Nanomembranes and Graphene via Extreme UV interference Lithography
Towards sub-10 nm node by EUV lithography
Vogler, MarkoNovel UV-curable Hybrid Polymers with Elevated Refractive Index and Improved PDMS-compatibility
Voigt, AnjaTowards a Novel Positive Tone Resist mr-PosEBR for High Resolution Electron Beam Lithography
Voit, WalterA thiol-ene / acrylate thermoset polymer as flexible substrate for implantable electronics
Direct photo-patterning of thiol-ene thermoset polymer thin film structures by DLP lithography
Von Ehr, JamesQuantitative Analysis of Digital STM Lithography Precision
Vredenbregt, EdgarApplication of laser-cooling and compression to create a high resolution focused ion beam
Photoionization of a laser-intensified atomic beam: prospects for high resolution focused ion beams

W

Wachter, StefanExpanding nanomagnetic logic into the third dimension - new pathways via FEBID
Waid, SimonFocused Electron Beam Induced Etching - Advantages, Features and Limitations of FEBIE with Chlorine
Ion-dose controlled etching of Nanoimprint stamps for the fabrication of Fresnell lenses
Wallace, CharlesSelective Growth and Self-Alignment Requirements for Advanced Patterning Applications
Wang, ChunleiIntegrated On-Chip Energy Storage Using Porous-Silicon Electrochemical Capacitors
Wang, HongleiPost Fabrication of Foundry-Fabricated CMOS Serpentine Nanowire Biosensor with Focused Ion Beam
Wang, JialinDamascene of metallic wires on imprinted flexible substrate
Wang, LeiMetallic Nanostructures Controlled by Dewetting Thin Film on Patterned Ceramic Surface
Wang, LianshengThe soft X-ray Interference Lithography Beamline(XIL, BL08U1B) at SSRF
Wang, QingStress and Deformation Behaviors in Polymer Resist during Demolding Process of Hot Embossing via Finite Element Method
Wang, RichardFabrication of passive polarization-dependent asymmetric optical devices using nanoimprint lithography
Wang, ShengHoneycomb Lattice Patterned on GaAs Quantum Well: Artificial Graphene
Wang, SiA flexible hybrid stamp for T-NIL based on OrmoStamp
Procedure for high temperature nanoimprint of organic semi-conducting polymer
Thermal wrinkling of nanoimprinted SU-8 with masked UV-exposure
Wang, WenhuiLine Edge Roughness Frequency Analysis during Pattern Transfer in Semiconductor Fabrication
Wang, YifeiFocused Microwave Cancer Therapy Using Lithographically Defined Nanoparticles
High contrast gratings for 3D additive manufacture
High-efficiency Water Electrolysis based on Nanoelectrodes
Low DC-Bias Silicon Nitride Anisotropic Etching
Wang, YujinTip-Enhanced Surface Enhanced Raman Scattering on Gold Nanoparticle Decorated Silicon Microcone Array Substrate for DNA Sensing
Wang, YuxuanSignificantly-Enhanced Light Extraction and Power Efficiency of Red Organic Light- Emitting Diode by Nano-Mesh Fabricated by Large-Area Nanoimprint
Wanzenboeck, HeinzCharacterization of Electrophysiological Properties of Neurites using a Microfluidic-Microelectronic Platform
Characterization of QSil 216 and QSil 218 for Microfluidic and Biomedical Applications
Expanding nanomagnetic logic into the third dimension - new pathways via FEBID
Focused Electron Beam Induced Etching - Advantages, Features and Limitations of FEBIE with Chlorine
Ion-dose controlled etching of Nanoimprint stamps for the fabrication of Fresnell lenses
Nanomedicine of isolated axons - Electrical activity of individual neurites growing in a microfluidic channel
Towards high purity FEBID gold nanostructures – a comparison of purification approaches
Ward, DanielNanofabrication of singlet-triplet qubit in Si/SiGe quantum dots with integrated micromagnets
Warzecha, MonikaFabrication and characterization of zinc oxide nanoneedles for medical/biological applications
Watanabe, HidehiroSimulation technique for pattern inspection using projection electron microscope
Weaver, JonathanFabrication of Topography-Free Samples for Thermal Spatial Resolution Measurement of Scanning Thermal Microscopy
Weber, Jan-WillemLithography-free fabrication of graphene devices
Weber-Bargioni, AlexanderControlling excitons in semiconductor quantum dots for nanophotonic applications
Wei, LaiFabrication of X-ray reflection sinusoidal grating using fast electron beam direct writing
Wei, ZhixiangControllable Synthesis of Single Conducting Polymer Nanowire on electrodes Fabricated by Focused Ion Beam Milling
Weinstein, DanaSolid State RF NEMS-CMOS Resonators
Wen, XiaoleiParallel near field optical lithography with sub wavelength resolution using a massive array of bowtie antennas
Weppelman, GerwardFabrication of metal nano-antennas with sub-10nm gap by using electron-beam induced deposition etch masks
Laser triggered microfabricated Ultrafast Beam Blanker
Werder, KurtA High-Current Miniature Column for a High Volume Manufacturing Multi-Column Wafer Inspection System
Proposed Architecture of a Multi-Column Electron-Beam Wafer Inspection System for High Volume Manufacturing
Whitelam, StephenControlling excitons in semiconductor quantum dots for nanophotonic applications
Wi, Jung-SubLarge-scale formation of three-dimensional plasmonic nanodishes using nanoimprint lithography
Wi, SungjinFabrication of Consistent MoS2 Biosensors for Quantifying Cancer-Related Biomarker Molecules with Femtomolar-Level Detection Limit
Fabrication of Transition Metal Dichalcogenide Photovoltaic Devices Using Surface-Charge Transfer (SCT) Doping Mechanism
Multilayer Transition Metal Dichalcogenide Device Arrays Fabricated Using Nanoimprint-Assisted Shear Exfoliation (NASE)
Wiedemann, PabloMultiple e-beam direct write enters pre-production mode
Wieland, MarcoMultiple e-beam direct write enters pre-production mode
Willson, GrantHigh Chi Block Co-polymers for Lithography
Wind, ShalomDeterministic Creation of Closely-Spaced Single NV Centers in Diamond
Honeycomb Lattice Patterned on GaAs Quantum Well: Artificial Graphene
Molecular Occupancy of Nanodot Arrays
Probing Immune Cell Response to Heterogeneous Rigidity at the Nanoscale
Winkler, RobertElectron beam induced oxidation of direct–write deposits: a simulation
Focused Ion Beam Processing of Polymers: Pushing the Limits by Alternative Patterning Strategies
High-Fidelity Shapes and Disruption Mechanism during Focused Electron Beam Induced Deposition
Winter, AndreasPatterned freestanding Carbon Nanomembranes and Graphene via Extreme UV interference Lithography
Wittmann, BjoernImproved Instrumentation and Patterning Strategies for Extended and Continuous FIB Nanofabrication
Wojcik, MichaelMultilayer on-chip stacked zone plates with high aspect ratio for hard X-ray nanoscale imaging
Wojdyla, AntoineDemonstration of below 30-nm half pitch resolution at the SHARP microscope
Wolbers, FloorAdvances in 3D neuronal cell culture
Wolf, HeikoNanometer precise overlay for sub-20nm thermal scanning probe lithography
Wolfenson, HaguyMolecular Occupancy of Nanodot Arrays
Wong, H.-S. PhilipOptimization of Peanut-Shaped Template Geometry for Block Copolymer Directed Self-Assembly
Wood, CameronCarbon nanotube field effect transistor apatasensors for estrogen detection in liquids
Wood, ObertLine Edge Roughness Frequency Analysis during Pattern Transfer in Semiconductor Fabrication
Wouters, SteinarApplication of laser-cooling and compression to create a high resolution focused ion beam
Photoionization of a laser-intensified atomic beam: prospects for high resolution focused ion beams
Wright, GwenDirected Assembly of Multiple Pattern Morphologies Using Block Copolymer Blends
Wu, WeiFabrication of passive polarization-dependent asymmetric optical devices using nanoimprint lithography
Focused Microwave Cancer Therapy Using Lithographically Defined Nanoparticles
High contrast gratings for 3D additive manufacture
High-efficiency Water Electrolysis based on Nanoelectrodes
Low DC-Bias Silicon Nitride Anisotropic Etching
Wu, XianNanofabrication of singlet-triplet qubit in Si/SiGe quantum dots with integrated micromagnets
Wu, YanqingThe soft X-ray Interference Lithography Beamline(XIL, BL08U1B) at SSRF
Wu, YueyingLaser-induced self-assembly of noble metal nanoparticles and EELS characterization
Wu, Yung-ChienDesigning Precursors for the Deposition Technique: CVD vs. EBID

X

Xia, DeyingBackside circuit edit with gas assisted etching on a platform with multiple focused ion beams
Xia, QiangfeiEffects of Programming Current and Environment on the Resistive Switching of a Nanoscale Memristive Device
Highly Reliable Resistive Switching Devices Based on Tantalum-doped Silicon Oxide
Xiao, Tiqiao20 nm Flip Blazed Fresnel zone plates by a PMMA/ZEP bilayer technique
Xie, ChangqingFabrication of X-ray reflection sinusoidal grating using fast electron beam direct writing
Xie, pengfeiElectronic Quantification of Protein Biomarkers Based on Bead Aggregate Sizing
Impedance Cytometry Based on Multi-fingered Interdigitated Electrodes
Xie, SijiaAdvances in 3D neuronal cell culture
Xu, ChenA Study of Nanoimprinted Color Filter with Ultra High Resolution
Gray scale electron beam lithography for photon-nanojet based nanolens with super resolution lithography prospect
Xu, NingshengGated Si Tip Field Electron Emitter with Integrated Nano-Conduction-Channel
Xu, RiuAtomic Resolution Electron Tomography
Xu, XianfanParallel near field optical lithography with sub wavelength resolution using a massive array of bowtie antennas
Xu, YonganDirected Self-Assembly Via Shrink Process with Lamella-forming Block Copolymers
Xu, Yuan HaoCell Migration Direction Switched by Angular Gratings
Xue, ChaofanThe soft X-ray Interference Lithography Beamline(XIL, BL08U1B) at SSRF

Y

Yabara, H.Development of a column using permanent magnet lens for a multi-axis maskless E-beam lithography system
Yager, KevinDirected Assembly of Multiple Pattern Morphologies Using Block Copolymer Blends
Yamada, IsaoGas Cluster Ion Beam Stimulated Reaction with Adsorbed Molecules on Metal Surface
Yang, BinDesign, technology and application of piezoresistive scanning thermal probe for nanoscale investigations
Yang, DaMicrofluidic platform for studies of self-organizing processes in a bacterial cell
Yang, Eui-HyeokTransferring Graphene Nanostructures onto a Transparent Flexible Substrate
Yang, FanPlanar interference lithography by exploiting high-k modes
Yang, ShuminThe soft X-ray Interference Lithography Beamline(XIL, BL08U1B) at SSRF
Yang, XiaoMinPrecise measurement of chromium dry etching rate at low temperatures for ultimate profile control
Yang, YiHafnium oxide resistive memory based on cross-bar structures down to sub-20 nm dimensions
High performance lithium niobate surface acoustic wave transducers exceeding 10 GHz resonant frequency
Yang, YuanmuRealization of 2D and 3D All-Dielectric Optical Metamaterials
Yang, YujiaFabrication and Characterization of Membrane Nano-gratings for Electron Diffraction
Lithographic engineering of volume plasmons
Spatial-Mapping of Photoemission from Plasmonic Nanoparticle Arrays
Yao, YuhanFabrication of passive polarization-dependent asymmetric optical devices using nanoimprint lithography
High contrast gratings for 3D additive manufacture
Yashchuk, Valeriy1.5 nm fabrication of test patterns for characterization of metrological systems
Yasuda, HiroshiDevelopment of a column using permanent magnet lens for a multi-axis maskless E-beam lithography system
Yasuda, MasaakiComputational Study of Resist Pattern Shrinkage under CD-SEM Observation
Computational study on 3-Dimensional Imaging by Advanced Built-in Lens Mask (BILM) Lithography
High Aspect Nanopore Array Fabrication by Nanoimprint Employing Novel Demolding Process
Impact of side wall angle of mold pattern on release force in nanoimprint lithography
Molecular Dynamics Study of Line Edge Roughness in Nanoimprint Lithography
Yavuz, MustafaNeutral surface prepared by vapor phase coating for PS-b-PMMA self assembly
Yi, HeOptimization of Peanut-Shaped Template Geometry for Block Copolymer Directed Self-Assembly
Ying, Y.Direct Electron Transfer From Single Enzymes to Single Wall Carbon Nanotubes
Yoo, Jung HoOptical Transmission Via Elliptically Patterned Grooves on Pyramidal Nano-aperture
Yoshida, HiroshiTranslocation of Single Stranded DNA through Nano-Cylindrical PEO Passage Self-Assembled by Amphiphilic Block Copolymer
Yu, HyunungDevelopment of head-scanning atomic force microscope in scanning electron microscope
Yu, JaesokA single protein resolution mapping of DNA-ZFP interaction using solid-state nanopores
Yu, MengFocused Microwave Cancer Therapy Using Lithographically Defined Nanoparticles
Yusuf, Ahmed ShamirSolid Immersion Optics for Surface Plasmon Excitation in a Transmission Mode Photoemission Electron Microscope

Z

Zalalutdinov, MaximGraphene-derived Materials for NEMS
Zang, PengyuanPost Fabrication of Foundry-Fabricated CMOS Serpentine Nanowire Biosensor with Focused Ion Beam
Zeidler, DirkA single-column, multi-beam SEM for high-resolution, high-throughput imaging
Zeng, HongjunProgress in electron-beam-lithography-fabricated Fresnel zone plates on diamond membranes for hard X-ray focusing
Zeng, XuanPost Fabrication of Foundry-Fabricated CMOS Serpentine Nanowire Biosensor with Focused Ion Beam
Zettl, AlexElectron-Beam Induced Atomic-Scale Defects in 2D Materials at Elevated Temperatures using In Situ Transmission Electron Microscopy
Zhang, BaoyueFabrication of super-lyophobic surface on thermoplastic substrates with hybrid micro/nano-scale overhang structures
Zhang, ChengBreaking Malus’ Law: Enhancing Asymmetric Light Transmission with Metasurfaces
Planar interference lithography by exploiting high-k modes
Ultra-thin, Smooth and Low loss Al-doped Ag Film and its Application in Plasmonic Interconnects
Zhang, LihuaLithographic engineering of volume plasmons
Zhang, QingSignificantly-Enhanced Light Extraction and Power Efficiency of Red Organic Light- Emitting Diode by Nano-Mesh Fabricated by Large-Area Nanoimprint
Zhang, RuiStress and Deformation Behaviors in Polymer Resist during Demolding Process of Hot Embossing via Finite Element Method
Zhang, Sichao20 nm Flip Blazed Fresnel zone plates by a PMMA/ZEP bilayer technique
A novel PMMA/NEB bilayer process for sub-20 nm metallic nanoslits by electron beam lithography and dry etch
A Study of Nanoimprinted Color Filter with Ultra High Resolution
Gray scale electron beam lithography for photon-nanojet based nanolens with super resolution lithography prospect
Zhang, WeiTunable mesoscale magnetic structures by nanoimprint lithography
Zhang, XuFabrication and Mechanical Properties of Porous 3D Nanostructures
Fabrication of Stretchable Transparent Conductors Using Nano-Accordion Structures
Zhang, YuanFabrication of Topography-Free Samples for Thermal Spatial Resolution Measurement of Scanning Thermal Microscopy
Zhang, YuchengIn Situ Transport Properties Measurements of FEBID Cu(II)(hfa)2 During Annealing
Zhao, D.Novel Resist for Electron Beam Lithography on Insulating Substrates
Zhao, JunThe soft X-ray Interference Lithography Beamline(XIL, BL08U1B) at SSRF
Zhao, JunjieFabrication and Mechanical Properties of Porous 3D Nanostructures
Fabrication of Stretchable Transparent Conductors Using Nano-Accordion Structures
Zhao, XiDielectrophoresis-assisted 3D nanochannel electroporation for high-throughput cell transfection with dosage control
Zhao, XinyuA Practical Approach to Modeling Scanning Electron Microscope Images for Minimization of Line Edge Roughness and Critical Dimension Error
Zheng, HanyueCarbon nanotube field effect transistor apatasensors for estrogen detection in liquids
Zhong, YingSensitivity of Thermo-Moisture Responsive Shape Memory Polymer Controlled by Imprinted Nano-Micro Patterns
Zhou, DianPost Fabrication of Foundry-Fabricated CMOS Serpentine Nanowire Biosensor with Focused Ion Beam
Zhou, HaipingLow-leakage current and damage-free silicon nitride deposition at 30oC by inductively coupled plasma with neutral beams by neutralization grid plate
Zhou, Shu FanCell Migration Direction Switched by Angular Gratings
Zhu, RuichaoScatterometry of a 50-nm Half Pitch Wire Grid Polarizer
Zhu, XiaoliFabrication of X-ray reflection sinusoidal grating using fast electron beam direct writing
Zhu, ZhouyangFiber-facet SERS Probes Fabricated Using Double-Transfer Nanoimprint Lithography
Ziegler, DominikEncased Cantilevers for Low-Noise Force and Mass Sensing in Liquids
Zou, JieImaging Nanophotonic Modes of Microresonators using a Focused Lithium Ion Beam
Zou, WenjunControllable Synthesis of Single Conducting Polymer Nanowire on electrodes Fabricated by Focused Ion Beam Milling

EIPBN Abstracts