EIPBN

EIPBN 2007 Table of Contents


Section Abstract and Authors
1.1
Plenary Session

Step and Flash Imprint Lithography: A Status Report

C. Grant Willson, The University of Texas

The cost of the lithographic process threatens the structure of the semiconductor manufacturing industry. Step and Flash Imprint lithography is a low cost, high resolution patterning technology that shows great promise as an alternative for producing nanostructures. This process will be reviewed and the potential for implementation in nanomanufacturing discussed.

1.2
Plenary Session

Metamaterial and Plasmonic Nanocircuit Elements: Towards a New Paradigm for Optical Nanoelectronics

Nader Engheta, University of Pennsylvania

Fundamental features of the concept of optical "lumped" nanocircuit elements using metamaterial/plasmonic nanostructures at optical wavelengths are discussed, and several optical nanocircuits and systems formed as tapestries of these lumped elements are explored theoretically using full-wave simulations. We forecast how this concept may lead to new paradigms for optical nanoelectronics.

1.3
Plenary Session

Nondisruptive Lithographic Processes on the Nanoscopic Level

Thomas Russell, University of Massachusetts Amherst

Several routes by which block copolymers can be used to produce arrays of nanoscopic elements that have high aspect ratios (ideal for templating and scaffolding) that exhibit long-range order, that give access to multiple length scale structuring, and that are amenable to being biased by macroscopic features placed on a surface will be described.

1.4
Plenary Session

The Semiconductor Industry's Nanoelectronics Research Initiative: Motivation and Challenges

Jeffrey Welser, IBM / SRC

The scaling challenges facing current CMOS technology will be discussed, along with the ultimate limits for charge-switching based devices. From this motivation, the current status of the semiconductor industry's Nanoelectronics Research Initiative (NRI) and an overview of current research on post-CMOS devices being investigated will be discussed.

1.5
Plenary Session

Spin Excitation Spectroscopy with the STM

Don Eigler, IBM Almaden Research Center

We have extended the spectroscopic abilities of the scanning tunneling microscope to include the measurement of spin-excitation spectra. Utilizing spin-excitation spectroscopy as our primary tool, we are now capable of extracting exchange coupling energies, anisotropy energies, and information on the spin configuration of nanometer-scale structures. What we learn from these experiments will hopefully allow us to engineer the "energy landscape" of a system of spins in order to achieve nanometer-scale binary logic circuits that operate using only the spin degree of freedom.

2A.1
Photoresists 1

INVITED: Reversible Contrast Enhancement Layer for 193 nm Double Exposure

G. Cooper, Pixelligent Technologies

Pixelligent's proprietary technology employs the unique optical properties of semiconductor nanocrystals to produce a reversible contrast enhancement layer (Arsel). R-CEL behaves as a saturable absorber and can reduce the cost of double exposure to the acceptable level. This technology will be reviewed in this presentation.

2A.2
Photoresists 1

Is EUV Resist Ready for the 32nm Half-Pitch Node? Status and Challenges

Y. Wei, Qimonda North America Corp, R. Brainard, G. Denbeaux, University at Albany, D. Goldfarb, C.-S. Koay, IBM, J. Mackey, Micron, W. Montgomery, University at Albany, K. Petrillo, IBM, B. Pierson, ASML, T. Wallow, O. Wood, AMD

This is a joint presentation of INVENT. It summarizes the recent EUV resist development results under the INVENT program.

2A.3
Photoresists 1

Pixelated Chemically Amplified Resists: Investigation of Material Structure on the Spatial Distribution of Photoacid and Line Edge Roughness

Y.-H. La, I. In, M. Leolukman, S.-M. Park, P. Gopalan, P. Nealey, University of Wisconsin

Pixelated chemically amplified resists were fabricated by self-assembly of diblock copolymers to investigate the relationship between the structure of the photoresists and the spatial distribution of photoacid and line-edge roughness. Photoacids were sequestered into only one block containing acid-labile functionality and the line edge roughness was directly correlated to the domain size of copolymers.

2A.4
Photoresists 1

Direct Measurement of The Spatial Extent of The In Situ Developed Latent Image By Neutron Reflectivity

V. Prabhu, A. Rao, S. Kang, E. Lin, W.-l. Wu, S. Satija, NIST, K. Turnquest, SEMATECH

The in situ spatial distribution of polymer photoresist and deuterium labeled developer were measured at a model line edge. A residual swelling fraction is measured which remains swollen during development and rinse steps therefore the final feature occurs through a collapse mechanism upon film drying.

2A.5
Photoresists 1

A Study Of Electron Beam Exposed Calixarene Development Conditions And Two Application Methods

D.L. Olynick, H. Lu, M.K. Lewis, S.D. Dhuey, W. Chao, J.A. Liddle, Lawrence Berkeley National Laboratory, D. Filenko, I.W. Rangelow, Technical University of Ilmenau, Z. Kazantseva, V. Kalchenko, National Academy of Sciences of Ukraine

Hexaacetate p-methylcalix[6]arene was first demonstrated as a negative e-beam resist with isolated line resolution of 10 nm. In this paper we study application and development conditions for 100 keV electron beam exposed calixarene and discuss factors contributing to calixarene resolution including contrast, solvent molecule size, developer strength, swelling, and drying conditions.

2A.6
Photoresists 1

Fundamental Behavior of Single Molecule Molecular Glass Resists Based on Onium Salt Cores

R. Lawson, C. Henderson, R. Whetsell, L. Tolbert, Georgia Institute of Technology, W. Yueh, J. Roberts, Intel Corporation

This paper reports on a novel class of resist materials based on a single molecule - molecular glass resist suitable for use in EUV lithography.

2B.1
Photonics 1

INVITED: Plasmonics: A Route to Optical Metamaterials and Nanoscale Optical Devices

H. Atwater, Caltech

Plasmonics has provided nanoscience researchers new control of optical dispersion and light localization at nanoscale dimensions. I will discuss plasmonic concepts that are yielding metamaterials designs, and also building blocks for chip-based optical device technology with potential imaging, spectroscopy and interconnection applications in ultramicroscopy, computing, communication and chemical/biological detection.

2B.2
Photonics 1

Nanolithographically Fabricated Metallic Metamaterials showing a Strong Broadband Resonances between 1 and 3 Microns

S. Cabrini, M. Martin,, Z. Hao, B. Harteneck, D. Olinick, E. Anderson, LBNL, A. Liddle, NIST

We describe the fabrication and the optical characterization of nanometer size metamaterial metallic split ring resonators (SRR). They are 2D gold shapes made by electron beam lithography and electroplating process on silicon nitrate thin membrane. The critical dimensions between 500 nm and 20 nm allow us to modulate the resonant wavelength.

2B.3
Photonics 1

Large Area Plasmonic and Negative-Index Nanostructures Fabricated by Nanoimprint

C. Peng, S. Bai, S. Y. Chou, Princeton University

We propose novel fabrication methods of large area metal-insulator-metal nano-stack arrays and split ring arrays by nanoimprint,and discuss their applications

2B.4
Photonics 1

Membrane Stacking: A New Approach for Three-Dimensional Nanostructure Fabrication

A. Patel, H. Smith, MIT,

We report progress on the use of patterned low-stress SiNx membranes as a test vehicle for the construction of multilayer photonic structures.

2B.5
Photonics 1

Nano- and Micro- Lens-Array Patterns Formed by Conformal Atomic Layer Deposition

J. Wang, M. Buonanno, Q. Wu, NanoOpto Corp.

A new micro- and nano- lens array forming method based on conformal atomic layer deposition is reported. This new method is versatile and can be employed in the fabrication of a wide range of lens arrays in terms of size, fill factor, material option, shape and surface curvature, and optical function (e.g., graded-index lens arrays).

2B.6
Photonics 1

Buffered Two-Dimensional Slab Photonic Crystals on a Silicon-on-Insulator Platform

M. Khan, M. Qi, Purdue University

We propose and demonstrate a buffered 2D slab photonic crystal (PhC) where two slab PhCs of lower refractive index are stacked above and below the slab PhC of higher index. This architecture is much more robust than the one based on free-standing membranes, and will enable high-density integrated photonic devices.

3A.1
Directed Self Assembly 1

INVITED: Exploring The Manufacturability Of Using Block Copolymers As Resist Materials In Conjunction With Advanced Lithographic Tools

P. Nealey, University of Wisconsin

In this paper we discuss the integration of self-assembling block copolymers into the lithographic process with respect to manufacturing constraints such as perfection, registration and the ability to pattern non-regular device-oriented structures, critical dimension control, line edge roughness, processing time (throughput), and pattern transfer.

3A.2
Directed Self Assembly 1

Creation Of Sub-20nm Contact Using Diblock Copolymer With Conventional Lithography On A 300mm Wafer

W.-K. Li, S. Yang, IBM Microelectronics

We developed a successful method of integrating lithography and block copolymer processing to create single cylindrical copolymer features in specific locations. The size of the cylindrical dot can be varied by the molecular weight of the block copolymer. This method makes block coploymer patterning useful for the fabrication of devices at nanometer scales.

3A.3
Directed Self Assembly 1

Directed Self-Assembly of Block Copolymer Based Hybrid Nanostructures

J. Cheng, IBM Almaden Research Center, R. Ruiz, Hitachi, C. Black, BNL, M. Flickner, H.-C. Kim, IBM

We demonstrate robust silicon oxide lines formed from self-assembled hybrid materials of block copolymers and organosilicate. Aligned lines have been achieved by guiding the self-assembly process with topographical and chemical prepatterns on the substrates.

3A.4
Directed Self Assembly 1

Fabrication of 20-nm Half-Pitch Dense Lines Using Block Copolymer Lithography And The Hard-Mask Etching Process

T. Yamaguchi, H. Yamaguchi, NTT Corporation

We report the pattern transferability of the lamellar domains of poly(styrene-b-methylmethacrylate), which are aligned in confined spaces between resist patterns. We have successfully demonstrated that 20-nm half-pitch dense lines can be fabricated by transferring these aligned domains to an amorphous silicon layer through the hard-mask etching process.

3A.5
Directed Self Assembly 1

Template Fabrication For Bit-Patterned Media Using Graphoepitaxial Block Copolymer Cylindrical Nanodomains

S. Xiao, X. Yang, Seagate Research Center

As a viable BPM template, two key issues of block copolymer domains are: (a) size uniformity, and (b) registration to the substrate. The registration is achieved by graphoepitaxy of cylindrical block copolymers to a topographic pattern. The size distribution is relevant to domain long-range order. Pattern transfer to magnetic dots is also demonstrated.

3B.1
EUV Lithography 1

INVITED: EUV Lithography: From Research to Manufacturing

B. La Fontaine, Advanced Micro Devices

We will review the current status of the technology and provide an outlook of the challenges that remain before EUV lithography can be used in manufacturing.

3B.2
EUV Lithography 1

Advanced Resist Testing Using The Berkeley Extreme Ultraviolet Microfield Exposure Tool

P. Naulleau, LBNL, C. Anderson, University of California, K. Dean, SEMATECH, P. Denham, K. Goldberg, B. Hoef, LBNL, B. La Fontaine, T. Wallow, AMD

Advanced EUV printing results from the upgraded Berkeley MET tool.

3B.3
EUV Lithography 1

EUV Lithography at IMEC

G. Lorusso, M. Goethals, R. Jonckheere, J. Hermans, K. Ronse, IMEC, A. Myers, Intel, I. Kim, Samsung, A. Niroomand, Micron, F. Iwamoto, Matsushita, D. Ritter, SIGMA-C

By installing the world's first EUV full-field scanner, IMEC now has a fully-integrated, 300mm process line capable of properly investigating EUVL readiness for high-volume manufacturing (HVM). In this paper, we will present our progress in various EUVL-specific areas. The focus will be on imaging, flare, shadowing, EUV resist, and multilayer mask.

3B.4
EUV Lithography 1

Table Top Patterning Of Arrays Of Nano-Dots With Extreme Ultraviolet Laser Interferometric Lithography

P. Wachulak, Colorado State University, M. Capeluto, University of Buenos Aires, M. Marconi, C. Menoni, J. Rocca, Colorado State University

Arrays of nanodots were directly patterned by interferometric lithography using a bright table-top 46.9 nm laser. Multiple exposures with a Lloyd's mirror interferometer allowed to print arrays of 60 nm FWHM features. This laser-based EUV interferometric technique makes it possible to print different nanoscale patterns using a compact table-top set up.

3B.5
EUV Lithography 1

Analysis of Coulomb and Johnsen-Rahbek Electrostatic Chuck Performance for EUV Lithography

M. Sogard, Nikon Research Corporation of America, A. Mikkelson, M. Nataraju, K. Turner, R. Engelstad, University of Wisconsin

The successful implementation of extreme ultraviolet lithography requires the use of an electrostatic chuck to both support and flatten the mask during scanning exposure. This research assesses the clamping ability of Coulombic and Johnsen-Rahbek chucks and is being used to identify the range of reticle flatness variations that can be accommodated during exposure.

3C.1
Nanoimprint 1

INVITED: Techniques for Three Dimensional and Molecular Scale Fabrication

J. Rogers, University of Illinois

This talk describes soft lithographic techniques that provide 2D molecular scale, and 3D nanoscale patterning capabilities. The former relies on advanced soft nanoimprinting methods with templates derived from individual carbon nanotubes. The latter uses conformable phase masks to generate 3D structures in photopolymers. Some representative applications will be discussed.

3C.2
Nanoimprint 1

Patterned Wafer Defect Density Analysis of Step and Flash Imprint Lithography

I. McMackin, W. Martin, J. Perez, J. Maltabes, S. Johnson, K. Selinidis, D. Resnick, S.V. Sreenivasan, Molecular Imprints

This presentation will summarize the results of a comprehensive defect inspection of wafers patterned using S-FIL. The primary wafer inspection was performed using a KLA-2132 automated patterned wafer inspection tool. Additional inspections were carried out on a high-resolution scanning-electron-beam inspection tool. The inspection results show that the defect density of imprinted wafers is determined by contamination of the wafer or template.

3C.3
Nanoimprint 1

Roll-to-roll Nanoimprint Lithography on Flexible Plastic Substrate

S. H. Ahn, L. J. Guo, University of Michigan

We present continuous imprinting of nanograting structures on flexible plastic substrate by true roll-to-roll process. Faithful patterning with high throughput using both a fast thermal curable PDMS and a liquid UV curable epoxysilicone resist has been demonstrated by using a non-sticking flexible fluoropolymer mold fixed around a motor-driven roller.

3C.4
Nanoimprint 1

Selective Surface Energy Modification of SU-8 Nanochannels for DNA Analysis

B. Yang, D. Li, V. R. Dukkipati, S. W. Pang, University of Michigan

Surface energy modification inside sealed nanochannels is achieved by patterning polymer structures and exposing the polymer to an O2 plasma. The sealed SU-8 nanochannels are formed by reversal UV imprint. DNA stretching on SU-8 surfaces and across SU-8 structures inside sealed channels are demonstrated.

3C.5
Nanoimprint 1

Simple Fabrication of UV Nanoimprint Templates using Critical Energy Electron Beam Lithography

J. Joo, K. Jun, J. Jacobson, Massachusetts Institute of Technology

We propose a simple UV-NIL template fabrication scheme using Critical Energy Electron Beam Lithography (CE-EBL) with Hydrogen Silsesquioxane (HSQ) resist. In CE-EBL, e-beam patterning at the critical energy practically eliminates charge induced pattern distortion seen on quartz or glass. This template fabrication process eliminates conventional deposition and etching of charge dissipation layers.

4A.1
Emerging Technologies

INVITED: Trapping and Manipulating Single Molecules In Solution

A. Cohen, W. E. Moerner, Stanford University

The Anti-Brownian ELectrokinetic trap (ABEL trap) is a new device that allows a user to trap and manipulate objects as small as a few nanometers diameter, in solution, at room temperature. Applications of the ABEL trap to single-molecule biophysics and nanofabrication will be discussed.

4A.2
Emerging Technologies/td>

INVITED: Highly Scalable Resistance-Change Memory

M. Kozicki, Arizona State University

This presentation will review solid electrolyte resistance-change devices and will discuss how the electrical characteristics of the most promising variants could be ideal for future low power, high density memory and storage applications.

4A.3
Emerging Technologies

INVITED: The Push for Cost Effective Solar Photovoltaics, an Opportunity for Nanomaterials

D. Milliron, IBM Almaden Research Center

To sustain the recent dramatic growth in the photovoltaics industry and make a meaningful contribution to future energy generation will require a substantial reduction in the cost of solar electricity. I will discuss the enabling role that nanomaterials are playing in a wide range of new solar cell concepts.

4A.4
Emerging Technologies

INVITED: CMOL: Freeing Advanced Lithography from the Alignment Requirement Terror

K. Likharev, Stony Brook University

I will review the recent development of devices and architectures for hybrid CMOS/nanoelectronic circuits using the area-distributed "CMOL" interface. Such circuits may provide unprecedented performance in several applications including memories, digital logic circuits (of both the FPGA and ASIC variety), and bio-inspired mixed-signal networks, while not requiring nanoscale layer alignment.

4B.1
EUV Lithography 2

Growth and Printability of Multilayer Phase Defects on EUV Mask Blank

T. Liang, G. Zhang, E. Ultanir, S.-J. Park, Intel Corporation, E. Anderson, E. Gullikson, F. Salmassi, Lawrence Berkeley Lab, P. Mirkarimi, E. Spiller, S. Baker, Lawrence Livermore National Lab

The ability to fabricate defect-free ML blanks is a well-recognized challenge in enabling EUVL for semiconductor manufacturing. Both the specification and reduction of defects necessitate the understanding of their printability and how they are generated and grow during ML deposition. This paper presents our recent research on the growth and printability of ML phase defects.

4B.2
EUV Lithography 2

Study on Critical Dimension Of Printable Phase Defects Using an EUV Microscope

T. Yoshizumi, University of Hyogo, T. Sugiyama, Asahi Glass Co., Ltd, T. Watanabe, H. Kinoshita, University of Hyogo

We will present the results of a study of printable and unprintable defect size using EUV microscope. The planarization effect of multilayer deposition over defects on glass substrate will be discussed.

4B.3
EUV Lithography 2

Bit-Array Patterns With Density Over 1 Terabit/Inch2 Fabricated By Extreme Ultraviolet Interference Lithography

H. H. Solak, Paul Scherrer Institute, Y. Ekinci, Swiss Federal Instiute of Technology, L. J. Heyderman, Paul Scherrer Institute

We used multiple-beam EUV interference lithography to produce two-dimensional arrays in photoresist with density exceeding 1 Tbit/in2. The high-resolution and high-throughput available from EUV interference lithography and its ability to form patterns with low jitter in bit positions makes it a candidate for future production of patterned magnetic media.

4B.4
EUV Lithography 2

Evaluation of EUVL Mask Absorber Pattern on Multilayer Phase Defect Using EUV Microscope

K. Hamamoto, N. Sakaya, M. Hosoya, M. Kureisi, R. Okubo, T. Shoki, HOYA Corporation, J. Kishimoto, Y. Mizuta, T. Watanabe, H. Kinoshita, University of Hyogo

We report an observation results of absorber pattern on the mask blanks with programmed phase defect using EUV microscope and discuss the details of these results and quantify the efficiency to CD.

4B.5
EUV Lithography 2

In Situ XANES Analysis for EUVL Projection Optics Contamination

M. Niibe, Y. Kakutani, K. Koida, University of Hyogo, S. Matsunari, T. Aoki, S. Terashima, H. Takase, K. Murakami, Y. Fukuda, EUVA

To investigate EUVL projection optics contamination, an in situ XANES spectrometry technique was developed and used in our contamination study in which surface state analysis and element concentration mapping were carried out. In situ observation of oxidation inhibition effect of ethanol introduction into the vacuum chamber was successfully carried out for Ru-capped Mo/Si multilayer.

4B.6
EUV Lithography 2

Flare Variation Compensation for 32 nm Line and Space Pattern for Device Manufacturing on EUVL

H. Aoyama, Selete, N. Iriki, T.Tanaka, SELETE

In this paper, we will describe a setting of optimum mask bias for flare variation correction with practical lithographic process window and the possibility of precise flare variation correction with 0 to 10% flare on a 32-nm line-and-space pattern using aerial imaging simulation.

4C.1
E Beam Lithography 1

INVITED: Does the SEM have a Future in Metrology?

D. Joy, University of Tennessee

The SEM is now a mature technology and improvements to imaging performance are only incremental although the requirements for SEM image based metrology continue to be more stringent. Three possible routes to extending the utility of SEM imaging to 45nm nodes and below are explored.

4C.2
E Beam Lithography 1

High Resolution Electron Beam Lithography Systems for the Next Generation Optical and Hard Disk

H. Ohyi, CRESTEC Corporation

A XYZ stage Electron Beam Lithography with a Circular Pattern Write Function and a X-Theta stage EB Mastering System with a twisted roller friction drive slider are developed to fabricate data and servo patterns of the next generation (NG) optical disks with 25GB or beyond and the Discrete Track Media as the NG-HDD.

4C.3
E Beam Lithography 1

Real-Time Spatial Phase Locking for Vector-Scan Electron Beam Lithography

T. Hastings, University of Kentucky

Spatial-phase locked electron-beam lithography (SPLEBL) uses an in-situ fiducial grid to provide feedback control for highly accurate pattern placement. We present a new approach to SPLEBL suitable for real-time feedback in vector-scan systems. Simulations and initial experimental results reveal the optimal grid configuration, pattern dependence, and ultimate performance of vector-scan SPLEBL.

4C.4
E Beam Lithography 1

Phase Contrast Transmission Electron Microscopy With Electrostatic Phase Plate

W.-K. Hsieh, E. Anderson, Lawrence Berkeley National Lab, B. Harteneck, D. Hilken, W. Chao, C. Kisielowski, Lawrence Berkeley National Lab

An electrostatic phase plate originally proposed by Boersch, based on a weak electrostatic Einzel lens has been fabricated to enhance the contrast of weak phase objects such as biological specimens in Transmission Electron Microscopy.

4C.5
E Beam Lithography 1

A Novel 3D Lithography: Self Aligned Patterning Through Thin Layers

R. Wacquez, P. Coronel, M.-P. Samson, J. Bustos, T. Skotnicki, STMicroelectronics, D. Delille, NXP, J.-P. Gouy, CEA-Léti MINATEC, P. Masson, L2MP

The first 3D lithography through silicon layer is reported here. High energy electron beam lithography (EBL) has been performed from side to side of a planar thin silicon layer. This results in self aligned patterns. Applications are discussed.

5A.1
Directed Self Assembly 2

INVITED: DNA Origami: Folding DNA To Create Arbitrary Shapes And Patterns

P.W.K. Rothemund, California Institute of Technology

Here I describe a method for folding long single strands of DNA into arbitrary two dimensional shapes. Self-assembled from the genome of the virus M13 and more than 200 synthetic DNA strands, the shapes are 100 nanometers in diameter and may be patterned with 6 nanometer resolution.

5A.2
Directed Self Assembly 2

Sub-Micron Aligned Wafer Bonding via Capillary Forces

M. Tupek, K. Turner, University of Wisconsin

The mechanics of a capillary force assisted wafer-to-wafer alignment scheme to enable the fabrication of vertically integrated microelectronics and microelectromechanical systems is examined through a combination of modeling and experiments. Specifically, the role of elastic distortion in misalignment is quantified and the capabilities of the capillary assisted process are identified.

5A.3
Directed Self Assembly 2

Quantum Dots by UV- and X-Ray Lithography

M. Bertino, R. Gadipalli, L. Martin, B. Heckman, A. Yamilov, N. Leventis, S. Guha, University of Missouri, J. Katsoudas, V. Zyryanov, Illinois Institute of Technology, R. Divan, D. Mancini, Argonne National Laboratory

Highly luminescent semiconductor quantum dots are synthesized in porous materials with UV and X-ray lithography. Patterns are obtained with masks. The size of the quantum dots is controlled and the QY can be increased to about 30% by photoactivation. Its water-based, uses readily available reagents, and highly luminescent patterned composites are obtained in a few steps.

5A.4
Directed Self Assembly 2

Lithography Guided Fracture Induced Self-Assembly (G-FISA)

Y. Wang, S. Chou, Princeton University

Here we report a new FISA method, termed Guided Fracture Induced Self-assembly (G-FISA), where we put micropatterns on one of the two plates (rather than two flat, featureless plates) and use them to guide and modulate FISA.

5A.5
Directed Self Assembly 2

Scanning Electron Microscopy Evaluation of Soft-Lithography Techniques

C. Srinivasan, T. Mullen, J. N. Hohman, M. E. Anderson, P. Weiss, M. Horn, Penn State University

We have utilized a scanning electron microscope (SEM) to characterize chemical patterns prepared by mCP, and three recently developed chemical patterning techniques that enhance the sophistication and resolution of soft lithography – microdisplacement printing (mDP), microcontact insertion printing (mCIP), and lithography-assisted chemical patterning.

5B.1
Maskless Lithography 1

A Monolithic Multi-Channel Secondary Electron Detector for Distributed Axis Electron Beam Lithography and Inspection

D. Pickard, C. Kenney, F. Pease, T. Crane Stanford University, S. Tanimoto, Hitachi Central Research Laboratory, T. Groves, Vistec Lithography, Ltd.,

The limitations on the throughput of electron beam systems (Coulomb-scattering) can be circumvented by distributing the total current over many beamlets and a large area. For signal detection a compact detection scheme is required. We report on the fabrication and experimental results of a PIN-multi-element detector to meet these requirements.

5B.2
Maskless Lithography 1

Sub-Wavelength Proximity Nanolithography using Plasmonic Lens

S. Seo, H. C. Kim, M. Cheng, Texas A&M University

This paper presents a sub-wavelength proximity nanolithography based on a Plasmonic Lens (PL) which consists of titanium (Ti) nano-structures on a fused silica substrate.

5B.3
Maskless Lithography 1

Multiple Beam Sub-80 nm Lithography with Miniature Electron Beam Column Arrays

C. Silver, J. Spallas, L. Muray, Novelx, Inc.

Miniature electron beam columns are good candidates for high resolution, high throughput maskless lithography applications due to their potential for high density column arrays and inexpensive fabrication. This paper presents the first results from simultaneous multiple beam lithography using miniature column arrays.

5B.4
Maskless Lithography 1

Atomic Force Lithography with Interfero-metric Tip-to-Substrate Position Metrology

E. Moon, J. Kupec, M. Mondol, H. Smith, K. Berggren, Massachusetts Institute of Technology

We adapt Interferometric-Spatial-Phase Imaging (ISPI) to detect the positions of a tip and substrate for nanometer-level pattern registration in atomic force lithography. The need for position metrology is illustrated by pattern registration experiments using an open-loop piezo and a closed-loop piezo. Observed lithographic errors are >450 nm, >110 nm, respectively.

5B.5
Maskless Lithography 1

A CRT Cathode As A Multi-Beam Source For Electron Lithography

A. van Veen, A. van der Blom, M. Weeda, M. Wieland, Mapper Lithography, P. Kruit, Delft University of Technology

We have investigated the potential of using a dispenser cathode of the type that is common in television tubes for use in multi beam lithography. The space charge limitation guarantees stable and uniform emission. Reduced brightness values of 106 A/srm2V were measured for these standard cathodes in a 100-beam set-up.

5C.1
Nanoimprint 2

INVITED: Step-and-Flash Imprint Lithography for Storage-Class Memory

M. Hart, IBM Almaden Research Center

In this talk, two key aspects of realizing a solid-state, ultra-high-density, nonvolatile, low-cost memory technology will be discussed. In particular, a multi-organization effort to incorporate step-and-flash imprint lithography into a conventional 200mm CMOS process to address these two aspects will be described.

5C.2
Nanoimprint 2

Evolution of Mound And Air Bubble Formation In Thermal Nanoimprint

H. Schift, J. Gobrecht, Paul Scherrer Institut, S. Bellini, University of Applied Sciences Aargau, M. B. Mikkelsen, Technical University of Denmark

The evolution of molding can be observed in a single imprint, when a pressure gradient results in different filling states at adjacent locations. We fabricated sequences of mold filling by combining micrographs of micropillars and discuss the evolution of single patterns including the formation of air bubbles and artifacts.

5C.3
Nanoimprint 2

Measuring Pattern Quality and Porosity of Dielectric Insulator Films Directly Patterned by Nanoimprint Lithography

H. W. Ro, C. Soles, H.-J. Lee, R. Jones, E. Lin, A. Karim, NIST, H. Peng, University of Michigan, D. Gidley, University of Michigan, D. Y. Yoon, Seoul National University

We report the direct imprinting and creation of sub-100 nm nanoporous low-k patterns by nanoimprint lithography. The effect of imprinting on dielectric constant, pore size and interconnectivity of vitrified nanoporous low-k patterns will be presented.

5C.4
Nanoimprint 2

UV-Nanoimprint With The Assistance Of Gas Condensation At Atmospheric Environmental Pressure

H. Hiroshima, M. Komuro, National Institute of Advanced Industrial Science and Technology

We propose UV-nanoimprint using gas condensation to solve the bubble defect problem unavoidable for UV-nanoimprint in air. It is aimed at complete filling using spin-coated UV-curable resin at atmospheric environmental pressure. The absence of bubble generation for initial resin thickness larger than 100 nm is demonstrated.

5C.5
Nanoimprint 2

Implementing nDSE (Nano-Scale Displacement Sensing and Estimation) - Based Alignment Using Imprint Molds with Non-Marking Alignment Features

Z. Yu, J. Gao, C. Picciotto, W. Wu, J. Straznicky, W. Tong, S.-Y. Wang, S. Williams, Hewlett Packard Labs

We present a displacement-measurement-based alignment (DMA) scheme for NIL. Imprint molds with non-transferable alignment features are the key elements for its implementation. This technology has the potential to provide us with a path towards the realization of imprinting tools that can perform sub-10 nm resolution lithography with sub-100 nm alignment accuracy at a low cost.

6A.1
Nanobiology

INVITED: Photolithographic Synthesis Of High-Density DNA Probe Arrays: Challenges And Opportunities

G. McGall, F. Afroz, D. Barone, P. Bury, C. Chen, C. Chen, P. Ciccolella, A. Cuppoletti, M. Goldberg, R. Kuimelis, A. Pawlowski, R. Rava, E. Spence, Affymetrix

GeneChip™ DNA probe arrays are widely used for large scale genetic sequence analysis. Current production methods based on contact photolithography provide arrays with a density approx. 4 million probe sequences/cm2. The next generation process can fabricate arrays with densities on the order of 100 million sequences/cm2.

6A.2
Nanobiology

Integration of Nanochannels with Electrodes to Control Single Molecule DNA Movement

V. R. Dukkipati, S. W. Pang, University of Michigan

An integrated nanofluidic system is fabricated for DNA analysis. DNA molecules are driven into the nanochannels by capillary action. High frequency AC fields are used to immobilize and move the DNA molecules in nanochannels. These techniques will allow us to perform assays at specific locations on DNA molecules.

6A.3
Nanobiology

Pico-Calorimeter Biosensor: A Novel Method for Sequencing of DNA

H. Esfandyarpour, F. Pease, M. Ronaghi, R. Davis, Stanford University

A novel DNA sequencing platform based on a pico-calorimetric assay is presented. This new sequencing-by-synthesis technique is based on detection of enthalpy change during DNA incorporation and has the potential to reduce the cost of genome sequencing to $1000 level/genome, a reduction by 4 orders of magnitude.

6A.4
Nanobiology

High Sensitive Immunoassay using Antibody Immobilized Micro Capillaries Fabricated by Deep X-Ray Lithography

Y. Utsumi, T. Asano, University of Hyogo

This paper presents a highly sensitive and rapid enzyme linked immunosorbent assay (ELISA) method using micro capillary bundle structures in which thousands of micro capillaries fabricated by deep x-ray lithography are collected on a fluid control filter and 3D chemical reaction space.

6A.5
Nanobiology

Fabrication of Nano Scale Topographically Structured Surfaces Using Block-Copolymer And Nanoimprint Lithography For Cellular Response Analysis

E. Tocce, S. Fraser, S. Delcambre, C. Murphy, P. Nealey, University of Wisconsin

Our work has focused on determining the effect of topography of biologically relevant length scales on cell behaviors. Our substrate fabrication approach uses the self-assembly of block copolymers to define controlled lateral feature dimensions, and nanoimprinting to transfer the pattern into silicon with >300 nm relief.

6B.1
Photonics 2

INVITED: Superconducting Nanodevices for Single-Photon Detection

K. K. Berggren, Massachusetts Institute of Technology

With the exception of semiconductor devices, few optical or electronic devices with nanometer-length-scale features have found utility outside of research. But superconductive nanowires capable of sensing individual infrared photons may change all that. These devices, though difficult to fabricate, are superior over competing technologies, and suggest applications in communications and sensing.

6B.2
Photonics 2

Deep UV-Blocking Particle Filter Using High Aspect Ratio Si Nanogratings with Smooth Sidewalls

P. Mukherjee, T. Zurbuchen, L. J. Guo, University of Michigan, F. Herrero, Goddard Space Flight Center

We propose a high aspect ratio silicon nanograting providing >10-9 UV light suppression for space particle sensors. On an SOI substrate we use NIL for patterning and a dual-stage, high oxygen content DRIE for deep etching with scalloping under 10nm. Target features are 50nm wide trenches with 40:1 aspect.

6B.3
Photonics 2

Enhanced Light Emission From Nanoimprinted Photonic Crystals Via Surface Plasmon

V. Reboud, N. Kehagias, Tyndall National Institute, M. Zelsmann, M. Fink, F. Reuther, G. Gruetzner, Micro Resist Technology GmbH, C. Sotomayor Torres, Tyndall National Institute

A method for enhancing the photoluminescence of polymer emitters by coupling to surface plasmon and employing nanoimprinted photonic crystals is investigated. A 27-fold enhancement of the spontaneous emission intensity of rhodamine is achieved.

6B.4
Photonics 2

Fabrication Optimization of Silicon Micro-Ring Filters

S. Xiao, M. Khan, H. Shen, M. Qi, Purdue University

We demonstrate high-order, multi-stage microring filters on an SOI platform. Large free spectrum range over 32 nm and high dropping contrast of 40 dB were achieved. Using HSQ as a negative resist in e-beam lithography yielded a much simplified process and superior optical response than using PMMA followed by lift-off.

6B.5
Photonics 2

Fabrication of Large Scale Nanofocusing Device Based on Negative Refraction Index Photonic Crystals

S. Cabrini, D. Olynick, B. Harteneck, S. Dhuey, Lawrence Berkeley National Lab, V. Mocella, P. Dardano, I. Rendina, CNR-IMM

In this work we present the fabrication of a photonic device for an unconventional application based on the negative refraction properties of PhC in combination with positive index region

6C.1
Optical Lithography

INVITED: Current Issues And Trends In Lithography For Advanced Chip Production

T. Brunner, IBM SRDC

This paper will examine some of the patterning issues in advanced chip production. Immersion lithography has enabled considerable progress in higher resolution processes with greater Depth of Focus, however overlay error capability does not automatically improve. The presentation will also discuss the proliferation of double patterning methods.

6C.2
Optical Lithography

Nodal Lithography: Resist for Optical Patterning Below The Diffraction Limit

K. Rosfjord, S. Kooi, A. Chao, K. Berggren, Massachusetts Institute of Technology

We propose a new optical lithographic technique, nodal lithography, which is not resolution limited. Two pulses are used in nodal lithography. The first pulse optically excites the resist molecules and the second pulse quenches these excitations everywhere except at the pulse nodes. Here we introduce a resist for nodal lithography.

6C.3
Optical Lithography

Characterization of Photoresist Using Double-Exposures With Interference Lithography

T. B. O'Reilly, H. I. Smith, Massachusetts Institute of Technology

A novel means of testing photoresist with interference lithography has been developed. This method provides information about linewidth variation due to changes in exposure dose and image contrast more directly and with fewer exposures than is required with methods described in the literature.

6C.4
Optical Lithography

Phase Control in Spatial Frequency Multiplication

Y. Zhao, C.-H. Chang, R. Heilmann, M. Schattenburg, Massachusetts Institute of Technology

Multi-exposure scanning beam interference lithography (SBIL) is utilized to multiply the spatial frequency of patterns over large areas. A technique to reduce the phase error in spatial frequency multiplication will be reported.

6C.5
Optical Lithography

INVITED: A Challenge to Half-Pitch 22 nm Using Near-Field Lithography

N. Mizutani, T. Ito, Y. Inao, T. Yamaguchi, A. Terao, S. Nakasato, R. Kuroda, Canon Research Center

The high resolution of a novel photolithography technique that uses optical near-field is demonstrated. A dedicated positive-tone photoresist is used in an ultra-thin resist process, resulting in hp 32 nm L/S patterns 10 nm deep, which are then successfully transferred to a 100 nm thick bottom-layer resist.

7A.1
Ion Beam Lithography

Spatial Modulation Transfer Function of Field-Emission Probing Systems

B. Freinkman, Institute of Microelectronics Technology RAS

The goal of the paper is to find a method of computing the modulation transfer function (MTF) using the dispersion function of a probing system. The dispersion function is a result of aberration and trajectory analysis of the system done without using any initial knowledge of current density distribution in the beam.

7A.2
Ion Beam Lithography

Elastic Double Structure Of Amorphous Carbon Pillars Grown By FIB-CVD

J. Fujita, S. Okada, R. Ueki, University of Tsukuba, M. Ishida, NEC, T. Kaito, SII-NT, S. Matsui, University of Hyogo

We found that the FIB-CVD pillar, which had an apparent Young's modulus of about 100 GPa, formed an elastically double structure. In addition the core region of this structure coexists with gallium and had extremely high Young's modulus over 300 GPa, but its outer amorphous carbon shell had a very low Young's modulus of below 50 GPa

7A.3
Ion Beam Lithography

Relation Between Field Emission Properties and Material Characteristics Of DLC Fabricated By Focused-Ion-Beam Chemical-Vapor- Deposition

R. Kometani, K. Kanda, Y. Haruyama, University of Hyogo, T. Kaito, SII NanoTechnology Inc., S. Matsui, University of Hyogo

Relation between field emission properties and material characteristics of DLC fabricated by focused-ion-beam chemical-vapor-deposition were examined. DLC deposited by FIB-CVD was a useful material for field emitter fabrication. Field emission properties depend on the state of Ga distribution in DLC.

7A.4
Ion Beam Lithography

Membrane Folding by He+ Ion Implantation For Three-Dimensional Device Fabrication

W. Arora, H. Smith, G. Barbastathis, Massachusetts Institute of Technology

We demonstrate that silicon nitride membranes can be folded via He+ ion implantation. The folds have a 1.25 micron radius for 150nm-thick silicon nitride. There is no visible sputter damage from the implantation. By varying the ion energy, we can control whether the membrane folds up or down.

7A.5
Ion Beam Lithography

Fabrication of 3-D Structures of Resist by Proton Beam Writing

Y. Furuta, N. Uchiya, H. Nishikawa, Shibaura Institute of Technology, J. Haga, T. Satoh, M. Oikawa, Y. Ishii, T. Kamiya, Japan Atomic Energy Agency

We report fabrication of 3-D structures using PBW (Proton Beam Writing) at a micro-beam facility of TIARA, JAEA Takasaki, Japan. The PBW was performed on typical negative resists, SU-8 on silicon with a thickness of 50 micron. The 3-D structures after development were evaluated by a scanning electron microscope.

7B.1
Directed Self Assembly 3

In-Situ Patterning Of Carbon Nanotube Thin-Film Structures By Selective Vacuum Filtration

C. Lim, C.-S. Woo, B. Park, , D.-H. Min, S.-B. Lee, Hanyang University

We report on a method which allows in-situ formation of carbon nanotube device patterns while the carbon nanotube network (CNTn) is being formed. By lithographically patterning a resist layer on the filter membrane, the nanotubes will be guided to the exposed areas of the filter surface during vacuum filtration and the resulting CNTn will conform to the defined resist pattern.

7B.2
Directed Self Assembly 3

Formation of Hierarchical Nanoparticle Patterns with Colloidal Lithography and Two-Step Self-Assembly

D. Xia, Z. Ku, D. Li, S.R. J. Brueck, University of New Mexico

We combined a two-step self-assembly, reactive ion etching and removal of etched overlayer large spheres to form hierarchical nanoparticle patterns useful for material growth, biosensor and catalysis. The size, shape and composition (SiO2 or PS nanoparticle) of patterned films are easily controlled in this novel and simple method.

7B.3
Directed Self Assembly 3

Modeling Particle Transport In Lithographically Directed, Evaporation Driven Self-Assembly Systems

J. Dyreby, K. Turner, G. Nellis, Univ. of Wisconsin-Madison, J. A. Liddle, National Institute of Standards and Technology

We have developed a modeling methodology for simulating the global regime of directed EDSA, specifically the evaporation-driven flows generated within an evaporating volume of fluid under various pinning conditions. The experimentally verified model is used to explore the effect of process parameters on the manufacturing capabilities of the technique.

7B.4
Directed Self Assembly 3

Self-Assembled NiSi2 Nanocrystals For Nanoscale Non-Volatile Memory Application

C.-W. Cho, H.-S. Jun, S.-J. Choi, B. Park, D.-H. Min, Hanyang University, S. Kim, Korea University, S.-B. Lee, Hanyang University

We investigated the charge storage capability of self-assembled monolayers of NiSi2 nanocrystals embedded in SiO2 layers. The NiSi2 films with thickness of 3 and 5 nm were sputter deposited on oxidized Si substrates. By subjecting the sputtered NiSi2 thin-film to rapid thermal annealing a monolayer of NiSi2 nanocrystals was formed

7B.5
Directed Self Assembly 3

Single-Nanostructure Device Fabricated By Alternative Technologies

J. Tallal, T. Pinedo, K. Berton, D. Peyrade, CNRS

We demonstrate a new strategy to collectively fabricate Single Nanostructure Device by combining thermal-nanoimprint-lithography and carefully define an AC-DiElectroPhoresis-trap. This talk will highlight electrode fabrication, real-time imaging and statistical control of the particle trapping process at the single nanostructure level. Electronic transport like coulomb staircase on these devices is also presented.

7C.1
Alternative Imaging Technologies

INVITED: Toward Nano-Scale Optical Lithography: Utilizing The Near-Field Of Bowtie Optical Nanoantennas.

P. J. Schuck, Lawrence Berkeley National Laboratory, D. Fromm, Agilent Technologies, Inc., A. Sundaramurthy, A. Kinkhabawala, N. Conley, G. Kino, W. E. Moerner, Stanford University

The recent invention of single metallic optical nanoantennas has greatly improved the mismatch between light and nanometer-scale objects. Optical nanoantennas are specifically engineered to enhance fields at visible and near-infrared (NIR) wavelengths and confine them to nanoscale regions in size, significantly defeating conventional diffraction-limited photon localization.

7C.2
Alternative Imaging Technologies

Induced Patterning Of Organic And Inorganic Materials By Spatially Discrete Surface Energy

W. Hu, L. Tao, B. Lee, J. Kim, University of Texas at Dallas

A new pattern transfer method is developed to fabricate organic and inorganic microstructures. It utilizes spatially discrete surface energies on substrate to induce microfluidic flow of materials and results in self-assembled biopolymers and metal microstructures. The same substrate was used as a template for selective atomic layer deposition to pattern HfO2.

7C.3
Alternative Imaging Technologies

Sub-Wavelength Aperture Lithography

Y. Ngu, M. Peckerar, X. Liu, M. Dagenais, University of Maryland, M. Messina, ASML,Inc., J. Barry, University of Maryland

This is a study of the use of sub-aperture wavelength transmission in optical projection lithography. We have studied the conditions under which transmitted beams emerge from sub-aperture arrays and merge in the image plane to collectively form high-resolution images. We discuss using this approach in mask-making.

7C.4
Alternative Imaging Technologies

In-Situ Visualization Of the Local Electric Field At an Ultra Sharp Tungsten Emitter Under Low Voltage with a Scanning Transmission Electron Microscope

Y. Ikeda, S. Okada, K. Higashi, S. Nakazawa, J. Fujita, University of Tsukuba, M. Ishida, NEC, S. Matsui, University of Hyogo

We report a simple process for the fabrication of extremely sharp tungsten tips with a radius of few nanometers. The the local electric field around the tip can be visualized in a low voltage STEM.

8A.1
Nanoimprint 3

Direct Patterning of Copper and Silver Nanostructures Using a Solid State Electrochemical Imprint Process

P. Schultz, K. Hsu, P. Ferreira, N. Fang, University of Illinois

This paper describes a novel all-solid, electrochemical nanoimprint technique. This single-step, large-area, manufacturing approach is capable of producing copper and silver nanostructures with dimensions smaller than 40 nm for applications in electronic interconnects, chemical sensors, and plasmonic structures.

8A.2
Nanoimprint 3

Optimizing Nanoimprint and Transfer-Bonding Techniques for Three-Dimensional Polymer Structures

H. Park, H. Li, X. Cheng, Texas A&M University

Three-dimensional polymer micro- and nanostructures are useful for bio- and photonic applications, but traditional fabrication methods (reversal nanoimprint or transfer bonding) have limitations. Process optimization using adhesion layers are used in this work to enhance process yield and allow more flexible constructions of 3D polymer structures.

8A.3
Nanoimprint 3

Extra-Long (1.5 cm), Single Nanofluidic Channel (Sub-30 nm wide) Fabricated by Novel Nanoimprint Mold Fabrication and Direct Imprinting of Functional Materials

X. Liang, K. J. Morton, S. Y. Chou, Princeton University

We have proposed and demonstrated a novel technology for a making single centimeter long nanofluidic channel (sub-30 nm wide) with ultra-smooth sidewalls and uniform channel width by novel nanoimprint mold fabrication and direct imprinting of functional materials. Capillary liquid flow wetting the whole nanochannel was observed.

8A.4
Nanoimprint 3

Wafer-Scale Patterning of High-Aspect-Ratio (50:1) Silicon Nanostructures (<50 nm) by Nanoimprinting and Deep Reactive Ion Etching

K. J. Morton, G. Nieberg, S. Bai, S. Y. Chou, Princeton University

We present direct, high-aspect-ratio (50:1) nanostructuring of bulk crystalline silicon with vertical sidewalls. Combining nanoimprint lithography (NIL) and an optimized deep reactive ion etch (DRIE) we demonstrate wafer scale fabrication of vertical silicon nanopillars (50 nm dia. x 2.4 um tall) and high-aspect ratio gratings (140 nm wide and 6 um tall.)

8A.5
Nanoimprint 3

Characterizing Nanoimprint Profile Shape and Polymer Flow Behavior using Visible Light Angular Scatterometry

R. Alassaad, L. Tao, University of Texas at Dallas, S. Pang, University of Michigan, W. Hu, University of Texas at Dallas

Dimensions, profile shapes, and residue thickness of imprinted PMMA nanostructures were precisely characterized using angular Scatterometry, a low-cost non-destructive nano-metrology. Flow behaviors of polymer nanostructures with different thickness under thermal annealing were studied using the Scatterometry with non-linear sidewall models. Scatterometry provided faithful fit to the SEM references.

8A.6
Nanoimprint 3

Nano-Scale Mechanics of Drop-On-Demand UV Imprinting

S.V. Sreenivasan, X. Lu, A. Cherala, P. Schumaker, J. Choi, I. McMackin, Molecular Imprints, Inc.

This article will discuss the basic mechanics of drop-on-demand UV imprinting and its applicability to both large area and step and repeat processes. The relationship between residual layer control, throughput, and defects in the presence of arbitrary pattern density variations will be discussed.

8B.1
New Materials

Anisotropic Wetting Behavior of One-Dimensional Patterns and Application to Fluidic Devices

D. Xia, S. R.J. Brueck, University of New Mexico

Anisotropic wetting behavior on one-dimensional micro- and nano-patterned surfaces was investigated for fluidic devices for drug control, biomimetic structures, and surface modification. Strongly anisotropic wetting (hydrophobic and hydrophilic) and high droplet distortion were observed on 1D patterned surfaces. The manipulation of surface wetting and directed fluidic flow were demonstrated.

8B.2
New Materials

Nickel Nanowires for Planer Microwave Circuits Applications and Characterization

B. K. Kuanr, University of Colorado, R. L. Marson, S. R. Mishra, University of Memphis, Z. Celinski, University of Colorado

In the present investigation, we demonstrate a coplaner waveguide based tunable stop-band filter, based on a totally novel ferromagnetic nano-scale planar technology. In the coplaner structure we used a nano-scale porous alumina matrix filled with ferromagnetic material (Ni), forming an array of nanowires perpendicular to the ground plane.

8B.3
New Materials

Prospects for Nanowire Sculptured Thin Film Devices

M. Horn, S. Pursel, Penn State University

We review recent accomplishments and prospects for the future of STFs. STFs made of virtually any solid material point toward new vistas of photonic, fluidic and sensor devices. New optical data is shown for TiOx STFs with engineered spectral holes as a liquid and/or vapor sensor.

8B.4
New Materials

Surface Texturing of GaN Light Emitting Diode by Nano-Patterned Block Copolymers

J. Bang, J. Kim, Korea University, K. Kim, K. Shin, Seoul National University

Here we show a route to a nanoporous surface for GaN light emitting devices. We employed a self-assembled diblock copolymer to pattern the nanostructure on GaN substrate surface. We expect this is an efficient and economic way to improve the emission of an inorganic light emitting devices.

8B.5
New Materials

Viscosity Measurement Of NIL Resists With Rheological Nano–Indenter

A.Svintsov, O. Trofimov, S. Zaitsev, Institute of Microelectronics Technology, RAS

Navier-Stokes equation penetration of a tip in viscous film was considered allowing acquisition of viscosity from experimental data. Viscosity of thin (<500nm) films as a function of temperature in range 25-200C was measured with the rheological indenter for thermoplasts and (as a function of backing temperature and backing time) for thermocurable polymers.

8C.1
Nanodevices

INVITED: Spin-Torque Switching in MgO-Based Magnetic Tunnel Junctions for Next Generation Non-Volatile Memory Applications

S. Assefa, J. Nowak, J. Z. Sun, E. O'Sullivan, S. Kanakasabapathy, W. J. Gallagher, IBM TJ Watson Research Center, Y. Nagamine, K. Tsunekawa, D. D. Djayaprawira, N. Watanabe, Canon ANELVA Corporation

When injected spin polarized electrons interact with the magnetic moment of a free layer, their angular momentum becomes transferred to the free layer. The work presented here explores the fabrication and characterization of MgO-based sub-100nm magnetic tunnel junction (MTJ) devices for current-induced spin-transfer switching.

8C.2
Nanodevices

Fabrication of Ultra-High Aspect Ratio Freestanding Gratings on Silicon-on-Insulator Wafers

M. Ahn, R. Heilmann, M. Schattenburg, Massachusetts Institute of Technology

We present SOI process for fabrication of ultra-high aspect ratio freestanding transmission gratings for high efficiency EUV and X-ray spectroscopy. The process involves scanning beam interference lithography for submicron grating patterns and anisotropic KOH etching on <110> SOI wafers with an aspect ratio goal up to 150.

8C.3
Nanodevices

Soft X-Ray Imaging of Spin Dynamics At High Spatial And Temporal Resolution

P. Fischer, LBNL, D.-H. Kim, Chungbuk University, B. Mesler, E. Anderson, W. Chao, Lawrence Berkeley National Lab

A stroboscopic pump-probe scheme is implemented into magnetic soft X-ray microscopy to allow imaging sub-ns spin dynamics with spatial resolution approaching fundamental magnetic length scales. This is both of high scientific interest and of technological importance in magnetic memory and sensor developments.

8C.4
Nanodevices

Application of Electron Beam Lithography To The Study Of The Scalability Of Phase Change Memory Devices

C. Rettner, S. Raoux, IBM Almaden Research Center, J. Jordan-Sweet, IBM T. J. Watson Research Center, Y.-C. Chen, G. Burr, IBM Almaden Research Center

Phase change materials hold great promise as the basis for future non-volatile memory devices. The scalability of phase change technology is a critical issue for its development. We have addressed this issue by examining the scaling behavior of these materials both as nanoparticle arrays and as devices.

8C.5
Nanodevices

Emission Characteristics of Liquid Metal Alloy Ion Sources of Au60Be40 and Au61.6Si22.8Be15.6

G. Schwind, L. Swanson, FEI Company

This study compares emission characteristics for the liquid metal alloy sources Au40Be60 and Au61.6Si22.8Be15.6. The figure of merits at 5 uA for Be2+ are 223 and 28 nA/sr-V2 for the binary and ternary alloy sources respectively thereby showing the superiority of the binary source for Be FIB applications.

9A.1
Maskless Lithography 2

Photoemission from a GaN/CsBr Heterojunction Photocathode

J. R. Maldonado, Stanford University, Z. Liu, Y. Sun, SSRL, S. Schuetter, University of Wisconsin, P. Pianetta, SSRL, F. Pease, Stanford University

We present experimental results on CsBr films deposited on thin (0.1 micron) GaN films MBE grown on sapphire substrates. The GaN/CsBr structure has a higher quantum yield at 257 nm than CsBr/Cr devices previously described for electron beam lithography applications. A model to explain the observed behavior based on intragap states is also presented.

9A.2
Maskless Lithography 2

High-Throughput Maskless Nanolithography Using Plasmonic Lens

W. Srituravanich, L. Pan, Y. Wang, C. Sun, X. Zhang, D. Bogy, University of California

In this paper, we present the development of high-throughput maskless nanolithography process by scanning a plasmonic lens at extremely high speed using air bearing slider platform. The proposed scheme is capable of writing arbitrary patterns at high-throughput offering a potential route towards next-generation nano-manufacturing.

9A.3
Maskless Lithography 2

Opportunities and Challenges in Electron Beam Lithography for Bit Patterned Media

X. Yang, S. Xiao, W. Wu, J. Gong, K. Mountfield, R. Rottmayer, K. Lee, D. Kuo, D. Weller, Seagate Technology

In this talk, we will present our experimental results of sub-25 nm pitch (>1 Tb/in2) patterning in resist and the formation of magnetic dots. The size and position sigma analysis will be given. We will discuss more about the challenging problems in electron beam patterning for BPM fabrication.

9A.4
Maskless Lithography 2

Focused Electron Beam Induced Deposition of Nickel for Nano-Sensing Applications

A. Perentes, G. Sinicco, G. Boero, P. Hoffmann, EPFL

In this we work show how the precursor chemistry influences the chemical composition of focused electron beam induced deposited materials. The deposition of nickel is reported with the aim of producing a nano-hall sensor. The conductivity of the deposited materials is reported.

9A.5
Maskless Lithography 2

In Situ Monitoring And Control Of Material Growth For High Resolution Electron Beam Induced Deposition On Thin Membranes

W. F. van Dorp, C. Hagen, P. Kruit, Delft University of Technology, P. Crozier, Arizona State University

Electron-beam-induced deposition is a direct and resistless fabrication technique that has demonstrated the highest resolution presently achieved for lithography with electron beams. We present a technique to monitor and control the growth of deposits in situ with an estimated precision of only tens of precursor molecules per deposit on average.

9B.1
Modeling and Simulation

Image Contrast Slope and Line Edge Roughness of Chemically Amplified Resists for Post-Optical Lithography

T. Kozawa, S. Tagawa, Osaka University, J. Santillan, M. Toriumi, T. Itani, SELETE

We propose a formulation for the calculation of image contrast slope based on the sensitization mechanisms of chemically amplified resists for post-optical lithography. The advantage of this method is an accurate prediction for the initial acid distribution, which determines the following LER formation.

9B.2
Modeling and Simulation

Effects of Energy And Gas Dynamics On The Growth Morphology And Kinetics Of Electron Beam Induced Deposition Via A Monte – Carlo Based, 3D Simulation

D. Smith, P. Rack, University of Tennessee, J. Fowlkes, Oak Ridge National Labs, T. Liang, Intel, Inc.

A 3D Monte-Carlo based simulation of the EBID process has been developed for determining optimum parameters for direct write growth of nanoscale features. The effects of varying beam energy and diffusion are shown on pillar morphology and kinetics, along with an example of utilizing the simulation in dynamic, rasterized mode.

9B.3
Modeling and Simulation

Transferring Optical Proximity Correction Effects into a Process Model

J. Li, Q. Yan, L. Melvin, Synopsys Inc.

In this study, a method of calculating the OPC effect by modifying the optical model, which is equivalent to transferring the OPC effect into the optical model, will be introduced.

9B.4
Modeling and Simulation

Experimental Observation Of Roughness Of Resist Induced By Shot Noise And Other Effects

K. Tedesco, ENSPG, R. F. Pease, B. Dai, Stanford University

Resist films flood-exposed with only a few particles of high energy (e.g. 200KeV Ar+ ions at << 1/nm2) would be expected to yield a development front with a rougher surface than those exposed with many low energy particles (e.g.193nm photons at >>1/nm2). In preliminary experiments the effect was less marked than expected.

9B.5
Modeling and Simulation

Simulation of CD-SEM Signal At Various Substrate Materials And Detectors

S. Babin, S. Borisov, A. Ivanchikov, I. Ruzavin, Abeam Technologies, Inc.

A CD-SEM signal was simulated using advanced Monte Carlo software for a variety of pattern materials and SEM setups. Detector size, shape, and its energy transfer function were varied. A simulated SEM signal was then compared to a known pattern in order to calibrate a SEM and remove absolute error.

9C.1
Nanodevices 2

Damage-Free Photoresist Cross Sections via Dual-Beam FIB: Improving Information Turns During Lithography Development

J. Clarke, Intel Corp., M. Schmidt, FEI Company, N. Orji, National Institute of Standards and Technology

Damage-free imaging of photoresist in a FIB-SEM is demonstrated. The goal is to enable fast photoresist cross sectioning in order to improve cycle time in lithography development. CD-AFM is used to calibrate 193nm photoresist patterned in a 50nm:200nm line/space structure. Sputtered Cr in-situ is used to coat the FIB-SEM site without damage.

9C.2
Nanodevices 2

Hybrid Carbon Nanotube-Silicon CMOS Circuits

I. Meric, V. Caruso, R. Caldwell, J. Hone, K. Shepard, S. Wind, Columbia University

We describe a process which enables the integration of carbon nanotube field-effect transistors (CNFETs) with conventional silicon technology. CVD-grown nanotubes are transferred onto a foundry-fabricated silicon chip. Lithography and thin film processes complete the CNFET fabrication and connect them to the silicon transistors, resulting in hybrid carbon nanotube/silicon CMOS circuits.

9C.3
Nanodevices 2

Electromechanical Resonators from Graphene Sheets

S. Bunch, A. van der Zande, S. Verbridge, J. Parpia, H. Craighead, P. McEuen, Cornell Unversity, I. Frank, D. Tanenbaum, Pomona

We fabricate nanoelectromechanical systems (NEMS) from graphene sheets by mechanically exfoliating thin sheets over trenches in silicon dioxide substrates with adjacent gold electrodes. The thinnest resonator consists of a single suspended layer of atoms and represents the ultimate limit of a two dimensional NEMS.

9C.4
Nanodevices 2

High Throughput Defect Detection With Multiple Parallel Beams

H.M.P. van Himbergen, M.D. Nijkerk, TNO Science and Industry, T. Hosman, Delft University of Technology, P.W.H. de Jager, TNO Science and Industry, P. Kruit, Delft University of Technology

This multi beam SEM concept can arrange 30,000 beamlets on one square inch area, with individual detecting means. The electron optics consist of microfabricated aperture arrays for focussing and through-the-lens detection via a scintillating aperture array. With suitable source characteristics 20 nm resolution with a total current of 0.3 mA is expected.

9C.5
Nanodevices 2

Computational Scanning Electron Microscopy

L. B. Rad, J. Ye, D. Adler, I. Downes and F.Pease, Stanford University

Previously a library of pre-computed results for edge profiles was developed and used to measure line widths. We extend this work by developing a more comprehensive library of edges, corners and surfaces. Each library element will not only contain the yield at the sampled points but also the direction and energies of the electrons.

10A.1
E Beam Lithography 2

Surface Chemistry Modifications for Improved Electron-Beam Induced Etching (EBIE) Processes

M. Lassiter, P. Rack, University of Tennessee, T. Liang, Intel Corporation

This presentation describes solutions to the challenges associated with e-beam induced etching with regards to surface effects. The initial condition of the substrate surface is critical in the EBIE process. The treatment of the surface of the etched feature determines if further spontaneous etching will occur.

10A.2
E Beam Lithography 2

A Novel Magnetic Microstigmator for Electron Beam Astigmatism Correction in the Electron Beam Microcolumn System

R. Rong, C. H. Ahn, University of Cincinnati, H. S. Kim, S. S. Park, N. W.Hwang, K. W. Park, S. W. Jin, CEBT Co. Ltd.

The magnetic microstigmator (MMS) consists of eight magnetic poles coupled with solenoid-type microinductors. The microfabricated MMS was assembled into an electron beam microcolumn system for testing. The experimental results demonstrate that the developed MMS has excellent capability in correcting the electron beam astigmatism with low power consumption.

10A.3
E Beam Lithography 2

Metal-Semiconductor-Metal Electron Detectors

R. Aldana, R. F. Pease, Stanford University

Metal semiconductor metal (MSM) junctions are a standard photon detector for high speed applications. In this work we characterize how such devices perform as electron detectors, performing preliminary gain and frequency response experiments on MSM illuminated by an electron beam.

10A.4
E Beam Lithography 2

Influence of Temperature On HSQ E-Beam Lithography

M. Häffner, A. Haug, A. Heeren, M. Fleischer, H. Peisert, T. Chassé, D. Kern, University of Tuebingen

Temperature during drying, developing and post-development baking of HSQ, and resist contrast, sensitivity, pattern quality and IR spectra are analyzed in context. Tempering at low temperatures already causes noticeable cross-linking. Room temperature vacuum drying yields better resolution than hotplate or furnace drying. Resist contrast is enhanced at a higher development temperature.

10A.5
E Beam Lithography 2

Fabrication of Silicon Kinoform Lenses For Hard X-Ray Focusing By Electron Beam Lithography And Deep Reactive Ion Etching

A. Stein, K. Evans-Lutterodt, Brookhaven National Laboratory, A. Taylor, Alcatel-Lucent

When considering hard x-ray focusing optics, kinoform lenses offer a compromise position of high spatial resolution with high efficiencies. By combining 100 keV EBL and DRIE we have fabricated cylindrical kinoform lenses in silicon. These lenses point to a path toward focused spots of nanometer size.

10A.6
E Beam Lithography 2

Fabrication of Spiral-Phase Diffractive Elements Using Scanning Electron-Beam Lithography

H.-Y. Tsai, H. I. Smith, R. Menon, Massachusetts Institute of Technology

We investigate an approach using grayscale SEBL to fabricate the spiral phase plate and report on the fabrication of binary spiral zone plates. We characterize the optical performance of the fabricated elements via photoresist exposures and compare to theory.

10B.1
Nanoimprint 4

UV Curable Silsesquioxane Materials for Nanoimprint Lithography

C. Pina, J.-S. Kim, L. J. Guo, University of Michigan, P.-F. Fu, Dow Corning Corporation

A novel UV curable silsesquioxane (SSQ) based resist for NIL has been developed. These SSQ materials, containing phenyl substituents, possess a variety of characteristics desirable for NIL, such as a high modulus and great resistance to plasma etching. Features as small as 70nm line width dense gratings have been replicated.

10B.2
Nanoimprint 4

Time Dependent Analysis of the Resist Deformation in Thermal Nanoimprint

Y. Hirai, T. Tanabe, M. Nishihata, Osaka Prefectual University, Y. Onishi, Mizuho Information and Research Institute, T. Iwasaki, Y. Iriye, Mizuho Information and Research Institute

Numerical simulation of the time dependent resist profiles in thermal nanoimprint is performed and the results are compared to the experiments. Line width dependency is clearly defined in both simulation and experiment.

10B.3
Nanoimprint 4

Directed Surface Adsorption Lithography: Lithografting

H.W. Ro, Y. Ding, B. Okerberg, A. Karim, C. Soles, NIST

A novel form of nanoimprint lithography is introduced where dissolving the imprinted film in the spin casting solvent creates the pattern. At elevated temperatures and pressures a physical grafting of the polymer chains to the substrate is promoted under the protrusions of the mold, rendering these regions insoluble.

10B.4
Nanoimprint 4

Development of UV-NIL Process and Tool for Flat Panel Displays

K.-D. Kim, J.-H. Jeong, D.-G. Choi, J. Choi, E.-S. Lee, Korea Institute of Machiner & Materials, H. Kwon, H.-D. Rhee, J.-Y. Choi, ADP Engineering

In an attempt to enable UV-NIL with a large-area stamp for high throughput, we propose a UV-NIL tool that can imprint Gen. 2 (370×470 mm) glass substrate in a low vacuum environment in a single step. Experimental results demonstrate the potential of our approach for low-cost lithography applicable to flat panel displays.

10B.5
Nanoimprint 4

Directly Patterning Metal Films By Nanoimprint Lithography For Surface Plasmonic Device Applications

H. L. Chen, S. S. Kuo, S. Y. Chuang, C. H. Lin, C. Y. Wang, National Taiwan University

We demonstrated an imprint method for patterning metal films with varied profiles. We can obtain the desired curvature of shape by using different imprint pressure. Two-dimensional metal structures can dramatically enhance transmission of metal films for surface plasma effects The transmittance is dramatically increased from 3% to 50% by increasing imprint pressures.

10B.6
Nanoimprint 4

Patterning Chalcogenide Glass with Thermal Nanoimprint

M. Solmaz, X. Cheng, C. K. Madsen, Texas A&M University

Patterning of chalcogenide glass such as As2S3 thin film can be achieved by thermal nanoimprint due to their low glass transition temperatures. This paper demonstrates As2S3 microstructure patterning by nanoimprint. Issues such as melt viscosity, surfactant stability, and other special process requirements are discussed.

10C.1
Photoresists 2

Effects of PAG Incorporation into the Polymer Main Chain on Chemically Amplified Resist Behavior and Lithographic Performance

C.-T. Lee, C. Henderson, Georgia Institute of Technology, M. Wang, K. Gonsalves, University of North Carolina-Charlotte, W. Yueh, J. Roberts, Intel Corporation

This paper illustrates the concept of incorporating photoacid generating functionality into the resist polymer main chain for improving the lithographic performance of chemically amplified resists. Comparisons are made between polymer-bound and blend PAG CARs.

10C.2
Photoresists 2

Pattern Noise in Contact Printing after Pattern Transfer

M. Miller, UC Berkeley

This paper takes a look at the effects of pattern noise in electron beam lithography through various pattern transfer techniques from resist to silicon and silicon oxide. In addition, contacts and lines of various sizes and shapes were printed to observe the dependence on size, shape, and proximity effects.

10C.3
Photoresists 2

Dissolution Characteristics Of Molecular Resists For EUV Lithography

M. Toriumi, J. Santillan, T. Itani, SELETE, T. Kozawa, S. Tagawa, Osaka University

Dissolution behavior of molecular resist was evaluated by QCM method and surface roughness by AFM to study the characteristics of molecular resist. It showed smaller swelling during the development than the polymer resist. The FT-IR spectra and LER values will be shown and discussed at the conference.

10C.4
Photoresists 2

Measurements of Acid Generation by EUV Irradiation in Lithographic Films

M. Glodde, D. Goldfarb, D. R. Medeiros, IBM, TJ Watson Research Center, G. M. Wallraff, IBM, Almaden Research Center, G. P. Denbeaux, University at Albany

We present a comprehensive evaluation of photoacid generators under 13.5 nm (EUV) radiation. We optimized an indicator dye-based acid detection technique for the quantification of the amount of photoacid produced by irradiation of lithographic resist films. The acid generation efficiency experiments were complemented with comparison to other wavelengths and dose-to-clear exposures.

PA-1
Photonics

INVITED: V-Groove Plasmonic Waveguides Fabricated By Nanoimprint Lithography

I. Fernandez-Cuesta, X. Borrisé, F. Pérez-Murano, CNM - IMB, R. Bundgaard Nielsen, A. Kristensen, MIC – DTU, A. Boltasseva, COM – DTU

A process for V-groove fabrication, based on nanoimprint lithography, is presented. Final structures, consisting on a 200 nm thick layer of gold on top of a cured transparent polymer are shown. The sharpness of the grooves is improved by wet oxidation. Plasmon confinement will be studied.

PA-2
Photonics

Fabrication of Optical Phase Masks for Holographic Lithography of Three Dimensional Photonic Crystals

H. Hofmann, M. Kamp, A. Forchel, Universität Würzburg

We present the development of a fabrication process for optical phase masks, which can be used to create three dimensional photonic crystals by a holographic exposure. The mask consists of two perpendicular gratings made of Si/SiO2 and is fabricated by a combination of sputter deposition, etching and chemical mechanical polishing.

PA-3
Photonics

Nanoimprinted Semi-Transparent Metal Electrode and its Application in Organic Solar Cells

M.-G. Kang, M.-S. Kim, J.-C. Cho, J. Kim, L. J. Guo, University of Michigan

We present a scheme to fabricate semi-transparent metal electrodes in the form of periodically perforated metal films in the nanoscale by nanoimprint lithography (NIL). The electrodes show high transmittance in the visible and display excellent conductivity as well. We describe its potential as a transparent electrode for Organic Photovoltaics.

PA-4
Photonics

Broadband Antireflective Properties Of Plasma Modified Benzoxazine Films

C.-H. Lin, National Nano Device Laboratories, S.-M. You, C.-T. Chou, Nation Central University, C.-F. Wang, H.-C. Lin, F.-C. Chang, National Chiao Tung University

Low-cost and high-performance antireflective surfaces and coatings are useful for optical applications, such as solar cells. In this work, broadband antireflective properties of the plasma modified benzoxazine films are presented. The material is low-lost and the process is simple.

PA-5
Photonics

High-Density Organic Light Emitting Diodes By Nanoimprint Technology

K. Trivedi, C. Nelson, L. Tao, M. Goeckner, W. Hu, University of Texas at Dallas

Nanoimprint processes were developed to fabricate OLEDs based on separation of emissive polymers to eliminate charge spreading. Having demonstrated a device based on Su-8 structures which achieve micron sized discrete OLED pixels, we are developing a process in which emissive polymer is directly imprinted to achieve nanoscale discrete pixels.

PA-6
Photonics

Fabrication and Tuning of Nano-Scale Metallic Ring and Split-Ring Arrays

A. Sheridan, A. Clark, A. Glidle, J. Cooper, D. Cumming, University of Glasgow

We report the fabrication of metalic nano-scale ring and split-ring arrays using electron beam lithography, allowing precise control of the shape and size. Very good uniformity arrays allow us to observe multiple plasmon resonances. By controlling various size parameters tuning of the resonanes is demonstrated.

PA-7
Photonics

A Deterministic Process For Fabricating Quantum Devices With Self-Assembled Quantum Dots

J. Lapointe, D. Dalacu, S. Frederick, D. Kim, J. Lefebvre, W.R. McKinnon, P.J. Poole, M.E. Reimer, G.C. Aers, R.L. Williams, NRC

Quantum devices were fabricated using site-selective growth of InAs quantum dots on InP and standard fabrication processes. InP ridges and pyramids containing single InAs dots or molecules were grown. They were gated or incorporated in optical microcavities. Photoluminescence measurements were done as a function of an applied electric field.

PA-8
Photonics

Integration of Metal-Semiconductor-Metal Photoarrays for the Quantification of Animal's Vitamin and Protein

C.-C. Lin, C.-F. Yu, F.-H. Ko, National Chiao Tung University C.-C. Chen, C.-H. Lin, NDL

In this study, an on-chip metal-semiconductor-metal (MSM) photodetector is proposed and integrated with the biotin biomolecule for the purpose of biosensing. This design can overcome the fluorescence detection method with the problems of expensive, complex and large external optical systems. An on-chip electronic data acquisition concept also improves both the speed and the reliability for biosensing.

PA-9
Photonics

58nm Half-Pitch Plastic Wire-Grid-Polarizer by Nano-Imprint Lithography

L. Chen, , J. Wang, F. Walters, X. Deng, M. Buonanno, S. Tai, X. Liu, NanoOpto Corp.

58 nm half-pitch grating based nano-wire-grid polarizers are successfully fabricated by nano-imprint lithography on the flexible plastic film over large area. It is interesting to see that the maximum transmittance and extinction ratio can be improved simultaneously by reducing the grating period, grating line width or Al shadow angle. Excellent optical performances are demonstrated.

PA-10
Photonics

Optimization Of Hydrogen Silsesquioxane Films For Photonic Applications

C. Holzwarth, T. Barwicz, H. Smith, MIT

The emergence of complex electronic-photonic integrated circuits has increased the requirements of the low-index overcladding layer. Such overcladdings are usually obtained via TEOS based deposition of SiO2. We show that hydrogen silsesquioxane (HSQ) can be used as a lower-cost alternative to TEOS with superior gap-filling and self-planarization properties

PA-11
Photonics

Fabrication Of 2D GaN Photonic Crystals

B. Rong, E. Roeling, H. Salemink, Delft University of Techology

We developed a reliable fabrication process for GaN photonic crystals (PCs). The GaN PCs are azimuthal anisotropic, vertical profiled and have a depth of about 1µm. The PC sidewalls are smooth. The developed process is used to fabricate GaN PC devices. GM stopbands have been obtained in a hole type PC.

PB-1
Other Devices

Ultra-Thin Magnetic Multilayer Films for Monolithic Microwave Devices

B. K. Kuanr, R. E. Camley, Z. Celinski, University of Colorado, A. V. Kuanr, S. Rajguru College of Applied Science for Women, J. Colony, Delhi

Epitaxial Fe/Si(t)/Fe films were deposited on GaAs. Using photolithography and ion-etching, co-planer filters were designed. The resonance frequency (fres) for Fe filter was at 10.6 GHz. For Fe/Si/Fe filters, Si=1 nm, fres=21.2 GHz, for Si=0.7 nm at 27.25 GHz and for Si=0.8 nm fres was recorded at 27.73 GHz.

PB-2
Other Devices

Micro Patterning By Thermal Imprint Technology Using Polymer Stamp For PCB

C. Lee, S. Ra, Samsung Electro-Mechanics

Imprint technology has received significant attention as an alternative to photolithography. A thermal imprinting method for thermo-set materials was developed for micro patterning on highly integrated printed circuit board (PCB).

PB-3
Other Devices

Ambipolar Conduction And High Mobility InAs Nanowire Transistors By Surface Modification

Q. Hang, D. Janes, Purdue University, F. Wang, W. Buhro, Washington University

A study of transistors built using channels consisting of 20 nm diameter InAs nanowires grown by a solution-liquid-solid (SLS) method. P-channel conduction and relatively high mobility have been observed in nanowire devices passivated with ligands used during growth or 1-octadecanethiol (ODT).

PB-4
Other Devices

Plasma Enhanced Atomic Layer Deposition of Cu for Interconnect Applications

L. Wu, E. Eisenbraun, The University at Albany

Self-limiting plasma enhanced ALD Cu films were achieved by using Cu(II)(acac)2 precursor and atomic H co-reactant. Continuous, conformal Cu films with purity greater than 95% and near-bulk resistivity have been fabricated on TaN and Ru substrates.

PB-5
Other Devices

Non-Invasive Detection Of Single Electron Tunneling In A Double Dot Device Fabricated On GaAs-AlGaAs Heterostructure Using Local Anodic Oxidation

R. Nemutudi*†, M. Kataoka†, C.T. Liang†#, C.J.B. Ford†, M. Pepper†, D.A. Ritchie†, G.A.C. Jones†, *iThemba LABS, †University of Cambridge, Cavendish Laboratory,# National University of Taiwan

We use AFM lithography to fabricate a non-invasive electron detector in close proximity to two quantum dots. Electron tansport measurements show the detector monitoring non-invasively the single electron tunneling events between the dots and the reservoirs, making it possible to study electron dynamics in double dots without injecting invasive electrons across the dots.

PB-6
Other Devices

Novel Coexisted Sol-Gel Derived SONOS-Type Memory

H.-C. You, C.-C. Wu, F.-H. Ko, National Chiao Tung University

We propose the thin film and nanocrystal devices with sol-gel solution as a basis for the SONOS-type memories. We find the two types of coexisted nanocrystals exhibits better performance than other types. This observation is attributed to more charge trapping sites.

PB-7
Other Devices

Adaptive Wiring For 20nm Scale Epitaxial Silicon Ohmic Contacts To Silicon Nanowires

M. Rooks, G. Cohen, J. Chu, P. Solomon, J. Ott, R. Miller, R. Viswanathan, W. Haensch, IBM

We report techniques for the fabrication of closely-spaced contacts and adaptive wiring to randomly placed silicon nanowires.

PB-8
Other Devices

Progress Towards Gate Alignment For Atomically Precise STM-Patterned Devices

M. Fuechsle, F. Ruess, T. Reusch, M. Mitic, M. Simmons, Centre for Quantum Computer Technology

We have developed a complete, fully EBL-based fabrication scheme for aligning multi-terminal ohmic contacts and gates to STM-patterned, buried, highly phosphorous-doped nanostructures on the Si(100) surface using etched registration markers. We have also investigated how to create atomically flat, step-free device regions on the Si(100) substrate used for STM-patterning.

PC-1
MEMs

A Nanoelectromechanical Device Constructed Of An Array of Laterally Deformable Cantilevers

G. Luo, D. Hessman, S. Ghatnekar-Nilsson, I. Maximov, M. Graczyk, D. Adolph, H. Xu, L. Montelius, Lund University, T. Zhu, Z. Liu, Peking University

A novel NEMS device , which is fabricated by electron beam and nanoimprint lithography, will be introduced. Its mechanical and optical performance were characterized and the results show that it can be applied as an optical switch, an accelerometer or a mass sensor.

PC-2
MEMs

Gas Sensing Nanodevices Based On Individual Tin Oxide Nanowires: Fabrication Strategies Using Electron- And Ion-Beam-Technologies And Gas Sensing Characteristics

A. Romano-Rodríguez, F. Hernández-Ramírez, A. Tarancón, O. Casals, A. Vilà, J. R. Morante, University of Barcelona, E. Pellicer, Institut Catala de Nanotecnologia, S. Barth, S. Mathur, Wuerzburg University, J. Esteve, CNM-CSIC

Gas sensing nanodevices based on individual tin oxide nanowires: fabrication strategies using electron- and ion-beam-technologies and gas sensing characteristics

PC-3
MEMs

Comparision Of Dry Etch Release Processes For Micromachining Applications

P. Argyrakis, R. Cheung, T. Zhu, The University of Edinburgh

In this paper, we report on the systematic comparative study of dry release processes, by characterising the release etching of polysilicon sacrificial layer in micromachining using vapor phase XeF2 (Xenon Difluoride) continuous etching and inductively coupled plasma etching with SF6 gas.

PC-4
MEMs

Multi-Frequency Response from a Designed Array of Cantilevers

S. Ghatnekar Nilsson, L. Montelius, Lund University, J. Graham, R. Hull, University of Virginia

We demonstrate a new concept for true label-free detection in mass sensor applications. The method is based on arrays of cantilevers with different lengths. The frequency response from all the cantilevers is collected from a single measurement under the same environment and conditions for the entire array, and where each frequency correlates to the corresponding individual cantilever.

PC-5
MEMs

Dynamic Detection Of Nanoelectromechanical Spectral Characteristics Through Non-Linear Interactions With Micromechanical Probes

B. Ilic, L. Bellan, H. Craighead, Cornell University, S. Krylov, Tel Aviv University

Dynamic detection of vibrational characteristics of nanoelectromechanical systems (NEMS) was investigated through direct non-linear coupling with a micromechanical probe. The nanomechanical structures were harmonically driven using piezoelectric transducers and the resulting out-of plane excitations were monitored with a conventional atomic force microscope (AFM) probe.

PC-6
MEMs

Carbon Nanocone Probes for Atomic Force Microscopy Imaging

I-C. Chen, L.-H. Chen, S. Jin, University of California

The fabrication of high-aspect-ratio carbon nanocone probes which possess desirable mechanical toughness has been shown using resist-free pattering of catalyst nano-dots and electric field guided CVD growth. High-resolution AFM imaging have been demonstrated using these probes.

PD-1
Biological Devices

Label-Free Detection of Mutation Genes with a Novel Side-Gated NWFET

C.-C. Wu, Y.-N. Wu, F.-H. Ko, National Chiao Tung University

The concept of using nanowire's field effect transistor (NWFET) for sensing the molecules has been proposed in recent year. However, most sensors are composed of a back gate, which limits the application of integration. In this paper, a new fabrication technique for the side-gated Si NWFET with the conventional LOCOS isolation process and electron-beam writing is proposed.

PD-2
Biological Devices

Stretching of DNA in Sealed Microchannels Using Electrokinetic Forces

V. R. Dukkipati, S. W. Pang, University of Michigan

We have demonstrated the stretching of DNA molecules across electrode gaps and at a distance of 1100 µm away from the gap using 100 KHz ac fields in an integrated microchannel-system. This precise placement of stretched DNA in a microfluidic system is used for many biomedical studies such as DNA-protein interactions.

PD-3
Biological Devices

Cell-Based Biochip For Single Cell Measurement

H. D. Wanzenboeck, D. Erdösi, E. Bertagnolli, Vienna University of Technology, I. Güll, M. Wirth, F. Gabor, University of Vienna

Microelectronic biosensors require the growth of grow living cells on microelectronic surfaces. Interdigitated microelectrodes and multielectrode arrays were used as carrier for growth of an adherent cell layer of Caco-2 cells. A measurement of the bioimpedance of living cells was performed. The cell coverage could successfully be determined.

PD-4
Biological Devices

Evaluation Of The Bio Nano-Sensing Probe Fabricated By FIB-CVD For The Single Organelle Analyzing

R. Kometani, H. Koike, Z. Kanda, Y. Haruyama, S. Matsui, University of Hyogo, T. Kaito, SII NanoTechnology Inc.

To understand biological phenomena it is necessary to operate and analyze single organelles with high accuracy. Therefore, an evaluation of bio nano-sensing probes fabricated by FIB-CVD was performed. As a result, the bio nano-sensing probe was found to be useful for the analysis of a single organelle.

PD-5
Biological Devices

Embedded Nano Channels Fabricated By Non–Selective Reverse Contact UV Nanoimprint Lithography Technique

N. Kehagias, V. Reboud, G. Chansin, C. M. Sotomayor Torres, Tyndall National Institute, M. Zelsmann, -CNRS, c/o CEA-LETI, C. Schuster, M. Kubenz, F. Reuther, G. Gruetzner, Micro Resist Technology GmbH

The non-selective "Reverse contact Ultraviolet Nanoimprint Lithography" (RUVNIL) is presented. Optimization of the imprinting parameters that are required for three-dimensional nanofabrication has been investigated. We have demonstrated that the RUVNIL is a novel and robust method to obtain high-quality, nanochannels in the same polymer matrix, which is suitable for bio-applications.

PD-6
Biological Devices

Responses of AlGaN/GaN Heterojunction Field Effect Transistors to DNAs

X. Wen, J. Song, W. Lu, The Ohio State University

The response of un-gated AlGaN/GaN heterojunction field effect transistors, exposed to different concentrations of l-DNA solutions is described. The devices showed excellent sensitivity to l-DNA solutions. Based on a model, the relationship between current change and the l-DNA concentration is exponential, similar to ionic solutions, but with much higher sensitivity.

PD-7
Biological Devices

Integrated Structure of PMMA Microchannels for DNA Separation Fabricated by Deep X-Ray Lithography and Fusion Bonding

Y. Utsumi, University of Hyogo, T. Ikeda, M. Minamitani, K. Suwa, Fujipream Co.

We developed an integrated polymethylmethacrylate (PMMA) micro fluidic device consisting of a PCR well, DNA separation channel, and heat exchanger channel using deep x-ray lithography, molding and fusion bonding techniques by nanoimprint equipment. DNA fragments ranging from 100 to 1000bp were clearly separated using this integrated structure.

PD-8
Biological Devices

Cell Behavior on Collagen-Like Imprinted Nanostructures in Tissue-Culture Polystyrene

A. Crouch, W. Hu, University of Texas at Dallas, D. Miller, K. Luebke, University of Texas Southwestern Medical Center, S. Pang, University of Michigan

Gratings and Biomimetic 3D nanoscaffolds were fabricated using direct nanoimprint onto tissue-culture polystyrene plates. "Woodpile" structures were made as well. Human foreskin fibroblasts were cultured on imprinted gratings and visualized by phase contrast and fluorescence microscopy. Cells show significant alignment along the gratings with no alignment on bare polystyrene.

PE-1
Photoresists

Study Of Material Modifications Produced By A HBr Treatment Applied To 193nm Resist Chemistries

A. Bazin, M. May, B. Mortini, STMicroelectronics, E. Pargon, LTM, C. Petitdidier, R. Tiron, CEA-LETI

The decrease of the resist film budget implies a better etch resistance to use single layer 193nm photoresists for the 65nm node and beyond.This paper reports a study on the physical and chemical modifications of 193nm photoresists during a HBr plasma treatement step.

PE-2
Photoresists

Analysis of EUV Resist Outgassing by Multi-Analysis High-Vacuum System

T. Itani, J. J. Santillan, Selete, Inc.

A novel EUV resist-outgas analysis system was developed with a stand-alone EUV source at ultra-high vacuum conditions. QMS, GC-MS, QCM and 'witness mirror' analysis methods are utilized. System performance and the analysis such as qualification and quantification of various resist outgassing elements and their respective release mechanisms will be reported.

PE-3
Photoresists

Low-Dielectric Constant Organosilicate Glass Incorporated With Fluorine Films As Bottom Antireflective Coatings For Sub-65nm Interconnection Processes

H. L. Chen, G. S. Lai, C. Y. Lee, National Taiwan University, C. Y. Li, C. C. Cheng, Chung Hua University, C. H. Lin, National Nano Device Lab.

Organosilicate glass incorporated with fluorine films (F-SiOC:H) is one of the major candidates of low-K materials. By adding an optimized F-SiOC:H film, the reflectance of less than 1 % can be achieved. F-SiOC:H-based low-K films can also reduce the reflectance of various highly reflective substrates that are commonly used in metal interconnects.

PE-4
Photoresists

Investigations Into The Exposure Mechanism Of Hydrogen Silsesquioxane Resists Using Infrared Spectromicroscopy

A. Tivanksi, M. Gilles, D. Olynick, Lawrence Berkeley National Laboratory, S. Leone, University of California

In this paper we employ spatially resolved Infrared Fourier Transform Spectromicroscopy (FTIRSM) to investigate the network conversion both within and outside of e-beam patterned hydrogen silsesquixane (HSQ) features (exposed but not developed). FTIRSM studies confirm that chemical conversion occurs outside of patterned features and the conversion extent is a function of feature spacing.

PE-5
Photoresists

Acid Distribution In Chemically Amplified Extreme Ultraviolet Resist

T. Kozawa, S. Tagawa, Osaka University, H. Cao, H. Deng, M. Leeson, Intel Corporation

We simulated acid generation induced by EUV photons in PHS with 10 wt% TPS-Tf and made clear the extent of resolution blur of latent acid images. The sensitization distance from EUV absorption point (resolution blur) was 6.3 nm. The acid generation efficiency per EUV photon was 2.6.

PE-6
Photoresists

Evaluating Critical Collapse Of Sub-60 nm Patterns

A. Jouve, J.-H. Tortai, CNRS/LTM, J. Simon, CEA/LETI-MINATEC, L. Gonon, CEA/DRFMC/SPRAM

We have developed new models to describe resist pattern collapse. By comparing simulated to experimental results, we investigate the pattern collapse mechanism of dense lines with Critical Dimension under 60 nm printed with Extreme Ultra Violet (EUVL) and Electron Beam Lithographies (EBL) into ESCAP Chemically Amplified Resist: MET1K.

PE-7
Photoresists

Simulating Geometry Impact On Ultra-High Resolution Pattern Collapse

A. Jouve, J.-H. Tortai, CNRS/LTM, J. Simon, CEA/LETI-MINATEC, L. Gonon, CEA/DRFMC/SPRAM

Study of pattern collapse of sub-60nm dense lines thanks to simulation. We used the models we have previously developed to evaluate the impact of line density and geometry (slope, top rounding) on their collapse. The simulated results are then compared to experimental results printed with EBeam and EUV lithographies.

PF-1
New Materials

Improved Release Strategy For UV Nanoimprint Lithography

S. Garidel, N. Rochat, P. Michallon, CEA LETI-MINATEC, M. Zelsmann, N. Chaix, P. Voisin, J. Boussey, CNRS-LTM

In this paper, we present results on anti-sticking layers based on self-assembled monolayer of fluorinated chlorosilanes deposited in liquid or in vapour phase. Physical characterizations of the formed layer were investigated. As their stability during NIL process remains an important issue, it will be largely discussed here.

PF-2
New Materials

Field Electron Emission from Zirconium Carbide; Controlled Stability Even at Elevated Pressures

W. Mackie, Applied Physics Technologies, Inc.

We report on field emission from ZrC(310). Crystals are electrochemically etched and Vogel mounted to enable flash cleaning and operation at elevated temperatures as needed. We have operated emitters in a range of pressures and compiled data on emission stability. We have achieved emission stability over hour periods in UHV.

PF-3
New Materials

Self-Aligned Fabrication of Ultrasharp Nanotip Arrays

C.-C. Wu, C.-Y. Wang, Y.-J. Tsai, F.-H. Ko, National Chiao Tung University

A sharp tip can induce a stronger field enhancement effect, and therefore, increase the field emission current. Similarly, the sharp tip is also beneficial for improving the spatial resolution in scanning probe microscopy. In this work, aligned nanotip arrays are fabricated by combining electron beam lithography and reactive ion etching technology.

PF-4
New Materials

Magnetic Properties And Electronic Structure Of Copper Adsorbed Polar-Zno Surface By Density Functional Calculations

Y.-S. Kim, Y.-C. Chung, Hanyang University, S.-H. Lee, Samsung Electro-Mechanics Co., Ltd.

The electronic structure and magnetic properties of Cu adsorbed polar-ZnO surface were investigated through ab initio calculation. The total energy of the Cu adsorbed ZnO(0001)-p(2×2) surface was calculated using the supercell scheme. The Zn-rich ZnO:Cu surface system was predicted to have half-metallic property and stable ferromagnetism with a magnetic moment of 0.72.

PF-5
New Materials

Preparation Of Gold Nanoparticles Embedded In Lead Zirconate Titanate Films

K. C. Hsieh, H. L. Chen, D. H. Wan, National Taiwan University

In the study, we demonstrate a simple method for the preparation of gold/lead zirconate titanate (PZT) nanocomposite films with obvious SPR phenomena utilizing an organic chemical addition method.

PF-6
New Materials

High Figure of Merit Thermoelectric Materials: Ion Beam formation of Nanolayers of Quantum

D. Ila, S. Budak, B. Zheng, R. Lee Zimmerman, C. Muntele, Alabama A&M University

An MeV ion beam has been employed to form Nanolayers of Nanocrystals of various materials (100 to 1000 nanolayers). Measured Thermoelectric properties of the fabricated device indicated formation of highly efficient thermoelectric generators (TEG). The nanolayered nanocrystals showed enhanced electrical conductive as well as enhanced thermal insulation and increase Seebeck Coefficient. These materials displayed a higher figure of merit than a layered system with no quantum dot formation.

PF-7
New Materials

A Study of Fluorine Bombardment on the Electrical Properties of AlGaN/GaN Heterostructures

A. Basu, V. Kumar, I. Adesida, University of Illinois at Urbana

A systematic study of RIE on the two-dimensional electron gas properties is needed in order to design effective processing strategies for the fabrication of AlGaN/GaN HEMTs. In this work, a comprehensive study of the transport behavior of AlGaN/GaN HEMT layers is presented.

PF-8
New Materials

High Resolution Investigation of the Interface Between Biological Cell Tissue and Hard Substrate Materials using CrossBeam Technology

P. Gnauck, C. Zeiss, NTS

In order to get high resolution insight into the interface between biological materials and hard substrte maetrials, we have developed a fast preparation technique that allows a site specific, high resolution investigation into the real interface between silicon microstructures and cell tissue at high resolution in a CrossBeam (FIB / FESEM) instrument.

PG-1
Lithography and Nanofabrication
PG – Other Imaging Technologies

Fabrication of a Needle Array Using a Si Gray Mask for X-Ray Lithography

H. Mekaru, T. Takano, K. Awazu, M. Takahashi, R. Maeda, AIST

We proposed the new fabrication method of an X-ray gray mask using MEMS technology. Using this technique, we succeeded in fabricating a PMMA needle array by single X-ray exposure and we experimentally showed that the shape of PMMA resist structures after an X-ray lithography was predictable by calculation.

PG-2
Lithography and Nanofabrication
PG – Other Imaging Technologies

Nanolithography For Patterned Magnetic Data Storage Media

R. Luttge, H. A.G.M. van Wolferen, L. Abelmann, University of Twente, MESA+

We report on nanolithography employing a chemical amplification positive tone resist (p-CAR). Using low cost 266nm-DUV interferometric lithography, this photoresist allowed us to fabricate a 18.25Gbit/sqinch dot pattern for the first time. We described the lithographic performance reaching sub-50nm critical dimensions for magnetic data storage media.

PG-3
Lithography and Nanofabrication
PG – Other Imaging Technologies

Optimization Of Resolution Enhancement Technology And Dual Layer Bottom Antireflective Coatings In Hyper Numerical Aperture Optical Lithography

Y. Li, Institute of Electrical Engineering of Chinese Academy of Sciences

An optimization of RET and dual-layer BARCs are capable of suppressing reflectivity through a wide range of incident angles and to provide good CD, CDU and PW. The optimization of RET and BARCs provide multi-solution of BARC materials and thickness by by using Prolith 9.0 and in house software MicroCruiser.

PH-1
Optical Lithography

Resolution Limits and Process Latitude of Deep-Ultraviolet Conformable Contact Photolithography

C. Fucetola, D. Carter, Draper Laboratory, J. Goodberlet, Lilco

We present an experimental and simulation study of the process latitude and resolution limits of conformable contact photolithography. We find a resolution limit below 100nm, and demonstrate experimentally and numerically that conformable contact with embedded-amplitude masks gives improved resolution and process latitude over contact lithography with chrome-on-glass photomasks.

PH-2
Optical Lithography

Experimental Demonstration Of Dark Field Illumination Using Contact Hole Features

M. Crouse, E. Schmitt-Weaver, R. Routh, ASML

Dark Field imaging is similar to OAI, but at greater incident angle where the 0th diffraction order is not captured by the projection optics. Image modulation is by higher orders in the diffraction pattern creating interesting new effects. We show these effects for contact holes using a modified ASML Twinscan.

PH-3
Optical Lithography

Deep Ultraviolet Photolithography Capability of ZEP520A Electron Beam Resist for Mix and Match Lithography

D. Brown, Georgia Institute of Technology

It is demonstrated that ZEP 520A can be exposed by DUV photolithography and is useful for mix and match lithography schemes. Submicron resolution was achieved, an optical pattern was successfully aligned to an EBL pattern, and 1cm2 was exposed in 11 minutes with DUV compared to 27 hours with EBL.

PH-4
Optical Lithography

Application Of Contrast Enhancement Layer (CEL) To 193nm Lithograpy

R.-H. Kim, Advanced Micro Devices

Feasibility in an application of contrast enhancement layer (CEL) into a 193nm double exposure scheme for 32nm nodes and below has been studied through numerical modeling, RCWA analysis, and FDTD analysis.

PH-5
Optical Lithography

Double Patterning Overlay Budget For The 45nm Technology Node Single And Double Mask Approach

P. L. Rigolli, C. Turco, U. Iessi, G. Capetti, STMicroelectronics, A. Fradilli, Canon Italia

We present the overlay budget applied to a double patterning technique for the definition of a 45nm technology node Flash memory device, with k1 ~ 0.20 using 193nm dry lithography. The Photo Etch Photo Etch approach makes the overlay between the two exposures the most critical issue to be addressed.

PH-6
Optical Lithography

Study Of Process Contributions To Total Overlay Error Budget For Sub 60nm Memory Devices

J. Shin, H. Kang, S.W. Choi, H. Kim, S.-H. OH, G.-J. Min, S.J. Lee, H.K. Cho, Samsung Electronics

According to the overlay budget analysis for 60 nm node memory devices, process contributions are nearly half of total overlay error budget. In this paper, integrated optimum process widow is investigated so that process effects to overlay budget can be minimized.

PH-7
Optical Lithography

Laser Nanoimprinting Technique for a Large Area Surface Nanostructuring

Y. Lin, L. S. Tan, C. S. Lim, National University of Singapore, M. Hong, L. Shi, T. C. Chong, Data Storage Institute

The laser nanoimprinting method makes use of laser irradiation through a transparent mask, microlens array to generate patterns over a large area in a short time. High-resolution patterns are obtained in phase-change and photoresist films. It is possible for this technique to overcome the optical diffraction limit for surface nanostructuring.

PH-8
Optical Lithography

Influence Of 3D Mask Topographic Profile In Hyper NA Lithography

C.-H. Lin, National Nano Device Laboratories, H.-L. Chen, National Taiwan University, F.-H. Ko, National Chiao Tung University

By keeping the same 4X mask in hyper NA lithography, the dimensions of the structures on masks are becoming comparable to the exposure wavelength or even smaller. The sidewall angle effects of the mask for the sub-45nm node were explored in this work. The rigorous coupled-wave analysis (RCWA) was applied to analyze the optical diffraction from the 3D topographic periodic features.

PH-9
Optical Lithography

Fabrication of Micro-Lens Arrays Using CO2-Assisted Embossing

S.-Y. Yang, C.-Y. Chang, J.-K. Chiu, J.-H. Chang, B.-D. Chan, National Taiwan University, L. Wang, Department of Electrical Engineering and Institute of Electro-Optical Engineering, J. G. Loeser, Oregon State University

Pressing polymeric films over a mold of hole arrays can be used to form microlens arrays. CO2 has been used to apply pressure on PMMA films placed over the mold. By adjusting CO2 pressure microlens arrays with different focal lengths can be fabricated.

PH-10
Optical Lithography

"Fast" Photolithography At Zero Cost (Almost) Using Obsolete Equipment

R. Gonski, J. Melngailis, University of Maryland

Past optical micrographs were recorded on Polaroid film. We put an appropriately back lighted mask (actually a piece of a transparency of the type used in vu-graphs) in the plane previously occupied by the film to produce an utterly simple, flexible, inexpensive, but useful lithography tool.

PI-1
Nanoimprint and Soft Lithography

Benchmarking In Thermal Nanoimprint Within The NAPA Project

C. Gourgon, N. Chaix, LTM-CNRS, H. Schift, PSI, M. Tormen, TASC-INFM, S. Landis, CEA-LETI, C. Sotomayor Torres, Tyndall National Institute

A comparison of NIL processes and equipments has been performed in the framework of the NAPA project. It has been focused on the imprint of 50nm dense lines in order to define common or specific rules for high resolution nanoimprint processes.

PI-2
Nanoimprint and Soft Lithography

3D Resist Patterning with a Hybrid NIL and DUV/Ebeam Lithography

S. Landis, S. Pauliac, CEA-LETI-MINATEC, N. Chaix, CNRS-LTM

In this paper we investigate the capability to create multi level resist features by combining the Hot Embossing NIL and Optical DUV and/or Electron Beam Lithography.

PI-3
Nanoimprint and Soft Lithography

UV-Nanoimprint Mold Repair by Ga Focused-Ion-Beam

M. Okada, J.Y. Igaki, K. Nakamatsu, R. Kometani, K. Kanda, Y. Haruyama, S. Matsui, University of Hyogo

Nanoimprint lithography (NIL) is a useful technique, because nanostructure devices are fabricated with a high-throughput and low cost. In particular, NIL mold repair is important. However, there are only a few papers reporting the repair of the NIL mold. We performed the repair of UV-NIL mold defects by Focused-Ion-Beam Chemical-Vapor-Deposition (FIB-CVD).

PI-4
Nanoimprint and Soft Lithography

Fabrication of UV-NIL Polymer Stamp Using Step and Stamp Imprint Lithography

T. Haatainen, P. Majander, T. Makela, J. Ahopelto, VTT, Y. Kawaguchi, Asahi Glass Co., Ltd.

Fabrication of a UV stamp using step and stamp imprint lithography (SSIL) to pattern a fluoro-resin coated quartz support is presented.

PI-5
Nanoimprint and Soft Lithography

Continuous Roll To Roll Nanoimprinting Of Cellulose Acetate Film

T. Mäkelä, T. Haatainen, P. Majander, J. Ahopelto, VTT

In this work sub-200 nm trenches on cellulose acetate film were imprinted using a custom made laboratory scale roll to roll imprinting machine.

PI-6
Nanoimprint and Soft Lithography

The Impact Of Glass Temperature For Thermal Nanoimprint

H.-C. Scheer, N. Bogdanski, M. Wissen, S. Möllenbeck, University of Wuppertal

Often the glass transition temperature serves to define an appropriate processing temperature for thermal NIL. Our investigations show, that even for comparable molecular weights, polymer viscosities in an imprint process do not correspond to Tg. For PMMA, PS and PVC as polymer materials, pattern size dependent differences were observed additionally.

PI-7
Nanoimprint and Soft Lithography

Sub-50nm Scratch-Proof DLC Molds For Reversal Nanoimprint Lithography

L. Tao, C. Nelson, K. Trivedi, S. Ramachandran, M. Goeckner, L. Overzet, W. Hu, University of Texas at Dallas

Sub-50 nm scratch-proof nanoimprint molds were made of diamond-like carbon (DLC) films using electron beam lithography and inductive coupled plasma etching. The robust medium surface energy (35-50 mJ/m2) of DLC molds is ideal for reversal nanoimprint, which eliminates the mold bending and polymer non-uniformity problems of conventional nanomprint.

PI-8
Nanoimprint and Soft Lithography

Bilayer Wire-Grid Polarizers Fabricated by Roll-To-Roll Nanoimprinting on Flexible Plastic Substrates

S. H. Ahn, J.-S. Kim, L. Jay Guo, University of Michigan

We demonstrate the fabrication of bilayer metal wire-grid polarizers on a flexible plastic substrate by a continuous roll-to-roll nanoimprint lithography (R2RNIL) process. Flexible wire-grid polarizers were achieved in a fast and simple process involving continuous nanoimprinting of UV curable material by R2RNIL followed by deposition of an aluminum layer with appropriate thickness on top of the imprinted nanograting.

PI-9
Nanoimprint and Soft Lithography

Soft Photocurable Nanoimprint Lithography For Compound Semiconductor Nanostructures

K. Meneou, K.-Y. Cheng, University of Illinois at Urbana-Champaign

We will discuss the formation of dense arrays of 100 nm nanostructures in compound semiconductors using a soft photocurable nanoimprint lithography method. Two-dimensional arrays of both "holes" and "pillars" were created in both InP and GaAs.

PI-10
Nanoimprint and Soft Lithography

Evaluation of Residual Layer by Nanoimprint Using Liquid Phase Hydrogen Silsesquioxane

C. Minari, K.I. Nakamatsu, R. Kometani, K. Kanda, Y.I. Haruyama, S. Matsui, University of Hyogo

We evaluated the residual layer by nanoimprint using liquid phase hydrogen silsesquioxane (HSQ) through demonstration of a bilayer process. In the experimental result a HSQ/AZ bi-layer structure has been successfully demonstrated without the formation of a residual layer in NIL using liquid phase HSQ.

PI-11
Nanoimprint and Soft Lithography

Room-Temperature Nanoimprinting Using Ladder Hydrogen Silsesquioxane (HSQ)

K.I. Nakamatsu, S. Matsui, University of Hyogo, K. Ishikawa, N. Taneichi, Tokyo Ohka Kogyo

We propose a ladder HSQ (OCD T-12, Tokyo Ohka Kogyo Co. Ltd) as a replication material for room-temperature nanoimprinting. The ladder HSQ patterns retained their initial profile even at a bake temperature of 1000 degree C.

PI-12
Nanoimprint and Soft Lithography

Room-Temperature Nanoimprinting Using Organic Spin-on-Glass

K.I. Nakamatsu, S. Matsui, University of Hyogo, K. Ishikawa, N. Taneichi, Tokyo Ohka Kogyo,

An Organic SOG has been proposed as the replication material for room-temperature nanoimprinting, as an alternative to the inorganic SOG currently used. The organic SOG offered the advantage property of enabling low-pressure imprint replication compared to the inorganic SOG.

PI-13
Nanoimprint and Soft Lithography

The Fabrication Of 3D And Multilevel Molds For UV Curable Nanoimprint By Using Variable Electron Beam Dose Controlled Exposure

K. Mohamed, M. Alkaisi, R. Blaikie, University of Canterbury

We have developed a process for making 3D structures with multilevel features in a simple two step process. The 3D profiles in the masking layer are created in a negative tone photoresist using electron beam lithography with single step variable dose controlled exposure. The 3D patterns have been transferred into the mold substrates by single step reactive ion etching.

PI-14

A New Technique for Creating Nanostructures on Tubular and Spherical Surfaces

O. Lima, J. Xu, Z. Li, L. Tan, University Nebraska-Lincoln

This work presents a novel means of creating tiny structures on hollow and curved surfaces. Easy tunability of curvature/shape in an object is, for the first time, integrated with nanopatterning process. We envision broad impact in bridging nanofabrication and biological applications.

PI-15
Nanoimprint and Soft Lithography

Sub-100nm Three-Dimensional Nano Imprint Lithography

J. Taniguchi, N. Unno, Y. Ishii, Tokyo University of Science

Low acceleration voltage electron beam lithography systems are useful for fabrication of three-dimensional nano imprint lithography molds since the penetration depth of electrons depends on acceleration voltage. Using a spin-on-glass as a resist, L & S patterns (Line width is 80nm, space width is 140nm.) were delineated at 2 kV and imprinted.

PI-16
Nanoimprint and Soft Lithography

Effect of Nanoimprint on Crystallization in Polymer Thin Film

X. Cheng, H. Park, H. Li, Texas A&M University

Polymer melt flow during nanoimprint can be exploited to induce chain ordering in patterned structures due to flow-induced crystallization. Polymer chain ordering during thermal nanoimprint is studied using a polarization microscope. This observation opens new application area for nanoimprint in patterning crystalline and semi-crystalline polymers.

PI-17
Nanoimprint and Soft Lithography

Reversal Nanoimprint Lithography Of Glasia® Towards Stacking Glass Structure

M. Okinaka, K. Tanaka, K. Tsukagoshi, RIKEN, Y. Aoyagi, Tokyo Institute of Technology and RIKEN

We propose a method to pattern stacked glass structures by reversal nanoimprint lithography, which would be indispensable for patterning various structures such as 3D photonic crystals and biochips. Line and space structures of 25 microns were successfully transferred to substrates using Glasia® as a precursor.

PI-18
Nanoimprint and Soft Lithography

Micro-Nano Mixture Patterning By Thermal-UV Novel Nanoimprint

K. Okuda, H. Kawata, Y. Hirai, Osaka Prefecture University

This paper proposes hybrid patterning employing thermal and UV NIL to fabricate micro and nano mixed structures in both lateral and vertical directions. Using this method, combination micro-nano mixed patterns were successfully obtained by a simple NIL process and plain mold.

PI-19
Nanoimprint and Soft Lithography

Chemical Nanoimprint Lithography for Step-and-Repeat Si Patterning

H. Namatsu, K. Komatsu, NTT Advanced Technology, M. Oda, NTT-AT Nanofabrication, A. Yokoo, NTT Basic Research Laboratories, M. Fukuda, Hiroshima University, K. Irisa, S. Tsurumi, Musashi Enginnering

This article reports on chemical nanoimprint, in which the surface reaction is more important than the press. The nanoimprint process utilizes an electrochemical reaction, which is based on the anodic oxidation of moisture in the vicinity of the mold. We also show the results of Si patterning using a novel nanoimprinter.

PI-20
Nanoimprint and Soft Lithography

Imprint-Direct Etch Process Using Single Layer Non Silicon Based UV Resist For NIL Mold Duplication

W. Zhang, H. Gao, L. Kong, H. Tan, L. Koecher, Nanonex Corp, Z. Fu, S. Y. Chou, Princeton University

Having non-semiconductor applications market-feasible, an efficient way to reduce NIL production cost is to use duplicated mold for wafer production. We present an imprint-direct etch process using single layer non-Si-containing UV resist for NIL mold duplication. It has the fewest steps, thus, potentially achieving high pattern fidelity and low defect density.

PI-21
Nanoimprint and Soft Lithography

Fabrication Of Dense Sub-20nm Pillar Arrays On Fused Silica Imprint Templates

G. Schmid, G. Doyle, M. Miller, D. Resnick, Molecular Imprints Inc.

Dense pillars are patterned on fused silica imprint templates by electron beam lithography to define arrays of resist holes, followed by a novel lift-off process to create Cr dots. The template is completed by etch transfer into the quartz. The process is demonstrated for large dense arrays of sub-20nm pillars.

PI-22
Nanoimprint and Soft Lithography

Pattern Transfer On 200mm Si Wafer For Optical Application

N. Chaix, C. Gourgon, C. Perret, LTM CNRS, S. Landis, T. Leveder, CEA-LETI

As residual layer etching is crucial for the use of NIL for optical or electronic devices, special studies have been performed on this step to analyse its anisotropy. Using different plasma chemistry the profile of the pattern can be well controlled for several resists, with no significant change of the structure dimensions

PI-23
Nanoimprint and Soft Lithography

Grayscale Lithography by a Polymer Photomask Doped with Laser Dye

N. S. Korivi, Louisiana State University, L. Jiang, Tuskegee University

This abstract describes a novel grayscale photomask made of patterned polymer doped with a laser dye. This photomask, using differential UV absorption in photo-absorbing material, enabled fabrication of three-dimensional microstructures with different heights in positive photoresist by a single UV exposure. This mask is inexpensive, easy to prototype and implement.

PI-24
Nanoimprint and Soft Lithography

An Ultra-Stiff Stage for Imprint Lithography

Y. Jeon, M. Feldman, Louisiana State University, L. Jiang, Tuskegee University

Imprint lithography has superb resolution, but the large forces present during imprinting compromise alignment. An extremely stiff prototype flexure stage is reported which addresses this problem. In-plane compressive forces are applied to make the stage relatively compliant in the vertical direction, while retaining most of the horizontal stiffness.

PI-25
Nanoimprint and Soft Lithography

Fabrication Of 70nm-Sized Metal Patterns On Flexible PET Film Using Nanoimprint Lithography

J.-H. Lee, K.-Y. Yang, S.-H. Hong, H. Lee, Korea University

70nm narrow metal (Cr) lines were successfully formed on flexible PET substrates using nanoimprint lithography.

PI-26
Nanoimprint and Soft Lithography

Direct UV-Imprint Lithography Using Conductive Nanofillers-Dispersed UV-Curable Resin

J. Choi, S.U. Jung, D.-G. Choi, J. Jo, J.-H. Jeong, E.-S. Lee, Korea Institute of Machinery & Materials (KIMM)

This abstract proposes an idea of using conductive nanofiller (Ag) -dispersed UV curable resin for UV-imprint. Imprinted patterns become electrically conductive as the dispersed fillers are tightly crosslinked, and thus can be used directly as electronic structures without the need of separate metal processing.

PI-27
Nanoimprint and Soft Lithography

The Potential Of Phase Shifted Optical Proximity Correction For 65nm T Joint Pattern In High NA Lithography

Y. Li, S. Gao, Institute of Electrical Engineering of Chinese Academy of Sciences

New resolution enhancement technology named phase shifted optical proximity correction (PSOPC) is presented in this paper. A PSOPC mask with phase shifted serif modifies both the intensity and the phase of the local incident light simultaneously. PSOPC can provide a better image fidelity and process robustness and show much potential for 65nm T joint pattern lithography.

PI-28
Nanoimprint and Soft Lithography

Coarse-Grain Simulation Of Viscous Flow And Stamp Deformation In Nanoimprint

V. Sirotkin, A. Svintsov, S. Zaitsev, IMT RAS Russia, H. Schift, PSI Switzerland

A coarse-grain method for simultaneous calculation of the resist viscous flow and the stamp deformation in nanoimprint lithography is presented. The method has been realized in software, which allows to analyze the temporal mapping of residual thickness and pressure on standard Personal Computers, by using the GDS data of the stamp design.

PI-29
Nanoimprint and Soft Lithography

Nanoimprint Masters for Patterned Media

E. Dobisz, T.-W. Wu, D. Kercher, M. Best, M. Vargas, Hitachi Global Storage Technologies

Fabrication processes, resolution, and metrology issues for making quartz masters for patterned media with both holes and pillars tones are shown. The impact of master fabrication on the imprint process is discussed.

PI-30
Nanoimprint and Soft Lithography

A Cost-Effective Nanoimprint Lithography Module

W. Wu, W. M. Tong, J. Bartman, Y. Chen, Z. Yu, D. Stewart, C. Picciotto, J. Gao, R. Walmsley, S.-Y. Wang, R. S. Williams, I. Park, Hewlett-Packard and University of California Berkeley

We developed a nanoimprint lithography module, which transforms a contact mask aligner into a nanoimprint machine capable of doing the whole nanoimprint process including alignment, nanoimprint and mold/sample separation. It implemented a novel approach to performing imprinting that preserves the alignment during template-wafer approach with no sophisticated machinary necesary.

PI-31
Nanoimprint and Soft Lithography

Fabrication of Terahertz Holograms

E. Walsby, D. Cumming, Glasgow University, J. Alton, Teraview, C. Worrall, H. Beere, D. Ritchie, Cambridge University

We demonstrate the fabrication of polypropylene 8-level holograms with arbitrary patterns for use in terahertz beam-forming and imaging applications. We make a binary master in silicon using multistage DRIE and imprint the final pattern into the dielectric. Patterns are tested using a THz quantum cascade laser. The process is low cost with high fidelity.

PI-32
Nanoimprint and Soft Lithography

Fabrication Of 3D-Photonic Crystals Via UV-Nanoimprint Lithography

T. Glinsner, EVGroup

We demonstrate the use of nanoimprint lithography for the fabrication of 3D photonic crystals using the woodpile structure.

PI-33
Nanoimprint and Soft Lithography

Design, Fabrication And Testing Of Rheological Nano–Indenter.

A. Bezrukov, P. Chuprov, EMKO, A. Svintsov, O. Trofimov, S. Zaitsev, Institute of Microelectronics Technology, RAS

Rheological nano-indenter based on AFM, special thermostage for local heating, cantilever with a tip of given shape was fabricated. Programmable indentation takes 0.1sec acquiring elastic module and viscosity of 200-300nm resist films at heating-cooling cycle (up to 200C). NIL resists (thermoplastics and thermo-curable polymers) were investigated with the device.

PI-34
Nanoimprint and Soft Lithography

CO2-Assisted Embossing Process for Replication at Lower-Than-Tg

S.Y. Yang, F.-S. Cheng, T.-C. Huang, J.-K. Chiu, National Taiwan University, J. G. Loeser, Oregon State University

Gas-assisted embossing is effective to replicate microstructures uniformly. Since CO2 is a good solvent for PMMA, CO2 -assisted embossing can lower the embossing temperature to below the Tg of the substrate. Two microstructures on Si and Ni molds have been replicated to PMMA substrates at 35oC.

PI-35
Nanoimprint and Soft Lithography

Characterization Of Anti-Sticking Layers On UV-NIL Molds By Various Scanning Probe Microscopies

M. Kurihara, T. Shimomura, K. Yoshida, S. Shimada, H. Mohri, N. Hayashi, Dai Nippon Printing, M. Akiyama, T. Kobayashi, M. Fujihira, Tokyo Institute Of Technology

Control of the mold/resist/substrate interfaces is necessary to realize stable UV-NIL processes. However to date the mold release characteristics have mainly been evaluated by macroscopic methods. In this report, we focus on characterization of anti-sticking layers of UV-NIL molds by using the various types of scanning probe microcopies.

PI-36
Nanoimprint and Soft Lithography

Photo-Polymerisation Kinetic Study Of UV-NIL Dedicated Resists

P. Voisin, CNRS-LTM, CEA-LETI, STMicroelectronics, M. Zelsmann, H. Ridaoui, C. Gourgon, J. Boussey, CNRS-LTM, K. Zahouily, Photo'nPolymers

This paper reports on the photo-polymerisation properties of UV-NIL imprinting materials. Studied solutions are composed of an epoxy-acrylate based monomer and a variable amount of three different photoinitiators. High sensitivity is demonstrated as well as an etch resistance comparable to 193 nm optical lithography resists.

PI-37
Nanoimprint and Soft Lithography

Process Development For UV Step And Repeat Nanoimprint Lithography Using An HSQ-Based Nanopatterned Mould

S. Garidel, C. Constancias, C. Licitra, F. Muller, CEA LETI-MINATEC

We propose here a versatile process to fabricate nanopatterned moulds for UV-NIL process based on the use of an inorganic resist. A global process involving mould, anti-sticking layer and resist will be presented, as well as imprint features characterization.

PI-38
Nanoimprint and Soft Lithography

Real-Time Demolding Characterization Of High Throughput Imprint

T. Leveder, S. Landis, CEA LETI - MINATEC, L. Davoust, LEGI/ENSHMG, S. Soulan, J. H. Tortai, N. Chaix, CNRS-LTM

Higher throughput in NIL can be realized with isotherm imprints: neither heating nor cooling, but imprint and demolding at high temperature. This work is a real-time scatterometry characterization of the resist behavior during the demolding. An optimum temperature can be determined allowing one to maximize the imprint throughput and to minimize thermal budget of the imprint step.

PI-39
Nanoimprint and Soft Lithography

Nanocontact Printing Using a Stamp Fabricated by UV Nanoimprint Lithography

X. Xiao, J. Gu, P. Zhang, B. R. Takulapalli, M. Morrison, F. Zenhausern, Arizona State University

A nanocontact printing platform has been demonstrated for fabrication of high density biological nanoarrays using a stamp fabricated by UV nanoimprint lithography. Atomic force microscopy has shown the successful fabrication of a streptavidin protein array by nCP.

PI-40
Nanoimprint and Soft Lithography

Resist Flow Behavior Analysis Of A UV Imprint System Using VOF Algorithm

H. Kwon, ADP Engineering

Using the volume of fluid (VOF) algorithm, we simulated the formation of trapped air at a specific contact angle of the stamp and substrate, and found the conditions that eliminated the trapped air. We compared the simulation results with experiments.

PJ-1
Modeling Simulation and CAD

Study of Sub-Resolution Assist Feature Placement on Focus Robustness Using Computationally Efficient Defocus Information

J. Li, Q.Yan, L. Melvin, Synopsys

Driven by the complexity of the heuristic Sub-Resolution Assist Feature (SRAF) placement rules, it is desirable to study the effect of SRAF placement on main feature in terms of focus sensitivity with a computationally rapid and accurate algorithm. In this paper, a methodology of calculating the defocus signal dependence on SRAF placement in an iso-line pattern is proposed.

PJ-2
Modeling Simulation and CAD

Pattern Matching, Simulation and Metrology of Complex Layouts Fabricated by Electron Beam Lithography

N. Tsikrikas, D. Drygiannakis, G. Patsis, I. Raptis, S. Stavroulakis, E. Voyiatzis, NCSR Demokritos'

The aim of this project is the development of a simulation tool for the prediction of pattern transfer of complex layouts on mask plates. The software consists of four modules: layout file management, SEM image pattern matching, 2D/3D layout and metrology. The whole process could be useful in the validation of design rules.

PJ-3
Modeling Simulation and CAD

E-Beam and Optical Proximity Effect Reduction for Nanolithography: New Results

M. Peckerar, University of Maryland

In this paper we show how linear programing approaches (LP) can be used in optical proximity control using checkerboard patterns at feature boundaries. We further describe an algorithm useful for nanostructure development that can be implemented on desktop computers.

PJ-4
Modeling Simulation and CAD

Line Edge Roughness Impact on Critical Dimension Uniformity and Device Performance for Sub-32nm Technology

Y. Ma, Q. Chen, H. Levinson, AMD

In this paper, we will present a fundamental study of the line edge roughness (LER) effect on CD variation across the wafer and on the electrical performance of the devices for sub-32nm technology.

PK-1
Maskless and Direct Write Techniques

INVITED: Multi-Component Solutions As Tools To Study Electrospinning Jet Dynamics

L. Bellan, H. Craighead, Cornell University

Electrospinning is quickly becoming a popular technique for direct deposition of nanoscale structures of functional materials. We discuss techniques for measuring jet velocity of an electrospinning jet using fluorescent particles.

PK-2
Maskless and Direct Write Techniques

Development Of EUV Reflective Micro Mirror Arrays For Maskless Lithography Applications

A. Bleeker, ASML, N. Chkhalo, N. Salashchenko, IPM, L. Sjmaenok, Phystex

The first experimental imaging results of EUV reflective multi-layer coated SLMs for lithographic applications are presented. The experiments are conducted in a dedicated test bench in which an EUV reflective SLM can be imaged onto a CCD camera using actenic radiation.

PK-3
Maskless and Direct Write Techniques

Fabrication Of 22Nm Hp Silicon Lines By Single-Exposure Self-Aligned Spatial-Frequency Doubling

D. Li, A. Frauenglass, A. Raub, S. R. J. Brueck, University of New Mexico

We demonstrate a single-exposure, self-aligned frequency doubling scheme producing a large-area 22-nm hp etched Si structure. The initial exposure used water immersion 193-nm interferometric lithography at a 45-nm hp. Two KOH etch steps were used to fabricate the spatial-frequency-doubled structure.

PK-4
Maskless and Direct Write Techniques

Nanolithography on Cross-Linked Polymers Using Heated AFM Cantilever Probes

Y. Hua, C. Henderson, Georgia Institute of Technology, W. King, University of Illinois

This paper reports a novel nano-lithography technology based on the use of heated AFM cantilever probes to achieve local thermal decomposition of polymer thin films.

PK-5
Maskless and Direct Write Techniques

High Brightness 100-Electron-Beam Source For High-Resolution Applications

Y. Zhang, P. Kruit, Delft University of Technology

A 100-electron beam source for high-resolution single-column systems is analyzed. The multibeam source, comprising a low extraction voltage Schottky source, an aperture lens array, an accelerator lens and a blanker array, is capable of generating 100 beamlets with a beamlet current of 8.5nA.

PK-6
Maskless and Direct Write Techniques

Anneal Effects On Electron Beam Induced Deposits Of Platinum From Pt(PF3)4

M. Ervin, B. Nichols, A. Wickenden, US Army Research Lab, J. Barry, J. Melngailis, Univeristy of Maryland, D. Chang, Princeton University

Annealing effects on EBID platinum made with an inorganic precursor Pt(PF3)4 were investigated. Structural, chemical, and electrical changes were measured. Annealing caused shrinkage while driving off some of the initial phosphorous contamination. Contacts to a carbon nanotube improved with a 200C anneal.

PK-7
Maskless and Direct Write Techniques

Electron Beam Induced Deposition of Iron Nanostructures

H. D. Wanzenboeck, G. Hochleitner, E. Bertagnolli, Vienna University of Technology

Direct-write mask-less nanostructure fabrication of iron structures was performed with a scanning electron microscope. Resulting insights on the mechanism are reported.

PK-8
Maskless and Direct Write Techniques

Re-Deposition Characteristics Of FIB Milling For Nanofabrication

M. de Winter, H. Mulders, University Utrecht

Re-deposition during FIB milling has been calculated using SRIM. A new and fast experimental method for quantitative measurment of re-deposition is introduced. Data points from the new method and SRIM calculations are closer in agremeent than previosuly reported distributions in the literature.

PK-9
Maskless and Direct Write Techniques

Focused Electron Beam Induced Deposition Assisted By Molecular Oxygen: Growth Dynamics

A. Perentes, P. Hoffmann, EPFL

The roles of the backscattered and secondary electrons during the focused electron beam deposition (FEBID) assisted by oxygen are studied. We report important results based on relevant experiments that allow decoupling the contributions of the different types of electrons. The fundamental details will be presented.

PK-10
Maskless and Direct Write Techniques

Comparison Of Focused Electron Beam Induced Deposition Of Transparent Oxides For Nano-Optics

A. Perentes, P. Hoffmann, EPFL

Focused electron beam induced deposition usually deposits contaminated materials preventing their application for nano-optics. By simultaneously injecting a controlled molecular oxygen flux to specific precursor molecules, three transparent oxides were obtained: SiO2, TiO2 and Al2O3 of high quality. The materials are investigated.

PK-11
Maskless and Direct Write Techniques

Resolution And Growth Regimes In Focused Ion And Electron Beam Induced Processing

I. Utke, V. Friedli, J. Michler, EMPA

Growth regimes and resolution in gas assisted FEB and FIB induced processing (deposition and etching) will be discussed and compared by solving the appropiate adsorption rate equation inside the irradiated area. This continuum approach considers the balance of all physical key processes: molecule adsorption, desorption, dissociation, and surface diffusion.

PL-1
Ion Beam Lithography

Mechanical Nanostepping For Atom Beam Lithography

B. Craver, A. Roy, H. Nounu, J. C. Wolfe, University of Houston

Atom beam lithography uses energetic neutral particles to expose a resist coated substrate in close proximity to a stencil mask. Multiple offset ('nanostepped') atom beam exposures can be accomplished by mechanically tilting the mask and substrate together relative to the neutral beam.

PL-2
Ion Beam Lithography

High Brightness Source Of Energetic Helium Atoms For Proximity Nanolithography

H.-J. Guo, B. Craver, J. Reynolds, J. C. Wolfe, University of Houston

Energetic helium atoms for proximity nanolithography has all of the benefits of ion beam lithography yet is inherently immune to charging artifacts, thus enabling higher resolution features (sub-10 nm). Our goal is to develop a helium atom source with smaller virtual source size and higher brightness than is currently available.

PL-3
Ion Beam Lithography

Ion Beam Lithography Of Quartz

J. Taniguchi, T. Nakao, Y. Kogo, Tokyo University of Science, S. Momota, Kochi University of Technology, N. Kawasegi, Toyama Industrial Technology Center, N. Morita, University of Toyama

Mask less and rapid patterning of quartz can be obtained using ion beam lithography. A 34 nm depth and 487 nm width line pattern was obtained at 330 nm diameter focused ion beam. The etching rate of ion beam lithography is 1818 times as fast as that of sputtering etching.

PL-4
Ion Beam Lithography

Formation Of Narrow Wires Deposited By Focused Au Ion Beam-Induced Chemical Vapor Deposition Method

R. Okada, J. Yanagisawa, Osaka University, S. Matsui, University of Hyogo

FIB-CVD with Au ions and phenanthrene was investigated to form Ga-free DLC. Materials with different peak height was deposited, which might be because of the difference in sputtered amount of the deposited materials and/or decomposition rate of phenanthrene molecules for each period of the repetition of the AuFIB scanning.

PL-5
Ion Beam Lithography

Focused Ion Beam Iodine-Enhanced Etching Of High Aspect Ratio Holes In InP Photonic Crystals

V. Callegari, P. M. Nellen, U. Sennhauser, EMPA, P. Strasser, F. Robin, ETHZ

We investigated focused ion beam iodine-enhanced etching of InP. At 125 °C, the etching rate increased 55-fold over physical sputtering and Ga-contamination was lower. A W-mask or electron beam deposited Pt protect the surface. We etched cylindrical holes with an aspect ratio 13 at the center of photonic crystal power splitters.

PL-6
Ion Beam Lithography

Design Of A Multi-Ion/Electron Beam Common Axis Column

A. Khursheed, M. Osterberg, National University of Singapore

This paper presents the design of a multi-ion/electron common axis column that has potential applications in lithography, microscopy and spectroscopy. The column combines ion beams of differing charge-to-mass and electron beams of differing energies on to a single column, and presents a way of focusing these beams on to the same sample.

PL-7
Ion Beam Lithography

WITHDRAWN

PL-8
Ion Beam Lithography

Scanning Helium Ion Beam Lithography

B. Cord, M. Mondol, K. Berggren, L. Stern, Alis Corporation

Investigation of possibilities for sub-10-nm lithography using a modified version of the high-resolution scanning helium ion beam microscope developed by Alis Corporation.

PL-9
Ion Beam Lithography

WITHDRAWN

PL-10
Ion Beam Lithography

Current Distribution Across the Target of Field-Emission Probing Systems

B. Freinkman, Institute of Microelectronics Technology RAS

The paper will show that experimentally determined non Gaussian distribution of a current on a target [J.W. Ward, R.L. Kubena and M.W. Ultaut, J. Vac. Sci. Technol. B6 (6), 2090-2094 (1988)] relates to spherical aberration, which gives rise to formation of the caustic. The current distribution and caustic radius as function of target position and system parameters is found.

PL-11
Ion Beam Lithography

Dual Beam Patterning In Photonic Materials

O. Wilhelmi, S. Reyntjens, FEI Company

FIB patterning is a highly efficient way to prototype nanodevices. The resulting pattern surfaces however differ from devices from conventional nanofabrication processes. The Ga-implantation and amorphisation of FIB patterning in typical photonic materials is investigated and suitable strategies to minimize surface damage are presented.

PL-12
Ion Beam Lithography

Production Of Noble Gas Ion Beams In A FIB Machine Using An Electron Beam Ion Trap

F. Ullmann, F. Grossmann, V. P. Ovsyannikov, DREEBIT GmbH, J. Gierak, E. Bourhis, LPN/CNRS, G. Zschornack, Technische Universität Dresden

The aim of this paper is to report on our preliminary investigations in evaluating a new kind of FIB instrument realized by coupling an advanced FIB "nanowriter" with a compact electron beam ion trap using highly charged ions (HCIs) as projectiles.

PM-1
EUV Lithography

Dual Domain Scanning Illuminator for the Berkeley Micro Exposure Tool

C. Anderson, University of California Berkeley, LBNL, P. Naulleau, LBNL

In this work we present and characterize recent upgrades to the existing Fourier-synthesis custom coherence Mirco Exposure Tool (MET) illuminator. With the goal of increasing tool utility, performance, and reproducibility on a long-term time scale, we've designed a scanning fly's eye illuminator enabling consistent, robust, uniform illumination over the entire 3 mm × 1 mm object-side field of the MET.

PM-2
EUV Lithography

Estimation Of Diffusion Lengths Of Acid And Quencher In Chemically-Amplified Resist On The Basis Of EUV Exposure Results

Y. Tanaka, Y. Kikuchi, D.H. Goo, H. Oizumi, I. Nishiyama, ASET

We estimated diffusion lengths of acid and quencher for a chemically-amplified resist by fitting the calculated shapes of resist pattern to EUV exposure results. It was found that, in MET-1K resist, the diffusion length of the acid was about 40 nm and that of the quencher was about 30 nm.

PM-3
EUV Lithography

EUV Holographic Lithography: An Image Formation and Optimization Study

A. Isoyan, Y.-C. Cheng, F. Jiang, F. Cerrina, University of Wisconsin-Madison, S. Bollepalli, Intel Corp.

The optimization of computer generated hologram(CGH) structures will be performed in order to improve the image quality by several algorithms similar to optical proximity correction. We will discuss the design of optimized binary CGHs for imaging of complex patterns down to 22 nm resolution, and beyond. The results will be compared to experimental data

PM-4
EUV Lithography

Ab Initio Investigation Of Interfacial Layer Formation In The Mo/Si Boundary For Extreme Ultraviolet Lithography

I.-Y. Kang, Y.-S. Kim, Y.-C. Chung, Hanyang Univesity

Our work intends to show quantitative analysis of the energy barrier and bonding nature in the initial deposition stage of Si adsorption on Mo(110) surface in an attempt to clarify the formation mechanism of silicide layers in the Mo/Si multilayer system.

PM-5
EUV Lithography

Measurement And Analysis Of EUV Resist Outgassing Rate By Pressure-Rise Method

I. Nishiyama , H. Oizumi, ASET

ASET has constructed a new tool for measuring resist outgassing based on the pressure rise method, and has used it on a typical EUV resist. The measured outgassing rate is converted to production tool conditions, and was compared to the requirements of the exposure tool.

PM-6
EUV Lithography

Angle-Resolved Absolute Out-Of-Band Radiation Studies Of Tin-Based Laser-Produced Plasma Sources

O. Morris, P. Dunne, F. O'Reilly, G. O'Sullivan, P. Hayden, University College Dublin

Out-of-band radiation has been measured from a laser plasma EUV source formed on a solid tin planar target for angles between 20 and 90 degrees. Measurements were made over six spectral bands centered between 200 and 1000 nm. The OOB emission was dominated by the radiation in the band centered on 214 nm.

PM-7
EUV Lithography

Evaluation Of Surface Roughness Of Zerodur Substrates Machined By Ar+ Ion Beam With Energy Of 3~10 keV

Y. Kurashima, I. Miyamoto, R. Uozumi, Tokyo University of Science, M. Ando, A. Numata, Extreme UltraViolet Lithography System Development Association

Aspherical substrates of the projection optics for EUVL require ultra high shape accuracy and surface roughness of about 0.2 nm rms. We quantitatively examined surface roughness of Zerodur substrates machined within a depth of 60 nm by Ar+ ion beam of energy from 3 keV to 10 keV.

PM-8
EUV Lithography

Using Soft X-Ray Lasers For Direct Nano-Structuring

J. Chalupský, L. Juha, V. Hájková, T. Mocek, B. Rus, Czech Academy of Sciences

We carried out ablation experiments using plasma-based and FEL XUV/x-ray lasers.These and other similar sources represent promising tools for applications in the field of nano-patterning of solids, as they will enable printing of ~10nm sized features due to their exceptionally short wavelength, spatial coherence, and high peak power.

PM-9
EUV Lithography

Influence of Solubility Switching Mechanism on Resist Performance in Molecular Glass Resists

R. Lawson, C. Henderson, R. Whetsell, L. Tolbert, Georgia Institute of Technology, W. Yueh, J. Roberts, Intel Corporation

This paper compares the effect of four different solubility switching mechanisms on resist performance in molecular glass resists.

PM-10
EUV Lithography

Tabletop Lensless Imaging Using Coherent High Harmonic Beams

R. Sandberg, A. Paul, D. Raymondson, M. Murnane, H. Kapteyn, JILA and the University of Colorado at Boulder, C. Song, J. Miao, University of California at Los Angeles

We present the first demonstration of lensless imaging using coherent high harmonic beams at 30 nm with spatial resolution of 240 nm. This high resolution coherent imaging technique that has been previously demonstrated at synchrotron and free electron laser sources has now been demonstrated on a table top source.

PM-11
EUV Lithography

Figuring And Smoothing Capabilities Of Elastic Emission Machining For Low-Thermal-Expansion Glass Optics

M. Kanaoka, C. Liu, K. Nomura, M. Ando, H. Takino, Y. Fukuda, Extreme Ultraviolet Lithography System Development Association, H. Mimura, K. Yamauchi, Y. Mori, Osaka University

The removal performance of elastic emission machining was tested on the surfaces of low-thermal-expansion materials that are candidates for the optics in extreme-ultraviolet lithography systems. We demonstrated their removal rates and reduced the roughness to approximately 0.1 nmRMS in the spatial wavelength range from 100 microns to 1 mm.

PN-1
E-Beam Lithography

Study Of Etch Processes For The Fabrication Of Extreme Ultraviolet Phase-Only Diffraction Elements

F. Salmassi, E. Anderson, E. Gullikson, P. Naulleau, LBNL

Several high resolution etch processes directed towards the fabrication of EUV diffractive phase devices are presented.

PN-2
E-Beam Lithography

INVITED: Investigation of Very Low Temperature Development of PMMA

B. Cord, J. Lutkenhaus, K. Berggren, MIT

An investigation of the behavior of PMMA when developed at temperatures as low as -70C, using a new model based on molecular entanglement, polymer reptation, and glass transition. Application to ultra-high-resolution electron beam lithography will also be discussed.

PN-3
E-Beam Lithography

Process-Induced Charging Device Damage by Low Accelerate Voltage Electron Beam Tool

C.-H. Lin, C.-K. Chen, T.-S. Gau, Z.-C. Wu, T.I. Bao, TSMC

Comprehensive investigation and research results on PID caused by low accelerate voltage (<10KV) electron beam lithographic tool will be reported and discussed in this paper.

PN-4
E-Beam Lithography

Nanofabrication Of High Aspect Ratio 24nm X-Ray Zone Plates For X-Ray Imaging Applications

Y. Feng, M. Feser, A. Lyon, X. Zeng, S. Chen, W. Yun, Xradia, Inc., S. Rishton, Santur Corp.

We will present a detailed discussion of the fabrication of a high aspect ratio and high resolution X-ray zone plate employing a tri-level resist process and gold plating. We will also present measurement results of X-ray diffraction efficiency of single and stacked zone plates.

PN-5
E-Beam Lithography

Enhanced Performance Photonic Structures Using Tilt-Corrected Electron Beam Lithography

M. Gnan, D. Macintyre, M. Sorel, R. DeLaRue, S. Thoms, University of Glasgow

This paper shows that the performance of photonic structures can be enhanced by reducing stitch errors through the use of tilt-corrected electron beam lithography. Tilt correction was performed by applying an offset to the keystone distortion of the main field during writing.

PN-6
E-Beam Lithography

Nanometer-Scale Gaps In Hydrogen Silsequioxane Resist For T-Gate Fabrication

N. Jin, S. Choi, I. Adesida, UIUC

In this paper, we present the use of Hydrogen silsequioxane to fabricate T-gates with footprints as small as 30 nm on InP-substrates

PN-7
E-Beam Lithography

Effects Of Developer Temperature On Electron-Beam-Exposed Hydrogen Silsesquioxane Resist For Ultra-Dense Silicon Nanowire Fabrication

S. Choi, N. Jin, V. Kumar, M. Shannon, I. Adesida, UIUC

In this paper, we report a comprehensive study on the impact of temperature on HSQ contrast and resolution with special applications to the fabrication of gratings and nanowires in silicon. Pattern transfer in silicon was also investigated using both dry and wet etching techniques.

PN-8
E-Beam Lithography

Evaluation of Hybrid Lithography and Mix & Match Scenarios for Electron Beam Direct Write Applications

C. Hohle, C. Arndt, K.-H. Choi, J. Kretz, T. Lutz, F. Thrum, Qimonda, K. Keil, Fraunhofer CNT

Implementation of E-Beam Direct Write into DRAM device learning processes requires complex integration solutions, such as Mix & Match processes or Hybrid Lithography. We will give an overview about process window evaluation and characteristic features of several commercial resist materials for E-Beam / optical Mix & Match applications.

PN-9
E-Beam Lithography

Imaging With Surface Sensitive Backscattered Electrons

T. Luo, A. Khursheed, National University of Singapore

This paper presents a surface sensitive wide angle BSE imaging technique in an SEM. Surface sensitive images may be used to locate surface contamination, as the BSE yield changes with the average atomic number of the sample. This technique also has potential for BSE tomographical analysis and film thickness measurements.

PN-10
E-Beam Lithography

Mesoporous Silica Film For Electron-Scattering-Suppression In Electron-Beam Lithography

C.-H. Lin, H.-C. Cheng, National Nano Device Laboratories, S.-J. Hung, C.-T. Chou, Nation Central University

In the electron-beam lithography, the proximity effect is the most serious problem to the critical dimension (CD) control. An electron-scattering-suppression layer (ESSL) between resist and substrate is proposed to suppress the back-scattering electrons. Mesoporous silica film as an ESSL is demonstrated.

PN-11
E-Beam Lithography

Development of a Hybrid Lithography Process for Fabricating Test Structures Having Dense Feature Linewidths Narrower Than 40nm

Z. Luo, B. Li, P. S. Ho, Univ. of Texas, R. Allen, M. W. Cresswell, NIST, J. Tom, M. Stevenson, B. Shulver, A. Walton, University of Edinburgh

New hybrid lithography process which fabricates trench and ultra-narrow line features with nearly atomically-flat sidewalls was developed. This demonstrates the practical integration of optical lithography and e-beam lithography to create integrated trench and macro-structures, which have applications for the electron transport study in copper-damascene features and for OCD reference-material implementation.

PN-12
E-Beam Lithography

High Contrast Salty Development of Hydrogen Silsesquioxane

J. Yang, K. Berggren, Massachusetts Institute of Technology

By adding NaCl to an aqueous NaOH developer, we increased the contrast of HSQ beyond that obtained without the added salt. Resist contrast was increased without reduction in resist sensitivity. We fabricated a dense HSQ grating of 20-nm lines and 30-nm spaces by 30 kV exposure and salty development.

PN-13
E-Beam Lithography

Improved Sensitivity of Positive E-beam Resist by Pre-Exposure to DUV Radiation

R. Murali, D. Brown, K. Martin, J. Meindl, Georgia Institute of Technology

In this work, we study the positive resist ZEP-520 (from Zeon Chemicals); a pre-exposure to DUV radiation is followed by e-beam lithography. The sensitivity can be improved by as much as 34% while maintaining good resolution. There exists a tradeoff between sensitivity, contrast and dissolution of unexposed areas.

PN-14
E-Beam Lithography

A Novel Carbon-Nanotube-Based Nano-Lithography Technique To Form Nano-MOS Devices

J. Derakhshandeh, S. Mohajerzadeh, Delft University of Technology

We report a novel submicron and nanolithography technique based on vertically aligned carbon nanotubes on silicon substrates. This technique is capable of drawing lines with nano-meter width (20nm) capable of fabricating nano-scale MOSFET transistors. This method has been used to prepare MOSFET devices with a gate length of 100nm.

PN-15
E-Beam Lithography

Influence Of The Development Process On Ultimate Resolution Electron Beam Lithography Using Ultra-Thin HSQ Resist Layers

A. Grigorescu, M. van der Krogt, C. Hagen, P. Kruit, Delft University of Technology

Lines with a width of 5-6 nm were written in a 10 nm HSQ e-beam resist layer on silicon substrate at a pitch of 20 nm. Also we achieved 6 nm dots. The influence of different developers on ultimate resolution was investigated.

PN-16
E-Beam Lithography

Extracting The Boersch Effect Contribution From Experimental Energy Spread Measurements For Schottky Electron Emitters

M.S. Bronsgeest, J.E. Barth, P. Kruit, Delft University of Technology, G.A. Schwind, L.W. Swanson, FEI Company

In order to extract the Boersch effect due to electron-electron interactions between emitter and extractor, we have characterized the shape of the Boersch contribution by a bell with two free shape parameters and fitted the convolution of this bell and the intrinsic energy distribution to the experimental total energy spread data.

PN-17
E-Beam Lithography

Sub-30nm Hybrid Lithography (Ebeam/DUV) And Etch Process For Fully Depleted MOS Transistors

S. Pauliac, CEA/LETI - MINATEC

For this study, amorphous carbon hard mask has been used on our structure to decrease resist thickness and improving e-beam resolution. Finally, after plasma etching, we have succeeded in achieving 30nm MOS transistor gates and improving gate resolution down to 20nm by hard mask trimming.

PN-18
E-Beam Lithography

Patterning Issues In Superconducting Nanowire Single Photon Detector Fabrication

C. Constancias, R. E. de Lamaëstre, L. Frey, CEA LETI MINATEC, J.-C. Villegier, CEA DRFMC

NbN Superconducting Nanowire Single Photon Detectors (SNSPDs) have been fabricated, thanks to an ultra high resolution electron beam exposure tool allowing patterning at the nanometer size, on large diameter substrate up to 150mm. Nanowire as narrow as 50nm covering 50% of the sensitive area has been achieved.

PN-19
E-Beam Lithography

Accurate Control of Remaining Resist Depth in E-beam Grayscale Lithography

S.-Y. Lee, K. Anbumony, Auburn University

A 3-D analytic model of the e-beam grayscale lithographic process is described and compared with the conventional 2-D model in order to show the inaccuracy of the 2-D model in estimating the remaining resist profile. Also, how the 3-D model can be utilized in better controlling dose distribution given a target 3-D structure is described.

PN-20
E-Beam Lithography

Process Characterization Of Inductively Coupled Plasma Etched Silicon Nanopillars By Micro-Raman

G. Laws, A. Handugan, T. Eshrich, P. Boland, C. Sinclair, S. Myhajlenko, C. Poweleit, J. Menendez, Arizona State University

The effects of plasma processing of silicon nanopillars fabricated by electron beam lithography has been investigated by micro-Raman. A novel post-etch smoothing procedure has been developed to remove the scalloping from the sidewalls. The micro-Raman technique will be used to further evaluate attributes of as-etched and smoothed nanopillars.

PN-21
E-Beam Lithography

Operator Independent Measurement Of Beam Size Using BEAMETR Technique

S. Babin, M. Machin, A. Martynov, Abeam Technologies, Inc., I. Kravchenko, University of Florida, D. Joy, University of Tennessee

A technique is presented to determine electron beam size automatically. BEAMETR is a software and a specially designed pattern. In the developed method, the pattern is scanned using an e-beam. A spectra of SEM signal is analyzed to extract the beam size. The robustness of measurements was greatly improved.

PO-1
Emerging Technologies and Directed Self Assembly

Helium Ion Microscope Invasiveness Study For Semiconductor Applications

R. Livengood, Intel Corporation

Helium GFIS is a novel charged particle source technology with potentially greater capabilities than SEM. However, helium ions are potentially invasive due to their mass and charge properties. In this paper we will present results on ion induced dislocations and device degradation as a function of He ion beam irradiation.

PO-2
Emerging Technologies and Directed Self Assembly

A Novel Class of Ion Sources made from Solid Electrolyte

C. Escher, F. Hans-Werner, University of Zurich

A bright ion source based on the solid electrolyte (AgI)0.5(AgPO3)0.5 has been developed. The solid electrolyte source, shaped into the form of a sharp tip, provides stable currents of Ag+ in the microampere regime. This makes it suitable for focused ion beam applications.

PO-3
Emerging Technologies and Directed Self Assembly

Mechanical Property Evaluation of Nanospring Using Scanning Electron Microscopy with Micromanipulator

K.-I. Nakamatsu, K. Kanda, Y. Haruyama, S. Matsui, University of Hyogo, T. Kaito, SII Nano Technology

A new system allowing accurate measuring of the spring constants of nanosprings fabricated by FIB-CVD has been developed, which uses SEM with a micromanipulator. We successfully measured a spring constant of a nanospring with 480-nm diameter, 100-nm spring-section diameter and 4.7-um height by the new measurement system.

PO-4
Emerging Technologies and Directed Self Assembly

Perfecting Si and Metal Nanostructures Using Guided Self-Perfection by Liquefaction (G-SPEL)

Q. Xia, S. Chou, Princeton University

Further demonstration of a new variation of SPEL, where the process is guided by a flat plate over the nanostructure-to-be-perfected with a gap. Cr lines rise up with an aspect ratio improvement of 450%. Si dots rise up to 150% of their original height.

PO-5
Emerging Technologies and Directed Self Assembly

Plasma Processing For Fabrication And Modification Of PMMA Microfluidics

N. Vourdas, K. Kontakis, A. Tserepi, E. Gogolides, NCSR Demokritos, A. G. Boudouvis, National Technical University of Athens

Fabrication of microfluidic devices is of great importance in many fields of science. In this work we employ plasma processing to fabricate and modify PMMA-based microfluidics. Plasma etch rate measurements were correlated with gas-phase characteristics, while the functionality of the fabricated microchannel was tested through electroosmotic and electrophoretic flow measurements.

PO-6
Emerging Technologies and Directed Self Assembly

Impact of Surface Cleaning on the DNA Immobilization Efficiency for Mutation Genes Detection

C.-C. Wu, D.-L. Hsia, F.-H. Ko, National Chiao Tung University

Nanowire Field Effect Transistors have received much attention due to their excellent characteristics. However, the conventional clean uses the well-known Piranha solution which causes problems during the immobilization of DNA onto the nanowire. In this paper, various cleaning solutions for the immobilization efficiency of the BRAFV599E mutation genes on Si-OH substrate will be described.

PO-7
Emerging Technologies and Directed Self Assembly

Location-Controlled Partial Melt Crystallization For 3-Dimensional Integration

D. Witte, D. Pickard, F. Crnogorac, P. Pianetta, F. Pease, Stanford University

We have fabricated 200nm thick silicon films on a quartz substrate, with silicon nitride islands 2 microns to 10 microns in diameter as a localized reflective layer. A 10W 532nm laser is used to locally melt the silicon film, while the silicon nitride islands allow the controlled placement of single crystallites.

PO-8
Emerging Technologies and Directed Self Assembly

Thermal Imprint Into Thin Layers Of Polymer Below The Critical Molecular Weight

N. Bogdanski, M. Wissen, S. Möllenbeck, H.-C. Scheer, University of Wuppertal

Thermal imprints into very thin polymer layers at moderate temperatures often causes recovery of the polymer. In order to limit recovery we imprinted thin layers of polystyrene with a molecular weight below the critical one, since these types of polymers are assumed to possess limited or no elastic behaviour.

PO-9
Emerging Technologies and Directed Self Assembly

WITHDRAWN

PO-10
Emerging Technologies and Directed Self Assembly

Pattern Transfer Using Poly(styrene-methylmethacrylate) Block Copolymer Films and Reactive Ion Etching

C.-C. Liu, P. Nealey, University of Wisconsin-Madison

The use of block copolymer films as templates for manufacturing requires one block of the copolymer to be selectively removed to form the pattern template while the remaining block serves as an etch mask. Here we investigate the pattern transfer properties of lamellae-forming poly(styrene-b-methylmethacrylate) by reactive ion etching.

PO-11
Emerging Technologies and Directed Self Assembly

Imaging Layers for the Directed Assembly of Block Copolymer Films: Dependence of the Physical and Chemical Properties of Patterned Polymer Brushes on Brush Molecular Weight

K. Stuen, I. In, E. Han, P. Gopalan, P. Nealey, University of Wisconsin

We have found that varying the molecular weight of surface-grafted polystyrene brush imaging layers dramatically changes the physical and chemical properties of the brushes. The lowest molecular weight brushes (below 3 kg/mol) serve as the best imaging layers for the directed assembly of poly(styrene-block-methylmethacrylate) thin films.

EIPBN Abstracts