EIPBN

EIPBN 2012 Authors Index


A | B | C | D | E | F | G | H | I | J | K | L | M | N | O | P | Q | R | S | T | U | V | W | X | Y | Z
Name Abstract

A

Acosta, Victor M. Diamond nitrogen-vacancy centers created by scanning focused helium ion beam and annealing

Adesida, Ilesanmi Reduction of proximity effects using high-contrast developer in fabricating large-area nanoimprint molds

Adiga, Vivekananda High quality factors in graphene and ultra thin Silicon nitride nanomechanical drums

AG, Eulitha Phase shifting mask for high-throughput high-contrast patterning with Displacement Talbot Lithography (DTL)
Optical lithography method for generation of sub-35nm line/space patterns for use in complementary lithography

Agrawal, Amit Sculpting Electron Beam Profile and Phase with Nanofabricated Diffractive Optics

Ahn, Jinho Lithographic Performance of EUV Mask using Coherent Scattering Microscopy

Ahn, Se Hyun Vibrational Indentation Patterning of Grating Structures with Real-time Period Tunability

Ai, Zhongkai Patterning of Sub-10 nm Optical Apertures on Single Crystal Metallic Films with the Helium Ion Microscope (Invited)
Immersion Optics for Excitation of Surface Plasmons in a Transmission Mode Photoemission Electron Microscope

Aida, Kouhei Directed Self-Assembly of Perpendicularly Oriented Nano-Cylinders with Liquid Crystalline Block Copolymer

Aida, Yukinori High Resolution Patterning on Non-Planar Substrates with Large Height Variation Using Electron-Beam Lithography

Aitchison, J. Stewart Nanolithography and pattern transfer of dense sub-10 nm lines

Akinwande, Deji Graphene transistors with record mobilities on polyimide for high-performance flexible nanoelectronics
Sub-20 nm fabrication on polyimide plastics enabled by directed self-assembly

Albrecht, Thomas Fabrication of CoCrPt Alloy Bit Patterned Media at 1 Td/in2 for Recording Measurement

Alexander-Katz, Alfredo Templating Three-Dimensional Self-Assembled Structures in Bilayer Block Copolymer Films

Alexander, Justin Determining Tip Position Tolerances for Atomically Precise STM lithography of Si(001):H
Automated position corrections for Atomically Precise STM lithography of Si(001):H

Alkaisi, Maan M. Polymeric Substrates with Bioimprinted Micro- and Nanoscale Topography for Regulation of Chondrocyte Re-Differentiation

Alkemade, Paul Helium ion beam lithography of thick HSQ resists

Allen, J. Brent Focused Ion Beam Implantation of Li+ in WO3 Using A Magneto-Optical Trap Ion Source (Invited)

Altana, Mirco Sidewall-angle dependent pre-filling of three-dimensional microcavities in thermal nanoimprint

Alvis, Roger Optimization of the Gun, Lenses, and Detectors for High-Vacuum SEM Studies of Non-Conductive Specimens

Amano, Tsuyoshi Residual-type mask defect printability for EUV lithography
Dependence of Image Characteristics Dependece on Incident Electron Beam Energy for EUV Mask inspection using Monte Carlo simulation

Amirsadeghi, Alborz Bonding of PMMA nanofluidic devices and its effect on DNA behavior in nanochannels

Amon, Andreas Microfluidic Axon-Isolation Device fabricated by Nanoimprint lithography

Ananth, M. Plasmonic Nanostructures Fabricated using Helium and Gallium Ion Beam Instruments.

Andrews, Trisha Deep-subwavelength patterning with photoswitchable molecules

Angelova, Polina 1 nm Thick Chemically Functionalized Carbon Nanomembranes (CNMs): Two-dimensional Materials for Nanoengineering

Antcliffe, M. Graphene for RF Applications: Opportunities & Challenges (Invited)

Antohe, Alin Understanding the sources of unwanted etch in ion beam sputter deposition production of EUV mask blanks

Appleton, Bill R. Nanofabrication of Graphene on SiC by Multi-Ion Beam Lithography and Low-Temperature Processing

Arai, Norikazu Development of a large areal metallic nano stamp fabrication process using UV nanoimprinting and pulse reverse current electroforming for discrete track media with pattern width of 35nm

Araki, Kosei Molecular simulation of electron-irradiation damages in resist materials (Invited)

Archibald, Michelle Imprint-Templated Nanocoaxial Array Architecture

Arrieta, Jose P. Resolution improvement for positive tone poly(methyl methacrylate) resist

Arrieta, Jose Templated Placement of Colloidal Quantum Dots

Arthur, Graham Fresnel zone plates as X-ray lenses by electron beam lithography with HSQ resist

Aryal, Mukti Large area optical lithography using cylindrical masks

Asakawa, Koji Spin on Glass as an Orientation Control Layer for Block Copolymer Direct Self-Assembly

Asayama, Yoshiki Molecular dynamics study on structural modifications of graphene by electron beam irradiation

Asbeck, P. Graphene for RF Applications: Opportunities & Challenges (Invited)

Ashiba, Hiroki Nanomechanical Tuning Forks Fabricated by Focused-ion-beam Chemical Vapor Deposition

Atasoy, Hakan 3-D microfabrication based on a glass transition temperature selective thermal reflow - towards optical applications
Release-Optimized UV-NIL Resists

Awazu, Koichi Optical and Electrical Sensing application of High-Aspect-Ratio Nanoholes Formed by Etching of Latent Tracks

Ayothi, Ramakrishnan Fundamental Study of EUV Resist Line Edge Roughness: Characterization, Experiment and Modeling

B

Babin, Sergey SEM dimensional metrology on sub-15 nm bit-patterned media using model-based analysis
Fabrication of High Resolution Digital Spectrometers-on-Chip
Direct Nanoimprinting of Functional Inorganic Layers for Nanophotonic Chip Devices

Bain, James SPM Local Oxidation and In-situ Characterization Using AFM Probes in Multiple Modes

Balaz, Martina Optimization of a Self-Closing Effect to Produce Bottle-Shaped Nanochannels in Quartz

Ballard, Joshua Determining Tip Position Tolerances for Atomically Precise STM lithography of Si(001):H
Automated position corrections for Atomically Precise STM lithography of Si(001):H

Ballif, Christophe Stencil-nanopatterned back reflector increases efficiency of thin-film solar cells

Bao, Wei Hyperspectral NanoPhoto Luminescence Spectroscopy on InP Nanowires by Means of “Campanile” Tips
Towards the holy grail of near field optics via reproducibly fabricated optical nano antennae on Scanning Probe Tips
Putting Plasmonic Probes in Perspective: The Case for the Campanile Tip

Bao, Xin-Yu Directed Self-Assembly for the Semiconductor Industry (Invited)
Design Space for One-hole Pattern using Block Copolymer Directed Self-Assembly

Barcelona Stability and degradation of organic photovoltaic devices fabricated, aged, and characterized by the ISOS 3 inter-laboratory collaboration

Barry, Daniel Fabrication of high resolution computer-generated holograms using 3D electron beam lithography.

Barton, Rob High quality factors in graphene and ultra thin Silicon nitride nanomechanical drums

Barton, Robert Fabricating Graphene-Silicon Nitride Heterostructures for High-Q Tunable Nanomechanical Resonators

Bawendi, Moungi Templated Placement of Colloidal Quantum Dots

Beausoleil, Ray Non-periodic sub-wavelength gratings fabricated by helium ion beam lithography

Beausoleil, Raymond. G. Diamond nitrogen-vacancy centers created by scanning focused helium ion beam and annealing

Beck, TJ Electron Beam Lithography of Antidot Arrays for the Fabrication of Nanogenerators and Detectors in 2DEG Materials

Bedolla, Marco Defect Evolution in Thin Films of Self-Assembling Lamella Forming Block Copolymers on a Neutral Surface

Bell, David Nanoscale imaging, analysis and nano-fabrication using Helium Ion Microscope

Belova, Lyubov M. 3D Patterning of Si Micro and Nano Structures by Focused Ion Beam Implantation, Si Deposition and Selective Si Etching

Bencher, Christopher Directed Self-Assembly for the Semiconductor Industry (Invited)
Design Space for One-hole Pattern using Block Copolymer Directed Self-Assembly

Bender, Helmar Potential modulation by plasmonic sub-micron structures for the manipulation of ultracold atomic gas clouds

Bennett, Patrick Hyperspectral NanoPhoto Luminescence Spectroscopy on InP Nanowires by Means of “Campanile” Tips

Benson, Oliver Embedding and Combining Plasmonic Elements and Photonic Crystal Structures

Bergbauer, Werner Position control of MOVPE grown GaN nanorods using nanoimprint lithography

Berggren, Karl K. Templating Three-Dimensional Self-Assembled Structures in Bilayer Block Copolymer Films
Complex self-assembled patterns from a square grid template with restricted geometry
Block Copolymer Self-Assembly Using Sacrificial Template
Achieving Ordered Nanoholes and Other Non-bulk Morphologies by Directed Self-Assembly of a Block Copolymer
Resolution improvement for positive tone poly(methyl methacrylate) resist

Berggren, Karl Templated Placement of Colloidal Quantum Dots
Investigation of Templated Self-assembly of High-χ Diblock Copolymer

Bergmair, Iris High aspect ratio lift-off process and silver optimization for negative index materials in the visible
Micro- and Nanostructuring of Graphene on various Substrates using UV-NIL
Nanometer Size Protein Patterning using nCP for the Investigation of Protein-Protein Interactions in Live Cells

Berry, Shaun Electrically Controlled Switchable Adhesion of Dual Nanometer and Micrometer Structured Surfaces

Bertagnolli, Emmerich Focused Electron Beam Induced Deposition as novel nanofabrication approach for magnetic nanosensors and nanomagnet logic
Magnetic reversal of iron nanowires deposited by Focused Electron Beam Induced Deposition for nanomagnet logic application
Fabrication of 3-dimensional Nanoimprint Stamps - A comparison of 4 approaches using FIB
Engineering electrical properties of Silicon nanowires by focused electron beam induced processing with Chlorine

Bertagnollo, Emmerich Microfluidic Axon-Isolation Device fabricated by Nanoimprint lithography

Bertarelli, Chiara Deep-subwavelength patterning with photoswitchable molecules

Bevis, Chris REBL: A Lithography Solution for High Volume Manufacturing at 16 nm  (Invited)

Beyer, André 1 nm Thick Chemically Functionalized Carbon Nanomembranes (CNMs): Two-dimensional Materials for Nanoengineering

Bhadra, Shoham A Tri-layer Method to Fabricate Wafer Scale Nanoimprint Mold by Copolymer Lithography

Bidawid, Sabah High Aspect Ratio, Triangular Silicon Pillars for Bump Array Concentration of Pathogenic Bacteria

Binh, Vu Thien X-rays and field-emission gun: an intrinsic quasi-monochromatic X-ray source  (Invited)

Birringer, Ryan Monolithic 3D Integration via Al-Ge Bonding of Single Crystal Islands (Invited)

Bischoff, Lothar Taming Nanostructures: From Sponge to Dot Pattern on Ge Controlled by Heavy-Ion-Deposited Energy
Taming of Ga droplets on DLC layers – Size tuning and local arrangement with nm accuracy

Bishop, James A Mechanism for Dendritic Nano-Pillar Growth using EBID

Bisotto, Isabelle Electron Beam Lithography of Antidot Arrays for the Fabrication of Nanogenerators and Detectors in 2DEG Materials

Black, Charles T. Image quality and pattern transfer in block copolymer directed assembly with block-selective atomic layer deposition

Blaikie, Richard Ultra-high-Numerical Aperture Interference Lithography at High Aspect Ratios using Surface States on Effective Gain Media

Bodis, Pavol Continuous Electron Beam Lithography Writing Modes for Optical Waveguide Nanofabrication

Bøggild, P. Reproducibility of Drift Tolerant Focused Ion Beam Lithography Method

Bogy, David Sub-22nm High Throughput Maskless Nanolithography Using Quasi-3D Plasmonic Lens
An FPGA-based Pattern Generator for Massive Parallel High-Speed Nanolithography System

Bokor, Jeff Hyperspectral NanoPhoto Luminescence Spectroscopy on InP Nanowires by Means of “Campanile” Tips

Bokor, Jeffrey Magneto-optical and X-ray microscopy of nanomagnetic logic components with shape-induced biaxial anisotropy

Bonam, Ravi Concentric Ring Metal-Dielectric Structures for Surface Electromagnetic Wave Assisted Compact Photoelectron Source (Invited)

Borah, Dipu Control of the PS-b-PDMS directed self-assembly by silsesquioxane-based graphoepitaxial substrate engineering
Directed self-assembly of PS-PEO using solvent vapors assisted nanoimprint lithography

Born, Philip Metal Nanoparticle Arrays by Controlled Decomposition of Polymer Particles

Bosman, Michel Patterning of Sub-10 nm Optical Apertures on Single Crystal Metallic Films with the Helium Ion Microscope (Invited)
Plasmonics At The Single-Nanometer Scale  (Invited)

Böttger, Roman Taming Nanostructures: From Sponge to Dot Pattern on Ge Controlled by Heavy-Ion-Deposited Energy

Bozano, Luisa Fundamental Study of EUV Resist Line Edge Roughness: Characterization, Experiment and Modeling

Bramati, Arianna Mask Aligner Process Simulation for Advanced Lithography and Resolution Enhancement Techniques

Bresin, M. Liquid phase electron beam induced deposition of CdS

Brianceau, Pierre Capped carbon hard mask an innovative route to nanoscale device fabrication

Brimhall, Nicole Deep-subwavelength patterning with photoswitchable molecules

Brodoceanu, Daniel Metal Nanoparticle Arrays by Controlled Decomposition of Polymer Particles

Brown, Devin Point spread function analysis of dense high resolution nanodots in hydrogen silsesquioxane

Bruccoleri, Alexander Fabrication of Nano-scale, High Throughput, High Aspect Ratio Freestanding Gratings

Brugger, Juergen Stencil-nanopatterned back reflector increases efficiency of thin-film solar cells
Thermal control extends heated stencil's life-time

Brugger, Jürgen Polymeric freestanding structures by direct write laser without sacrificial layers

Bruley, John Combining SIMS with GPA of aberration corrected HAADF STEM images for measurement of misfit strain in epitaxial SiGe layers

Bruno, Giovanni Micro- and Nanostructuring of Graphene on various Substrates using UV-NIL

Bucchignano, James Electron beam lithography-based grating customization strategies for gate level patterning in high density SRAM circuits (Invited)

Burcham, Kevin Stitch-Error Free Electron Beam Lithography of Periodic Structures

Burckel, D. Bruce Interferometrically Defined 3D Pyrolyzed-Carbon Sensors

Bureau, Jean-Baptiste Polymeric freestanding structures by direct write laser without sacrificial layers

Burns, Michael J. Imprint-Templated Nanocoaxial Array Architecture

Buttard, Denis Directed Self-Assembled Porous Anodic Alumina by NanoImprinting Lithography

Byeon, Kyeong-Jae Thermal Imprinting Process using ZnO Nanoparticles-Dispersed Resin for the Improvement of Light Extraction Efficiency of GaN-based LEDs

C

Cabral, Alberto Electrically Controlled Switchable Adhesion of Dual Nanometer and Micrometer Structured Surfaces

Cabrini, Stefano Hyperspectral NanoPhoto Luminescence Spectroscopy on InP Nanowires by Means of “Campanile” Tips
Towards the holy grail of near field optics via reproducibly fabricated optical nano antennae on Scanning Probe Tips
30 nm nanochannels with plasmonic bowtie nanoantenna
Fabrication of High Resolution Digital Spectrometers-on-Chip
Sub-10 nm area-selective ALD using block copolymer lithography
Super selective silicon cryo-etching for nanoscale pattern transfer with block copolymer lithogrpahy
Sculpting Electron Beam Profile and Phase with Nanofabricated Diffractive Optics
Direct Nanoimprinting of Functional Inorganic Layers for Nanophotonic Chip Devices
Tunable Plasmonic Light Trapping Metal Structures
Putting Plasmonic Probes in Perspective: The Case for the Campanile Tip
High resolution dry photo-ablation development (Invited)

Cabrini, Stephano Single digit nanoimprint lithography achieved by template modification with atomic layer deposition

Cadarso, Víctor J. Polymeric freestanding structures by direct write laser without sacrificial layers

Calderon, Jose Alonzo Probing Nanostructures of Aged Active Layer Materials for Organic Solar Cells

Calo, Cosimo Direct Nanoimprinting of Functional Inorganic Layers for Nanophotonic Chip Devices

Cameron, James Low Diffusion EUV Resists using Bound PAG Technology (Invited)

Campbell, P.M. Graphene for RF Applications: Opportunities & Challenges (Invited)

Cantu, Precious Deep-subwavelength patterning with photoswitchable molecules

Carlton, David Magneto-optical and X-ray microscopy of nanomagnetic logic components with shape-induced biaxial anisotropy

Carroll, Allen REBL: A Lithography Solution for High Volume Manufacturing at 16 nm  (Invited)

Castagna, Rossella Deep-subwavelength patterning with photoswitchable molecules

Cha, Hyuk-Jin Thermal Imprinting Process using ZnO Nanoparticles-Dispersed Resin for the Improvement of Light Extraction Efficiency of GaN-based LEDs

Chan, Wei Min Directed Patterning for Electronics Using Multiple Block Copolymers Orthogonally

Chanda, Debashis Reduction of proximity effects using high-contrast developer in fabricating large-area nanoimprint molds

Chang, Che-Cheng Low-Energy Electron Diffraction Microscopy Based on a Single-Atom Electron Source

Chang, Chih-Hao Fabrication of Hollow-Shell Nano-volcanoes from Mie Scattering of Colloidal Nanospheres

Chang, Hsiao-Yu Sub-20 nm fabrication on polyimide plastics enabled by directed self-assembly

Chang, Jae-Byum Complex self-assembled patterns from a square grid template with restricted geometry

Chang, Josephine Electron beam lithography-based grating customization strategies for gate level patterning in high density SRAM circuits (Invited)

Chang, Li-Wen Directed Self-Assembly for the Semiconductor Industry (Invited)

Chang, Long Multi-domain storage in graded bit patterned media (Invited)
Fabrication of dense non-circular nanomagnetic device arrarys using self-limiting low-energy glow-discharge processing

Chang, Mu-Tung Low-Energy Electron Diffraction Microscopy Based on a Single-Atom Electron Source

Chang, Wei-Tse Low-Energy Electron Diffraction Microscopy Based on a Single-Atom Electron Source

Chapin, Caitlin Point spread function analysis of dense high resolution nanodots in hydrogen silsesquioxane

Chen, Brian Growth Characterization of Electron Beam Induced Silver Deposition from Liquid Precursor

Chen, Chang-Lee Overcoming 3DIC Technology Hurdles (Invited)

Chen, Chenson K. Overcoming 3DIC Technology Hurdles (Invited)

Chen, Chien-Yu Monolithic 3D Integration (Invited)

Chen, Hao Significant Enhancement of Colloidal Quantum Dots Fluorescence by 3D Disk-dot Coupled Nanoantenna Array

Chen, Lichuan Development of jet rollable nanoimprint process to fabricate bio-polymer nanostructures

Chen, Long Nanostructured, Active Electrostatic Trap for Confining Nanometric Objects in a Fluid: mimicking DNA-Protein interactions in a synthetic system

Chen, Ray Transfer Processes of Silicon Nanomembranes for Three Dimensional Integration of Photonics and Electronics

Chen, Tao Piezoelectrically Transduced Silicon Carbide MEMS Double-Clamped Beam Resonators
Optimization the visibility of graphene on poly-Si film by thin-film optics engineering

Chen, Yifang Dry-Release Self-assembling of Microtube Arrays for Catalytic Micropump Application
Fresnel zone plates as X-ray lenses by electron beam lithography with HSQ resist
Tunable silver nano-pillar arrays as a surface-enhanced Raman scattering sensor by a self-aligned nanofabrication process
Novel techniques for modifying microtube surface with various periodic structures ranging from nano to micro scale
Fabrication of Nanostructured Hydrophobic Surfaces with Laser Interference Lithography

Chen, Yijian Near-Field EUV Imaging for Spatial Frequency Multiplication

Chen, Yongmei Design Space for One-hole Pattern using Block Copolymer Directed Self-Assembly

Chen, Yung-Pin Fabrication of a Binary Phase Grating on a Fiber End by Utilizing Interference Lithography

Cheng, Joy Sub-30 nm pitch circuit relevant patterning in Si, SiO2 and SiN using directed self assembly based pattern generation  (Invited)

Cheng, Xing High-Resolution Non-Destructive Patterning of Isolated Organic Semiconductors
Dual-Layer Thermal Nanoimprint Lithography without Dry Etching
Template-Assisted Self-Assembly and Alignment of ZnO Nanowires with Post-Deposition Growth

Cheng, Yao-Te Nanoscale Photoelectron Emission Using C-shaped Nanoapertures with Cesium Bromide Photocathode

Cheong, Lin Lee The Membrane-Alignment Stage in Fabricating 3-Dimensional Nanostructures

Cheung, Anthony REBL: A Lithography Solution for High Volume Manufacturing at 16 nm  (Invited)

Cheung, Rebecca Piezoelectrically Transduced Silicon Carbide MEMS Double-Clamped Beam Resonators
Optimization the visibility of graphene on poly-Si film by thin-film optics engineering
Bimaterial electro-mechanical systems for audio frequency applications

Chhim, Bophan Determination of Base Dose and Scattering Coefficients for Proximity Effect Correction in Electron Beam Lithography

Chihara, Yoshinori Molecular dynamics study on structural modifications of graphene by electron beam irradiation

Chiles, Thomas C. Imprint-Templated Nanocoaxial Array Architecture

Chilukusha, Daniel Multiple phase-formation in Ni-Ge system monitored by SEM, AFM and PIXE analytical techniques

Cho, Eikhyun Development of a large areal metallic nano stamp fabrication process using UV nanoimprinting and pulse reverse current electroforming for discrete track media with pattern width of 35nm

Cho, H.K. Optimization of Spatial Dose Distribution for Vertical Sidewall of Resist Profile Minimizing Total Dose in Electron-beam Lithography
Fast Simulation of Stochastic Exposure Distribution in Electron-beam Lithography

Cho, Joong-Yeon Thermal Imprinting Process using ZnO Nanoparticles-Dispersed Resin for the Improvement of Light Extraction Efficiency of GaN-based LEDs

Choi, Chang-Hwan Fabrication of Polymer Nanostructures via Maskless O2 Plasma Etching
From Nanocone to Nanodisk: Structural Transformation of Nanoarrays via Mechanical Stresses
Nanopatterning of “Disconnected” Metal Nanostructures on Polydimethylsiloxane (PDMS) Substrate by Using Free-Standing Photoresist Film as Stencil Lithography Mask

Choi, Chulmin Di-block Copolymer Guided Patterning of Graphene
The Blood-Brain-Barrier Crossing in vivo using Magnetic Nanocapsules
Fabrication of omniphobic surfaces using deposited silicon dioxide layer and dry etching process

Choi, Duyoung Di-block Copolymer Guided Patterning of Graphene
Fabrication of omniphobic surfaces using deposited silicon dioxide layer and dry etching process

Choi, Heon Concentric Ring Metal-Dielectric Structures for Surface Electromagnetic Wave Assisted Compact Photoelectron Source (Invited)

Choi, Hong Kyoon Complex self-assembled patterns from a square grid template with restricted geometry

Choi, Junho Large-Area Nanostructures by Laser-Scanning Imprinting (Invited)

Choi, Seonjun Fabrication of On-Chip Fluidic Ion Channels using Self-Aligned Double Layer Resist Processing Technique
Negative electron-beam resist hard mask ion-beam etching process for the fabrication of nanoscale magnetic tunnel junctions

Chou, Stephen Y. New Nanoplasmonic Devices and Fabrication for Large Enhancement and Tunability of Second Harmonic Light Generation
Giant and Uniform Fluorescence Enhancement of Organic Dye by 3D Plasmonic NanoCavity Array

Chou, Stephen Significant Enhancement of Colloidal Quantum Dots Fluorescence by 3D Disk-dot Coupled Nanoantenna Array
A Tri-layer Method to Fabricate Wafer Scale Nanoimprint Mold by Copolymer Lithography

Choukourov, Andrei Direct nano-structuring of solid surface by extreme ultraviolet Ar8+ laser

christiansen, silke Nanowire device concepts for thin film photovoltaics  (Invited)

Chun, Sungwoo Fabrication of On-Chip Fluidic Ion Channels using Self-Aligned Double Layer Resist Processing Technique
Negative electron-beam resist hard mask ion-beam etching process for the fabrication of nanoscale magnetic tunnel junctions

Chung, Sung Hwan Horizontal Growth of Silicon Nanowire Arrays for Large-Scale Circuit Applications

Cibuzar, Greg E-Beam Patterning of Nanoparticle Filled Sucrose Resist

Clare, Adam Surface-Finishing of Focused Ion Beam Milled Features by Pulsed Electron Irradiation

Clime, Liviu High Aspect Ratio, Triangular Silicon Pillars for Bump Array Concentration of Pathogenic Bacteria

Clube, Francis Phase shifting mask for high-throughput high-contrast patterning with Displacement Talbot Lithography (DTL)
Optical lithography method for generation of sub-35nm line/space patterns for use in complementary lithography

Clyne, Joshua REBL: A Lithography Solution for High Volume Manufacturing at 16 nm  (Invited)

Cohen, Ross Bio-Fuel Cell Operational Enhancements using Nanoscale Electrodes

Coley, Suzanne Low Diffusion EUV Resists using Bound PAG Technology (Invited)

College, Boston Imprint-Templated Nanocoaxial Array Architecture

College, Pomona Stability and degradation of organic photovoltaic devices fabricated, aged, and characterized by the ISOS 3 inter-laboratory collaboration

Comboroure, Corinne Capped carbon hard mask an innovative route to nanoscale device fabrication

Comrie, Craig Multiple phase-formation in Ni-Ge system monitored by SEM, AFM and PIXE analytical techniques

Con, Celal Electron beam lithography using dry thermal development

Cooke, Simon Modeling of Counter Streaming Charged Beams in MICHELLE–eBEAM

Cord, Bryan High-Speed Patterning of Hexagonal Dot Arrays Using Electron-Beam Lithography
E-Beam Patterning of Nanoparticle Filled Sucrose Resist

Cordeiro, Julien 3D Capillary Force Assembly : Fabrication of a white light emitter

Corneau, Nathalie High Aspect Ratio, Triangular Silicon Pillars for Bump Array Concentration of Pathogenic Bacteria

Cornet, Marion Single digit nanoimprint lithography achieved by template modification with atomic layer deposition

Corporation, Crestec Development of MEMS electron-optics bonded on electron emitter array for Massively Parallel EB Lithography System

Corporation, Nanobeam A Large-Aperture Ion-Beam Lens Corrected For Both Chromatic And Spherical Aberration

Corporation, Tech-X Modeling of defect transport in EUVL plasma chambers

Corporation, Toshiba Fabrication of 5 Tb/in2 Bit Patterned Media with Servo Pattern using Directed Self-Assembly
Spin on Glass as an Orientation Control Layer for Block Copolymer Direct Self-Assembly

Craighead, Harold Fabricating Graphene-Silicon Nitride Heterostructures for High-Q Tunable Nanomechanical Resonators
High quality factors in graphene and ultra thin Silicon nitride nanomechanical drums

Crendal, Richard A Mechanism for Dendritic Nano-Pillar Growth using EBID

Crepp, Justin Fabrication of a band-limited mask for PHARO

Crnogorac, Filip Monolithic 3D Integration (Invited)
Monolithic 3D Integration via Al-Ge Bonding of Single Crystal Islands (Invited)
Transfer Processes of Silicon Nanomembranes for Three Dimensional Integration of Photonics and Electronics

Cui, Ajuan Fabrication of Sealed Nano-channels Based on Sacrificial Nanotemplates by Focused-ion-beam Induced Chemical Vapor Deposition

Cui, Bo Effect of molecular weight distribution on e-beam resist polystyrene
Periodic tilted Au structure fabrication by electron beam exposure
Electron beam lithography using dry thermal development
Electron beam lithography with evaporated resist
Patterning of porous silicon nitride membrane by CsCl self assembly

Cullen, Jared A Mechanism for Dendritic Nano-Pillar Growth using EBID

Czaplewski, David Variation of Proximity Effect Correction Parameters with Density

D

Dagenais, Mario Strain Assisted Self Lift-Off Process for the Fabrication Ultra Low Capacitive Antenna Coupled MIM Tunnel Diodes for the Application of Infrared Detection and Energy Harvesting

Dai, Huixiong Design Space for One-hole Pattern using Block Copolymer Directed Self-Assembly

Dai, Jun Superconductivity of tungsten-containing carbon nanowires fabricated by focused-ion-beam chemical vapor deposition

Dai, Q. Optimization of Spatial Dose Distribution for Vertical Sidewall of Resist Profile Minimizing Total Dose in Electron-beam Lithography

Dai, Xiaoguang Electron-beam Patterned PEG Microgels for DNA Detection

Dais, Christian Phase shifting mask for high-throughput high-contrast patterning with Displacement Talbot Lithography (DTL)
Optical lithography method for generation of sub-35nm line/space patterns for use in complementary lithography

Dam, Henrik Stability and degradation of organic photovoltaic devices fabricated, aged, and characterized by the ISOS 3 inter-laboratory collaboration

Darling, Seth Enhancing the Etch Resistance of PMMA-Based Photoresist to Meet ITRS Target from Year 2022

Dauskardt, Reinhold Monolithic 3D Integration via Al-Ge Bonding of Single Crystal Islands (Invited)

Davis, Ron Progress Towards An Aberration-Corrected Low Energy Electron Microscope for DNA Sequencing and Surface Analysis

Dawson, Paula Fabrication of high resolution computer-generated holograms using 3D electron beam lithography.

de Angelis, Francesco Surface Chemical Imaging at the Nanoscale (Invited)
Breaking the diffusion limit of nanosensors through super hydrophobic and nano plasmonic structures

de Pablo, Juan Directed Assembly of Symmetric ABA Triblock Copolymers on Chemically Nanopatterned Substrates
A Molecular View of Block Copolymer Directed Assembly and its Application to Sub-Lithographic Patterning (Invited)
Defect Evolution in Thin Films of Self-Assembling Lamella Forming Block Copolymers on a Neutral Surface

Dean, Cory Scalable Graphene Field Effect Transistors with Boron Nitride Dielectrics (Invited)

Delcambre, Sean Directed Assembly of Symmetric ABA Triblock Copolymers on Chemically Nanopatterned Substrates

DeRose, Guy Determination of Base Dose and Scattering Coefficients for Proximity Effect Correction in Electron Beam Lithography

Despont, Michel Probe nanopatterning: Towards a smarter lithography technology (Invited)
Curved cantilever design for a robust and scalable microelectromechanical switch

Dew, Steve Study of Line-Edge Roughness in ZEP Resist Nanopatterns from Electron Beam Lithography by Numerical Modeling

Dew, Steven K. High Aspect Ratio SML Resist Patterning using 30 keV Electron Beam Lithography
Study of the Interaction of Polymethylmethacrylate Fragments with Methyl Isobutyl Ketone

Dey, Ripon Kumar Effect of molecular weight distribution on e-beam resist polystyrene

Dhima, Khalid Study of defect mechanisms in partly filled stamp cavities for thermal nano imprint control
Crosslinking control during imprint for hybrid lithography (T-NIL + UV-L)

Dhima, Khlid Preparation of surfaces with patterned roughness for sensing application

Dhuey, Scott Single digit nanoimprint lithography achieved by template modification with atomic layer deposition
30 nm nanochannels with plasmonic bowtie nanoantenna
Magneto-optical and X-ray microscopy of nanomagnetic logic components with shape-induced biaxial anisotropy
Fabrication of High Resolution Digital Spectrometers-on-Chip
Sub-10 nm area-selective ALD using block copolymer lithography
Super selective silicon cryo-etching for nanoscale pattern transfer with block copolymer lithogrpahy
Direct Nanoimprinting of Functional Inorganic Layers for Nanophotonic Chip Devices
Tunable Plasmonic Light Trapping Metal Structures
High resolution dry photo-ablation development (Invited)

Dias, Guilherme Osvaldo 3D Capillary Force Assembly : Fabrication of a white light emitter

Diettert, Bernt Control of the PS-b-PDMS directed self-assembly by silsesquioxane-based graphoepitaxial substrate engineering

Ding, Fei Giant and Uniform Fluorescence Enhancement of Organic Dye by 3D Plasmonic NanoCavity Array

Ding, Wei New Nanoplasmonic Devices and Fabrication for Large Enhancement and Tunability of Second Harmonic Light Generation

Diop, Babacar X-rays and field-emission gun: an intrinsic quasi-monochromatic X-ray source  (Invited)

DiPiazza, Frank Fabrication of Nano-scale, High Throughput, High Aspect Ratio Freestanding Gratings

Dittert, Bernd Nanometer Size Protein Patterning using nCP for the Investigation of Protein-Protein Interactions in Live Cells

Divan, Ralu Growth Characterization of Electron Beam Induced Silver Deposition from Liquid Precursor
Nanofabrication with Si(311)

Dobisz, Elizabeth Image quality and pattern transfer in block copolymer directed assembly with block-selective atomic layer deposition
Directed Self-assembly with Density Multiplication of 6 tera-dot/in2 Pattern by POSS-Containing Block Copolymer
Fabrication of CoCrPt Alloy Bit Patterned Media at 1 Td/in2 for Recording Measurement

Docherty, Kevin Nanofabrication of high aspect ratio (~50:1) sub-10 nm silicon nanowires using plasma etch technologies
High aspect ratio (~25:1) sub-10 nm HSQ lines using electron beam lithography

Doh, Jonggul Lithographic Performance of EUV Mask using Coherent Scattering Microscopy

Donev, Eugene U. Patterning Silver Using an Atomic Force Microscope and Laser-induced Deposition from Liquids (Invited)

Doran, Andrew Magneto-optical and X-ray microscopy of nanomagnetic logic components with shape-induced biaxial anisotropy

Dorfmüller, Jens Patterning of Sub-10 nm Optical Apertures on Single Crystal Metallic Films with the Helium Ion Microscope (Invited)

Douglas, Erica Inductively Coupled Plasma Etching of Benzocyclobutene with SF6 Chemistry

Dowsett, David Towards Secondary Ion Mass Spectrometry on the Helium Ion Microscope

Doxastakis, Manolis Measurements of the Latent Image in Chemically-Amplified Resists

Drechsler, Ute Curved cantilever design for a robust and scalable microelectromechanical switch

Drezner, Yariv A Quantitative Probe Current Distribution Characterization Technique for Focused Ion Beam

Druzhinina, Tamara Placement error study of cylindrical phase self-assembly guided by graphoepitaxy

Du, Ke Fabrication of Polymer Nanostructures via Maskless O2 Plasma Etching
From Nanocone to Nanodisk: Structural Transformation of Nanoarrays via Mechanical Stresses
Nanopatterning of “Disconnected” Metal Nanostructures on Polydimethylsiloxane (PDMS) Substrate by Using Free-Standing Photoresist Film as Stencil Lithography Mask

Duan, Huigao Plasmonics At The Single-Nanometer Scale  (Invited)
Lithographically-Defined Nanostructures for Color Plasmonic Printing

Duerig, Urs Probe nanopatterning: Towards a smarter lithography technology (Invited)

Dunham, Scott Scanning Probe Nanostructure Direct-Write: Serial and Parallel Patterning via High Field Chemistry

Dupré, Ludovic Directed Self-Assembled Porous Anodic Alumina by NanoImprinting Lithography

Dustin, Michael Screening T-Cell Activation with Nanostructured Substrates

Dzurak, Andrew Fabrication of high resolution computer-generated holograms using 3D electron beam lithography.

E

Ekinci, Yasin High-resolution patterning with EUV interference lithography
Resonant Dielectric Nanostructures for biosensor applications (Invited)
High resolution patterning of Hafnium oxide based resist by EUV and Electron beam lithography

Elam, Jeffrey Enhancing the Etch Resistance of PMMA-Based Photoresist to Meet ITRS Target from Year 2022

Elek, Jonathan Fabrication of Hollow-Shell Nano-volcanoes from Mie Scattering of Colloidal Nanospheres

Eliceiri, Brian The Blood-Brain-Barrier Crossing in vivo using Magnetic Nanocapsules

Elliman, Robert G. Nanofabrication of Graphene on SiC by Multi-Ion Beam Lithography and Low-Temperature Processing

Emoto, Akira Pattern-size Effect of Reorientation of Photoinduced Liquid Crystalline Polymer by Thermal Nanoimprinting
Reorientation Evaluation of Bidirectional Line Pattern on Photoinduced Liquid Crystalline Polymer Fabricated by Thermal Nanoimprinting

Engelmann, Sebastian Electron beam lithography-based grating customization strategies for gate level patterning in high density SRAM circuits (Invited)
Sub-30 nm pitch circuit relevant patterning in Si, SiO2 and SiN using directed self assembly based pattern generation  (Invited)

Eriksson, Torbjörn High Volume Nanoimprint Lithography technology and applications
Position control of MOVPE grown GaN nanorods using nanoimprint lithography

Esashi, Masayoshi Development of MEMS electron-optics bonded on electron emitter array for Massively Parallel EB Lithography System

F

Falch, Rolf High resolution dry photo-ablation development (Invited)

Fan, Li Sub-20 nm Metal Gratings by Nanoimprint Lithography
Fabrication of Photonic Devices on Flexible Platform
Fabrication of an 18-layer 3D Woodpile Photonic Crystal via Stacking of Pre-Patterned Free-Standing Membranes

Fan, Rong Fabrication and Characterization of Field Effect Reconfigurable Nanofluidic Ionic Diodes: Building Blocks Towards Digitally-Programmed Manipulation of Biomolecules

Fang, Chao Patterning of Sub-10 nm Optical Apertures on Single Crystal Metallic Films with the Helium Ion Microscope (Invited)

Fang, Cheng Metal Nanoparticle Arrays by Controlled Decomposition of Polymer Particles

Faraon, Andrei Diamond nitrogen-vacancy centers created by scanning focused helium ion beam and annealing

Farber, Jeff High Aspect Ratio, Triangular Silicon Pillars for Bump Array Concentration of Pathogenic Bacteria

Farkas, Louis Progress Towards a Commercial Neon Gas Field Ion Source

Farnham, William Investigation of Templated Self-assembly of High-χ Diblock Copolymer

Farrow, Reginald Bio-Fuel Cell Operational Enhancements using Nanoscale Electrodes

Farshchian, Bahador Bonding of PMMA nanofluidic devices and its effect on DNA behavior in nanochannels
3-D Nanomolding

Fattal, David Non-periodic sub-wavelength gratings fabricated by helium ion beam lithography

Fay, Patrick Via-Hole Fabrication for III-V Triple-Junction Solar Cells
High Aspect Ratio Features in PMGI using Electron Beam Lithography and Solvent Developers

Fedynyshyn, Theodore Electrically Controlled Switchable Adhesion of Dual Nanometer and Micrometer Structured Surfaces
Investigation of Templated Self-assembly of High-χ Diblock Copolymer

Ferguson, Mark Patterning of porous silicon nitride membrane by CsCl self assembly

Fernandez-Cuesta, Irene 30 nm nanochannels with plasmonic bowtie nanoantenna

Fernandez-Dominguez, Antonio Plasmonics At The Single-Nanometer Scale  (Invited)

Ferranti, David Deposition and Characterization of Platinum Wires Deposited by a Neon Gas Field Ion Source

Ferrier, Nicola Defect Evolution in Thin Films of Self-Assembling Lamella Forming Block Copolymers on a Neutral Surface

Finders, Jo Placement error study of cylindrical phase self-assembly guided by graphoepitaxy

Finn, Andreas Cleaning Defects of Soft UV-Nanoimprint Molds for High Aspect-Ratio Features

Firlar, Emre Electron-beam Patterned PEG Microgels for DNA Detection

Fischer, Andreas C. 3D Patterning of Si Micro and Nano Structures by Focused Ion Beam Implantation, Si Deposition and Selective Si Etching

Fischer, Wolf-Joachim Experimental Investigation on the Short Channel Effect of Nano-Imprinted Organic Field Effect Transistors (Invited)
Cleaning Defects of Soft UV-Nanoimprint Molds for High Aspect-Ratio Features

Fleischer, Monika Potential modulation by plasmonic sub-micron structures for the manipulation of ultracold atomic gas clouds
Pyramid Array Substrates for Biomedical Studies

Fleming, Yves Combined SIMS-SPM instrument for high sensitivity and high resolution elemental 3D analysis

Ford, Michael A Mechanism for Dendritic Nano-Pillar Growth using EBID

Fort, Tomas Direct nano-structuring of solid surface by extreme ultraviolet Ar8+ laser

foubert, philippe EUV lithography in pre-production mode (Invited)

Foundry, Molecular Hyperspectral NanoPhoto Luminescence Spectroscopy on InP Nanowires by Means of “Campanile” Tips

Fourkas, John Photodeactivatable photoresists for visbile-light nanolithography?

France, Grenoble Electron Beam Lithography of Antidot Arrays for the Fabrication of Nanogenerators and Detectors in 2DEG Materials

Francone, Achille Sub-100 nm three dimensional diffractive optical elements fabricated by UV light assisted roll-to-roll nanoimprint lithography
Directed self-assembly of PS-PEO using solvent vapors assisted nanoimprint lithography

Freiburg Stability and degradation of organic photovoltaic devices fabricated, aged, and characterized by the ISOS 3 inter-laboratory collaboration
Stability and degradation of organic photovoltaic devices fabricated, aged, and characterized by the ISOS 3 inter-laboratory collaboration

Fridmann, Joel Nanofabrication of Graphene on SiC by Multi-Ion Beam Lithography and Low-Temperature Processing

Frolov, Olexandr Direct nano-structuring of solid surface by extreme ultraviolet Ar8+ laser

Fu, Joseph Fabrication of nano structures for Dimensional Metrology and Device Applications

Fu, Peng-Fei Wire-Grid Polarizer by Nanoimprint Lithography Using Epoxysilsesquioxane

Fucetola, Corey The Membrane-Alignment Stage in Fabricating 3-Dimensional Nanostructures

Fuchs, Ehud Determining Tip Position Tolerances for Atomically Precise STM lithography of Si(001):H
Automated position corrections for Atomically Precise STM lithography of Si(001):H

Fujimaki, Makoto Optical and Electrical Sensing application of High-Aspect-Ratio Nanoholes Formed by Etching of Latent Tracks

Fujita, Jun-ichi Strain characteristics of free-standing graphene induced by low-energy electron beam irradiation

Fuller, Nicholas Electron beam lithography-based grating customization strategies for gate level patterning in high density SRAM circuits (Invited)

Funakoshi, Ryo High Resolution Patterning on Non-Planar Substrates with Large Height Variation Using Electron-Beam Lithography

G

G., Amir Tavakkoli K. Templating Three-Dimensional Self-Assembled Structures in Bilayer Block Copolymer Films
Block Copolymer Self-Assembly Using Sacrificial Template
Achieving Ordered Nanoholes and Other Non-bulk Morphologies by Directed Self-Assembly of a Block Copolymer

Galagan, Yulia Stability and degradation of organic photovoltaic devices fabricated, aged, and characterized by the ISOS 3 inter-laboratory collaboration

Gao, Jinming Development of jet rollable nanoimprint process to fabricate bio-polymer nanostructures

Garcia de Oteyza, Dimas High resolution dry photo-ablation development (Invited)

Gargas, Daniel 30 nm nanochannels with plasmonic bowtie nanoantenna

Gaskill, D.K. Graphene for RF Applications: Opportunities & Challenges (Invited)

Gauzner, Gene Cleaning Induced Imprint Template Erosion
Progress and Challenges in Bit Patterned Media Nanofabrication  (Invited)

Gavagnin, Marco Focused Electron Beam Induced Deposition as novel nanofabrication approach for magnetic nanosensors and nanomagnet logic
Magnetic reversal of iron nanowires deposited by Focused Electron Beam Induced Deposition for nanomagnet logic application

Ge, Yang Experimental Investigation on the Short Channel Effect of Nano-Imprinted Organic Field Effect Transistors (Invited)

Geiss, Reinhard Lithium Niobate Nanowaveguides fabricated by Ion-Beam Enhanced Etching

Gentile, Pascal Directed Self-Assembled Porous Anodic Alumina by NanoImprinting Lithography

Gestmann, Ingo Optimization of the Gun, Lenses, and Detectors for High-Vacuum SEM Studies of Non-Conductive Specimens

Gevorgyan, Suren Stability and degradation of organic photovoltaic devices fabricated, aged, and characterized by the ISOS 3 inter-laboratory collaboration

Ghadarghadr, Shabnam The Membrane-Alignment Stage in Fabricating 3-Dimensional Nanostructures

Ghassemi, Saba Sub-micron scale gold-tipped elastomeric pillar arrays for human T cell activation and culture

Giangregorio, Maria Micro- and Nanostructuring of Graphene on various Substrates using UV-NIL

Giessen, Harald Patterning of Sub-10 nm Optical Apertures on Single Crystal Metallic Films with the Helium Ion Microscope (Invited)

Gila, Brent Nanofabrication of Graphene on SiC by Multi-Ion Beam Lithography and Low-Temperature Processing

Glatzel, Thilo Combined SIMS-SPM instrument for high sensitivity and high resolution elemental 3D analysis

Gleason, Arianna System to Measure the Shear Modulus of Iron at high temperature and pressure using Transverse Displacement Interferometry Fabricated by Electron Beam Lithography

GmbH, Raith Continuous Electron Beam Lithography Writing Modes for Optical Waveguide Nanofabrication

Gobrecht, Jens Resonant Dielectric Nanostructures for biosensor applications (Invited)
High resolution patterning of Hafnium oxide based resist by EUV and Electron beam lithography

Goethals, Mieke EUV lithography in pre-production mode (Invited)

Goldsman, Neil Strain Assisted Self Lift-Off Process for the Fabrication Ultra Low Capacitive Antenna Coupled MIM Tunnel Diodes for the Application of Infrared Detection and Energy Harvesting

Goltsov, Alexander Fabrication of High Resolution Digital Spectrometers-on-Chip

Gölzhäuser, Armin 1 nm Thick Chemically Functionalized Carbon Nanomembranes (CNMs): Two-dimensional Materials for Nanoengineering

Gondarenko, Alexander Fabricating Graphene-Silicon Nitride Heterostructures for High-Q Tunable Nanomechanical Resonators
Sub-micron scale gold-tipped elastomeric pillar arrays for human T cell activation and culture
Screening T-Cell Activation with Nanostructured Substrates

Gong, Peng Fast Aerial Image Simulation for Partially Coherent Systems by TCC Decomposition with Analytical Kernels (Invited)

Goodman, Russell Investigation of Templated Self-assembly of High-χ Diblock Copolymer

Goodwin, Frank EUV Mask Challenges, Status & Closing The Remaining Technology Gaps (Invited)
Understanding the sources of unwanted etch in ion beam sputter deposition production of EUV mask blanks

Gorisse, Therese Directed Self-Assembled Porous Anodic Alumina by NanoImprinting Lithography

Goto, Hiroshi Fast and Continuous Patterning on the Surface of Plastic Fiber by Using Thermal Roller Imprint

Gotrik, Kevin W. Templating Three-Dimensional Self-Assembled Structures in Bilayer Block Copolymer Films
Block Copolymer Self-Assembly Using Sacrificial Template
Achieving Ordered Nanoholes and Other Non-bulk Morphologies by Directed Self-Assembly of a Block Copolymer

Gotrik, Kevin Sub-20 nm fabrication on polyimide plastics enabled by directed self-assembly

Graczyk, Mariusz Optimization of a Self-Closing Effect to Produce Bottle-Shaped Nanochannels in Quartz

Graham, William Electron beam lithography-based grating customization strategies for gate level patterning in high density SRAM circuits (Invited)

Graupera, Anthony A Mass Filtered Plasma FIB

Greenwald, Anton Continuous fabrication of large-area flexible metamaterial via Roll-to-Roll nanopatterning for IR filter applications

Greenway, Robert An all-optical process for extending GRATE to the 11nm (20nm hp) Technology Node (Invited)

Greenzweig, Yuval A Quantitative Probe Current Distribution Characterization Technique for Focused Ion Beam

Greil, Johannes Engineering electrical properties of Silicon nanowires by focused electron beam induced processing with Chlorine

Griffin, Peter Monolithic 3D Integration (Invited)

Grobis, Michael Fabrication of CoCrPt Alloy Bit Patterned Media at 1 Td/in2 for Recording Measurement

Grogg, Daniel Curved cantilever design for a robust and scalable microelectromechanical switch

Gronheid, Roel Relationship between Film Thickness Loss and Polymer Deprotection for EUV and ArF Photoresists

Groves, Timothy Concentric Ring Metal-Dielectric Structures for Surface Electromagnetic Wave Assisted Compact Photoelectron Source (Invited)

Gruetzner, Gabi Polymeric freestanding structures by direct write laser without sacrificial layers
Release-Optimized UV-NIL Resists

Gu, Changzhi Fabrication of Sealed Nano-channels Based on Sacrificial Nanotemplates by Focused-ion-beam Induced Chemical Vapor Deposition
The Sensing Properties of IR Nanostructed Plasmonic Crystals Fabricatied by Electron Beam Lithography and Argon Ion Milling
Enhancement of Light-Emitting Efficiency for GaN-based Light-Emitting Diodes by Nanoscale Bump and Pit ITO Surface

Gu, Xiaodan Super selective silicon cryo-etching for nanoscale pattern transfer with block copolymer lithogrpahy

Gu, Zheng Magneto-optical and X-ray microscopy of nanomagnetic logic components with shape-induced biaxial anisotropy

Guan, Weihua Fabrication and Characterization of Field Effect Reconfigurable Nanofluidic Ionic Diodes: Building Blocks Towards Digitally-Programmed Manipulation of Biomolecules

Gubiotti, Thomas REBL: A Lithography Solution for High Volume Manufacturing at 16 nm  (Invited)

Guezenko, Vitaliy High resolution patterning of Hafnium oxide based resist by EUV and Electron beam lithography

Guillorn, Michael Electron beam lithography-based grating customization strategies for gate level patterning in high density SRAM circuits (Invited)
Sub-30 nm pitch circuit relevant patterning in Si, SiO2 and SiN using directed self assembly based pattern generation  (Invited)

Guo, Jay
Angle Independent Reflective Color Filters by Plasmonic-induced Light Funneling Effect

Guo, L. Jay Vibrational Indentation Patterning of Grating Structures with Real-time Period Tunability
Controlling Nanostructures in Organic Photovoltaic Cells (Invited)
Continuous roller photolithography and application to large area IR metamaterial fabrication
Nanostructured, Active Electrostatic Trap for Confining Nanometric Objects in a Fluid: mimicking DNA-Protein interactions in a synthetic system
Development of continuous phase lithography and application to transparent conductor fabrication
Wire-Grid Polarizer by Nanoimprint Lithography Using Epoxysilsesquioxane
Continuous fabrication of polymer waveguides with smooth sidewalls by Dynamic Nano-Inscribing (DNI) and NanoChannel-guided Lithography (NCL) processes (Invited)
Continuous fabrication of large-area flexible metamaterial via Roll-to-Roll nanopatterning for IR filter applications

Guo, Lingjie High aspect-ratio doped Si nanostructures for plasmonics induced light funneling applications

Guzenko, Vitaliy 3-D microfabrication based on a glass transition temperature selective thermal reflow - towards optical applications
Resonant Dielectric Nanostructures for biosensor applications (Invited)

Gylfason, Kristinn B. 3D Patterning of Si Micro and Nano Structures by Focused Ion Beam Implantation, Si Deposition and Selective Si Etching

Gysin, Urs Combined SIMS-SPM instrument for high sensitivity and high resolution elemental 3D analysis

H

Habanyama, Adriaan Multiple phase-formation in Ni-Ge system monitored by SEM, AFM and PIXE analytical techniques

Hackl, Wolfgang Micro- and Nanostructuring of Graphene on various Substrates using UV-NIL

Hagemann, Falk Experimental Investigation on the Short Channel Effect of Nano-Imprinted Organic Field Effect Transistors (Invited)

Hagen, Cornelis W. Electron impact gas ion source development; evaluation of different electron injection sources (Invited)

Hagleitner, Christoph Curved cantilever design for a robust and scalable microelectromechanical switch

Hamaguchi, Tetsuya Large-Area Nanostructures by Laser-Scanning Imprinting (Invited)
Injection compression molding of replica molds for nanoimprint lithography
Effect of offset temperature on replication of laser-assisted imprinting

Hamilton, Alister Bimaterial electro-mechanical systems for audio frequency applications

Han, Hee-Sun Templated Placement of Colloidal Quantum Dots

Han, Jungjin Development of a large areal metallic nano stamp fabrication process using UV nanoimprinting and pulse reverse current electroforming for discrete track media with pattern width of 35nm

Hannon, Adam F. Templating Three-Dimensional Self-Assembled Structures in Bilayer Block Copolymer Films
Complex self-assembled patterns from a square grid template with restricted geometry
Block Copolymer Self-Assembly Using Sacrificial Template
Achieving Ordered Nanoholes and Other Non-bulk Morphologies by Directed Self-Assembly of a Block Copolymer

Hao, Hanfang Patterning of Sub-10 nm Optical Apertures on Single Crystal Metallic Films with the Helium Ion Microscope (Invited)

Harada, Tetsuo Development of Standalone Coherent EUV Scatterometry Microscope for EUV Mask Observation
At-Wavelength EUV Lithography Mask Observation Using a High-Magnification Objective with Three Multilayer Mirrors

Haraguchi, Takeshi Multi-axis and Multi-beam technology for high throughput maskless E-beam lithography

Harris-Jones, Jenah EUV Mask Challenges, Status & Closing The Remaining Technology Gaps (Invited)

Harteneck, Bruce Sub-10 nm area-selective ALD using block copolymer lithography
Super selective silicon cryo-etching for nanoscale pattern transfer with block copolymer lithogrpahy
High resolution dry photo-ablation development (Invited)

Hartung, Holger Lithium Niobate Nanowaveguides fabricated by Ion-Beam Enhanced Etching

Haruyama, Yuichi Characteristics of mechanical vibration in imprinted nanostructures
Pattern-size Effect of Reorientation of Photoinduced Liquid Crystalline Polymer by Thermal Nanoimprinting
Reorientation Evaluation of Bidirectional Line Pattern on Photoinduced Liquid Crystalline Polymer Fabricated by Thermal Nanoimprinting
Study of demolding Characteristics in continuous UV nanoimprinting

Harvey, Tyler Sculpting Electron Beam Profile and Phase with Nanofabricated Diffractive Optics

Hasegawa, Hirokazu Directed Self-assembly with Density Multiplication of 6 tera-dot/in2 Pattern by POSS-Containing Block Copolymer

Hastings, J. T. Liquid phase electron beam induced deposition of CdS

Hastings, J. Todd Patterning Silver Using an Atomic Force Microscope and Laser-induced Deposition from Liquids (Invited)

Hatakeyama, Taiki Quality factor improvement of graphene resonator by SU-8 shrinkage-induced strain

Hatano, Hiroshi Development of a large areal metallic nano stamp fabrication process using UV nanoimprinting and pulse reverse current electroforming for discrete track media with pattern width of 35nm

Hatano, Tadashi At-Wavelength EUV Lithography Mask Observation Using a High-Magnification Objective with Three Multilayer Mirrors

Haug, Franz-Josef Stencil-nanopatterned back reflector increases efficiency of thin-film solar cells

Hayakawa, Teruaki Directed Self-assembly with Density Multiplication of 6 tera-dot/in2 Pattern by POSS-Containing Block Copolymer

He, Hong Combining SIMS with GPA of aberration corrected HAADF STEM images for measurement of misfit strain in epitaxial SiGe layers

He, Jian Experimental Investigation on the Short Channel Effect of Nano-Imprinted Organic Field Effect Transistors (Invited)

Hebard, Arthur F. Nanofabrication of Graphene on SiC by Multi-Ion Beam Lithography and Low-Temperature Processing

Hedrick, James Probe nanopatterning: Towards a smarter lithography technology (Invited)

Hegde, Ravi S. Lithographically-Defined Nanostructures for Color Plasmonic Printing

Heidari, Babak High Volume Nanoimprint Lithography technology and applications
Position control of MOVPE grown GaN nanorods using nanoimprint lithography

Heilmann, Ralf Fabrication of Nano-scale, High Throughput, High Aspect Ratio Freestanding Gratings

Heinig, Karl-Heinz Taming Nanostructures: From Sponge to Dot Pattern on Ge Controlled by Heavy-Ion-Deposited Energy

Helgert, Christian Micro- and Nanostructuring of Graphene on various Substrates using UV-NIL

Hellwig, Olav Fabrication of CoCrPt Alloy Bit Patterned Media at 1 Td/in2 for Recording Measurement

Hendel, Rudi An all-optical process for extending GRATE to the 11nm (20nm hp) Technology Node (Invited)

Henderson, Clifford High Resolution Negative Tone Resists via Controlled Polymerization
Production of sub-20nm Pitch Features from Directed Self Assembly of High Chi Polymers via a Selective Block Removal Process Utilizing Atomic Layer Deposition (Invited)

Hendrickx, Eric EUV lithography in pre-production mode (Invited)

Hermans, Jan EUV lithography in pre-production mode (Invited)

Hermenau, Martin Stability and degradation of organic photovoltaic devices fabricated, aged, and characterized by the ISOS 3 inter-laboratory collaboration

Hesselink, Lambertus Nanoscale Photoelectron Emission Using C-shaped Nanoapertures with Cesium Bromide Photocathode

Hetzel, Martin Engineering electrical properties of Silicon nanowires by focused electron beam induced processing with Chlorine

Hieno, Atsushi Spin on Glass as an Orientation Control Layer for Block Copolymer Direct Self-Assembly

Hiller, J.M. Plasmonic Nanostructures Fabricated using Helium and Gallium Ion Beam Instruments.

Hinds, Bruce Electrophoretic and electroosmotic flow through carbon nanotube membranes as chemical pumps

Hirai, Yoshihiko Study of defect mechanisms in partly filled stamp cavities for thermal nano imprint control
Molecular dynamics study on structural modifications of graphene by electron beam irradiation
Molecular simulation of electron-irradiation damages in resist materials (Invited)
Impact of Mold-Resist Roughness on Friction and Adhesion Properties by Nano Tribological Inspection
Study on De-molding Kinetics of Peeling and Perpendicular Releasing in NIL process
Filling Characteristics of Imprint Process for Concave Pattern Molds

Hirano, Ryoichi Residual-type mask defect printability for EUV lithography
Dependence of Image Characteristics Dependece on Incident Electron Beam Energy for EUV Mask inspection using Monte Carlo simulation

Hirayama, Yoshiyuki Etching Process for Patten Transfer from Sphere-type PMMA-b-PMAPOSS Block Copolymer

Hiroshiba, Nobuya Sub-10 nm area-selective ALD using block copolymer lithography

Hiroshima, Hiroshi Full area real time monitoring of filling process by dark field illumination in UV nanoimprint lithography (Invited)
Replacement of Trapped Air by Fluoride Liquid in Thermal Nanoimprint
Study of demolding Characteristics in continuous UV nanoimprinting

Hishiro, Yoshi Fundamental Study of EUV Resist Line Edge Roughness: Characterization, Experiment and Modeling

Hoa, Xuyen High Aspect Ratio, Triangular Silicon Pillars for Bump Array Concentration of Pathogenic Bacteria

Hoffman, Galen Electron Beam Direct Write of Chalcogenide Glass Integrated Optics

Hoffman, Ulrich Point spread function analysis of dense high resolution nanodots in hydrogen silsesquioxane

Hofmann, Ulrich Mask Aligner Process Simulation for Advanced Lithography and Resolution Enhancement Techniques

Hoga, Morihisa Phase shifting mask for high-throughput high-contrast patterning with Displacement Talbot Lithography (DTL)
Au Nanorods and Nanogap Split-Ring Structures Fabricated by Reactive-Monolayer-Assisted Thermal Nanoimprinting and Electrodeposition

Hojeij, Mohamad High-resolution patterning with EUV interference lithography
Resonant Dielectric Nanostructures for biosensor applications (Invited)
High resolution patterning of Hafnium oxide based resist by EUV and Electron beam lithography

Holzner, Felix Probe nanopatterning: Towards a smarter lithography technology (Invited)

Hone, James Scalable Graphene Field Effect Transistors with Boron Nitride Dielectrics (Invited)
Fabricating Graphene-Silicon Nitride Heterostructures for High-Q Tunable Nanomechanical Resonators
Sub-micron scale gold-tipped elastomeric pillar arrays for human T cell activation and culture
Screening T-Cell Activation with Nanostructured Substrates

Hong, Seongchul Lithographic Performance of EUV Mask using Coherent Scattering Microscopy

Hoppe, Harald Stability and degradation of organic photovoltaic devices fabricated, aged, and characterized by the ISOS 3 inter-laboratory collaboration

Hopstaken, Marinus Combining SIMS with GPA of aberration corrected HAADF STEM images for measurement of misfit strain in epitaxial SiGe layers

Horng, Lance Fabrication and magnetic properties of hundred-nanometer-scaled permalloy cylinders arrays

Hornung, Michael Mask Aligner Process Simulation for Advanced Lithography and Resolution Enhancement Techniques

Hösel, Markus Stability and degradation of organic photovoltaic devices fabricated, aged, and characterized by the ISOS 3 inter-laboratory collaboration

Hsu, Wei-Hao Low-Energy Electron Diffraction Microscopy Based on a Single-Atom Electron Source

Hsu, Yautzong Cleaning Induced Imprint Template Erosion

Hu, Junqiang Screening T-Cell Activation with Nanostructured Substrates

Hu, Walter Nanoimprinted Polymer Solar Cell
Development of jet rollable nanoimprint process to fabricate bio-polymer nanostructures

Hu, Weihua SPM Local Oxidation and In-situ Characterization Using AFM Probes in Multiple Modes

Hua, Yueming Fundamental Study of EUV Resist Line Edge Roughness: Characterization, Experiment and Modeling

Huang, Gaoshan Dry-Release Self-assembling of Microtube Arrays for Catalytic Micropump Application
Novel techniques for modifying microtube surface with various periodic structures ranging from nano to micro scale

Huang, Jian-Hao Employing Nano Imprint to Fabricate Space-Charge-Limited Transistors (SCLTs)

Huang, Y. C. Fabrication and magnetic properties of hundred-nanometer-scaled permalloy cylinders arrays

Huang, Yu-chen Surface plasmon resonance coupling assisted optical transmission through Ag/SiN /Ag photonic crystal slabs

Huang, Zhihong Diamond nitrogen-vacancy centers created by scanning focused helium ion beam and annealing
Patterning nitrogen-vacancy color centers in diamond using scanning focused helium ion beam

Huber, Christina Metal Nanoparticle Arrays by Controlled Decomposition of Polymer Particles

Hudson, Fay Fabrication of high resolution computer-generated holograms using 3D electron beam lithography.

Huynh, C. Plasmonic Nanostructures Fabricated using Helium and Gallium Ion Beam Instruments.

Huynh, Chuong Nanoscale Photoelectron Emission Using C-shaped Nanoapertures with Cesium Bromide Photocathode

Hwang, Ing-Shouh Low-Energy Electron Diffraction Microscopy Based on a Single-Atom Electron Source

Hwang, Seoyeong Design and fabrication of micro optical system for multi-optical probe confocal microscopy for large areal measurement

Hwangbo, Chang Kwon Optical Performance of Binary Mask with a Tantalum Telluride Absorber Layer for Extreme Ultraviolet Lithography (Invited)

Hwu, Justin SEM dimensional metrology on sub-15 nm bit-patterned media using model-based analysis

I

Iida, Susumu Residual-type mask defect printability for EUV lithography
Dependence of Image Characteristics Dependece on Incident Electron Beam Energy for EUV Mask inspection using Monte Carlo simulation

Ikegami, Naokatsu Development of MEMS electron-optics bonded on electron emitter array for Massively Parallel EB Lithography System

Ilg, Michael Improved single ion implantation with scanning probe alignment

Ilic, Rob High quality factors in graphene and ultra thin Silicon nitride nanomechanical drums

Inazuki, Yuichi Residual-type mask defect printability for EUV lithography

Inomata, Naoki Vacuum-packaged Resonant Thermal Sensor for Biological Cell in liquid (MNC Paper)

Inoue, Takao Additional and correction patterning of resist layer using scanning probe lithography

Intonti, Francesca Hyperspectral NanoPhoto Luminescence Spectroscopy on InP Nanowires by Means of “Campanile” Tips
Towards the holy grail of near field optics via reproducibly fabricated optical nano antennae on Scanning Probe Tips

Iqbal, Zafar Bio-Fuel Cell Operational Enhancements using Nanoscale Electrodes

Ishida, Yoshihito Directed Self-assembly with Density Multiplication of 6 tera-dot/in2 Pattern by POSS-Containing Block Copolymer

Ishihara, Sunao Superconductivity of tungsten-containing carbon nanowires fabricated by focused-ion-beam chemical vapor deposition
The three-dimensional nanostructure fabrication from HSQ by FIB/EB dual-beam lithography
Quality factor improvement of graphene resonator by SU-8 shrinkage-induced strain
Electron Beam Lithography by Using Self-Assembled Block Copolymer Thin Films as Positive/Negative Tone Combined Resist
Nanomechanical Tuning Forks Fabricated by Focused-ion-beam Chemical Vapor Deposition

Ishikawa, Toyofumi Diamond nitrogen-vacancy centers created by scanning focused helium ion beam and annealing

Ismail, Bassem Engineering electrical properties of Silicon nanowires by focused electron beam induced processing with Chlorine

Isoyan, Artak Defect Tolerant Extreme Ultraviolet Lithography

Itani, Toshiro An In situ Analysis of EUV Resist Film Inhomogeneity during the Dissolution Process

Ito, Shunya Release Layer Free Acrylate Resins for Ultraviolet Nanoimprinting Prepared by Adding Segregation Auxiliary Agents

Itoh, Kimio Phase shifting mask for high-throughput high-contrast patterning with Displacement Talbot Lithography (DTL)

Ivanov, Andre Diameter Dependence of the Effect of Light Polarization on Interband Transitions in Zigzag Carbon Nanotubes

Ivonin, Igor Fabrication of High Resolution Digital Spectrometers-on-Chip

Iwase, Taku Etching Process for Patten Transfer from Sphere-type PMMA-b-PMAPOSS Block Copolymer

Iyoda, Tomokazu Directed Self-Assembly of Perpendicularly Oriented Nano-Cylinders with Liquid Crystalline Block Copolymer

Iyoshi, Shuso Study of demolding Characteristics in continuous UV nanoimprinting

J

Jahn, Andreas Experimental Investigation on the Short Channel Effect of Nano-Imprinted Organic Field Effect Transistors (Invited)

Jain, Vipul Low Diffusion EUV Resists using Bound PAG Technology (Invited)

Jaksch, Heiner The contrast mechanisms of LL-BSE electrons from Hybridization & Band Gaps

Japan Vacuum-packaged Resonant Thermal Sensor for Biological Cell in liquid (MNC Paper)

Jarnagin, Nathan Production of sub-20nm Pitch Features from Directed Self Assembly of High Chi Polymers via a Selective Block Removal Process Utilizing Atomic Layer Deposition (Invited)

Jarro, Carlos Andres Patterning Silver Using an Atomic Force Microscope and Laser-induced Deposition from Liquids (Invited)

Jeanmaire, Damien Determination of Base Dose and Scattering Coefficients for Proximity Effect Correction in Electron Beam Lithography

Jede, Ralf Stitch-Error Free Electron Beam Lithography of Periodic Structures

Jena, Debdeep High Aspect Ratio Features in PMGI using Electron Beam Lithography and Solvent Developers

Jenkins, Derek Fresnel zone plates as X-ray lenses by electron beam lithography with HSQ resist

Jhon, Young Min Image Compensation of Mask Misalignment in Aerial Image Microscope System

Ji, Shengxiang Directed Assembly of Symmetric ABA Triblock Copolymers on Chemically Nanopatterned Substrates

Jiang, Wenjun Scanning Probe Nanostructure Direct-Write: Serial and Parallel Patterning via High Field Chemistry

Jin, Chongjun Non-planar nano-arc-gap arrays fabricated via colloidal lithography

Jin, Peng Model of Curing Shrinkage and Kinetics Parameters of Acrylate-based UV-embossing Resist Based on Free Volume Theory

Jin, Sungho Di-block Copolymer Guided Patterning of Graphene
The Blood-Brain-Barrier Crossing in vivo using Magnetic Nanocapsules
Fabrication of omniphobic surfaces using deposited silicon dioxide layer and dry etching process

Jindal, Vibhu EUV Mask Challenges, Status & Closing The Remaining Technology Gaps (Invited)
Modeling of defect transport in EUVL plasma chambers
Understanding the sources of unwanted etch in ion beam sputter deposition production of EUV mask blanks

jinglei, Du Study of nanospheres lithography technology with super-lens for fabricating nano holes
Fabrication of nano metallic holes for color filter based on a controllable polystyrene spheres self-assemble

Jo, Han-Byeol Thermal Imprinting Process using ZnO Nanoparticles-Dispersed Resin for the Improvement of Light Extraction Efficiency of GaN-based LEDs

John, Arun Modeling of defect transport in EUVL plasma chambers

Johnston, Danvers E. Image quality and pattern transfer in block copolymer directed assembly with block-selective atomic layer deposition

jonckheere, rik EUV lithography in pre-production mode (Invited)

Jørgensen, Mikkel Stability and degradation of organic photovoltaic devices fabricated, aged, and characterized by the ISOS 3 inter-laboratory collaboration

Joselevich, Ernesto Nanowires with controlled location and direction by surface-guided growth from patterned catalyst

Joshi-Imre, Alexandra Growth Characterization of Electron Beam Induced Silver Deposition from Liquid Precursor

Joy, David Modeling for Multi-Beam Ion Imaging and Analysis

Juarros, Aritz Enhanced Transmission through Gold Nanohole Arrays Fabricated by Thermal Nanoimprint Lithography for Surface Plasmon Based Biological Sensing

Judokusumo, Edward Screening T-Cell Activation with Nanostructured Substrates

Jugessur, Aju Nanolithography and pattern transfer of dense sub-10 nm lines

Jun, David Energy Spread Measurement of an Electron Impact Gas Ion Source Equipped with a Miniaturized Gas Chamber
Electron impact gas ion source development; evaluation of different electron injection sources (Invited)

Jung, Myungki Design and fabrication of micro optical system for multi-optical probe confocal microscopy for large areal measurement

Jung, Yunbum Dual-Layer Thermal Nanoimprint Lithography without Dry Etching

K

Kadaksham, Arun John EUV Mask Challenges, Status & Closing The Remaining Technology Gaps (Invited)

Kadowaki, Takuya Strain characteristics of free-standing graphene induced by low-energy electron beam irradiation

Kahl, Michael Stitch-Error Free Electron Beam Lithography of Periodic Structures
Continuous Electron Beam Lithography Writing Modes for Optical Waveguide Nanofabrication

Kallaher, R.L. Stable Field Emission from Nanoporous Silicon Carbide Tips Patterned by a Focused Ion Beam(Invited)

Kam, Lance Screening T-Cell Activation with Nanostructured Substrates

Kamata, Yoshiyuki Fabrication of 5 Tb/in2 Bit Patterned Media with Servo Pattern using Directed Self-Assembly

Kaneko, Shu Study of demolding Characteristics in continuous UV nanoimprinting

Kang, Hee Young Optical Performance of Binary Mask with a Tantalum Telluride Absorber Layer for Extreme Ultraviolet Lithography (Invited)

Kang, M.-G. Stable Field Emission from Nanoporous Silicon Carbide Tips Patterned by a Focused Ion Beam(Invited)

Kang, Myung-Gyu Continuous fabrication of large-area flexible metamaterial via Roll-to-Roll nanopatterning for IR filter applications

Kang, Shinill Design and fabrication of micro optical system for multi-optical probe confocal microscopy for large areal measurement
Development of a large areal metallic nano stamp fabrication process using UV nanoimprinting and pulse reverse current electroforming for discrete track media with pattern width of 35nm

Kang, Yongfeng Calculation of high order aberrations of practical multi-pole type electron optical aberration correctors using a differential algebraic method

Kang, Yuji Characteristics of mechanical vibration in imprinted nanostructures

Kannan, Ethirajulu Electron Beam Lithography of Antidot Arrays for the Fabrication of Nanogenerators and Detectors in 2DEG Materials

Kanwal, Alokik Bio-Fuel Cell Operational Enhancements using Nanoscale Electrodes

Kaplan, Alex High aspect-ratio doped Si nanostructures for plasmonics induced light funneling applications

Karbasian, Golnaz High Aspect Ratio Features in PMGI using Electron Beam Lithography and Solvent Developers

Karg, Matthias Metal Nanoparticle Arrays by Controlled Decomposition of Polymer Particles

Katine, Jordan Fabrication of CoCrPt Alloy Bit Patterned Media at 1 Td/in2 for Recording Measurement

Kawata, Hiroaki Molecular dynamics study on structural modifications of graphene by electron beam irradiation
Molecular simulation of electron-irradiation damages in resist materials (Invited)
Impact of Mold-Resist Roughness on Friction and Adhesion Properties by Nano Tribological Inspection
Study on De-molding Kinetics of Peeling and Perpendicular Releasing in NIL process
Filling Characteristics of Imprint Process for Concave Pattern Molds

Kawatsuki, Nobuhiro Pattern-size Effect of Reorientation of Photoinduced Liquid Crystalline Polymer by Thermal Nanoimprinting
Reorientation Evaluation of Bidirectional Line Pattern on Photoinduced Liquid Crystalline Polymer Fabricated by Thermal Nanoimprinting

Kearney, Patrick EUV Mask Challenges, Status & Closing The Remaining Technology Gaps (Invited)
Modeling of defect transport in EUVL plasma chambers
Understanding the sources of unwanted etch in ion beam sputter deposition production of EUV mask blanks

Keast, Craig L. Overcoming 3DIC Technology Hurdles (Invited)

Kehagias, Nikolaos Sub-100 nm three dimensional diffractive optical elements fabricated by UV light assisted roll-to-roll nanoimprint lithography
Directed self-assembly of PS-PEO using solvent vapors assisted nanoimprint lithography

Kellogg, S. Computer Modeling of the Schottky Electron Source

Kercher, Dan Fabrication of CoCrPt Alloy Bit Patterned Media at 1 Td/in2 for Recording Measurement

Kern, Dieter P. Potential modulation by plasmonic sub-micron structures for the manipulation of ultracold atomic gas clouds

Kern, Dieter Pyramid Array Substrates for Biomedical Studies

Kessel, Cynthia Growth Characterization of Electron Beam Induced Silver Deposition from Liquid Precursor

Khaira, Gurdaman Defect Evolution in Thin Films of Self-Assembling Lamella Forming Block Copolymers on a Neutral Surface

Khamwannah, Jirapon The Blood-Brain-Barrier Crossing in vivo using Magnetic Nanocapsules
Fabrication of omniphobic surfaces using deposited silicon dioxide layer and dry etching process

Khizroev, Sakhrat Multi-domain storage in graded bit patterned media (Invited)
Fabrication of dense non-circular nanomagnetic device arrarys using self-limiting low-energy glow-discharge processing

Kidwingira, Francoise REBL: A Lithography Solution for High Volume Manufacturing at 16 nm  (Invited)

Kihara, Naoko Fabrication of 5 Tb/in2 Bit Patterned Media with Servo Pattern using Directed Self-Assembly

Kikitsu, Akira Fabrication of 5 Tb/in2 Bit Patterned Media with Servo Pattern using Directed Self-Assembly

Kilbey, Michael S, II Probing Nanostructures of Aged Active Layer Materials for Organic Solar Cells

Kim, B.G. Optimization of Spatial Dose Distribution for Vertical Sidewall of Resist Profile Minimizing Total Dose in Electron-beam Lithography
Fast Simulation of Stochastic Exposure Distribution in Electron-beam Lithography

Kim, Bongho Fabrication of On-Chip Fluidic Ion Channels using Self-Aligned Double Layer Resist Processing Technique
Negative electron-beam resist hard mask ion-beam etching process for the fabrication of nanoscale magnetic tunnel junctions

Kim, Daehong Fabrication of On-Chip Fluidic Ion Channels using Self-Aligned Double Layer Resist Processing Technique
Negative electron-beam resist hard mask ion-beam etching process for the fabrication of nanoscale magnetic tunnel junctions

Kim, Ezra Point spread function analysis of dense high resolution nanodots in hydrogen silsesquioxane

Kim, Gyu Development of jet rollable nanoimprint process to fabricate bio-polymer nanostructures

Kim, Jin-Seung Thermal Imprinting Process using ZnO Nanoparticles-Dispersed Resin for the Improvement of Light Extraction Efficiency of GaN-based LEDs

Kim, Jinsoo Bonding of PMMA nanofluidic devices and its effect on DNA behavior in nanochannels
3-D Nanomolding

Kim, Sang-Kon Electric Field Induced Patterning in Computational Lithography

Kim, Seong-Su Optical Performance of Binary Mask with a Tantalum Telluride Absorber Layer for Extreme Ultraviolet Lithography (Invited)

Kim, Seong-Sue Lithographic Performance of EUV Mask using Coherent Scattering Microscopy

Kim, Yong Tae Image Compensation of Mask Misalignment in Aerial Image Microscope System

Kim, Young-joo Development of a large areal metallic nano stamp fabrication process using UV nanoimprinting and pulse reverse current electroforming for discrete track media with pattern width of 35nm

King, W.P. Tip-Based Nanofabrication and Nanometrology of Functional Nanodevices using Heated Cantilever Arrays (Invited)

Kingsborough, Richard Investigation of Templated Self-assembly of High-χ Diblock Copolymer

Kinoshita, Hiroo Development of Standalone Coherent EUV Scatterometry Microscope for EUV Mask Observation
At-Wavelength EUV Lithography Mask Observation Using a High-Magnification Objective with Three Multilayer Mirrors

Kirchner, Robert Experimental Investigation on the Short Channel Effect of Nano-Imprinted Organic Field Effect Transistors (Invited)
Cleaning Defects of Soft UV-Nanoimprint Molds for High Aspect-Ratio Features

Kirk, Eugenie High-resolution patterning with EUV interference lithography

Klaus, David Electron beam lithography-based grating customization strategies for gate level patterning in high density SRAM circuits (Invited)

Klein, Mona Stencil-nanopatterned back reflector increases efficiency of thin-film solar cells

Kley, Ernst - Bernhard An Enhanced E-beam Pattern Writing for Nano-Optics Based on Character Projection

Kley, Ernst-Bernhard Micro- and Nanostructuring of Graphene on various Substrates using UV-NIL
Lithium Niobate Nanowaveguides fabricated by Ion-Beam Enhanced Etching

Knecht, Jeffrey M. Overcoming 3DIC Technology Hurdles (Invited)

Knoll, Armin Probe nanopatterning: Towards a smarter lithography technology (Invited)
Curved cantilever design for a robust and scalable microelectromechanical switch

Knuffman, Brenton Focused Ion Beam Implantation of Li+ in WO3 Using A Magneto-Optical Trap Ion Source (Invited)

Kobayashi, Kei Release Layer Free Acrylate Resins for Ultraviolet Nanoimprinting Prepared by Adding Segregation Auxiliary Agents
Study of demolding Characteristics in continuous UV nanoimprinting

Kobrin, Boris Large area optical lithography using cylindrical masks

Koh, Samuel C.W. Lithographically-Defined Nanostructures for Color Plasmonic Printing

Koickal, Thomas Bimaterial electro-mechanical systems for audio frequency applications

Kojima, Akira Development of MEMS electron-optics bonded on electron emitter array for Massively Parallel EB Lithography System

Kokubo, Mitsunori Fast and Continuous Patterning on the Surface of Plastic Fiber by Using Thermal Roller Imprint

Kolacek, Karel Direct nano-structuring of solid surface by extreme ultraviolet Ar8+ laser

Kolahdouz, Mohammadreza 3D Patterning of Si Micro and Nano Structures by Focused Ion Beam Implantation, Si Deposition and Selective Si Etching

Kometani, Reo Superconductivity of tungsten-containing carbon nanowires fabricated by focused-ion-beam chemical vapor deposition
The three-dimensional nanostructure fabrication from HSQ by FIB/EB dual-beam lithography
Quality factor improvement of graphene resonator by SU-8 shrinkage-induced strain
Electron Beam Lithography by Using Self-Assembled Block Copolymer Thin Films as Positive/Negative Tone Combined Resist
Nanomechanical Tuning Forks Fabricated by Focused-ion-beam Chemical Vapor Deposition

Komura, Motonori Directed Self-Assembly of Perpendicularly Oriented Nano-Cylinders with Liquid Crystalline Block Copolymer

Kondo, Mizuho Pattern-size Effect of Reorientation of Photoinduced Liquid Crystalline Polymer by Thermal Nanoimprinting
Reorientation Evaluation of Bidirectional Line Pattern on Photoinduced Liquid Crystalline Polymer Fabricated by Thermal Nanoimprinting

Kong, Seong Deok The Blood-Brain-Barrier Crossing in vivo using Magnetic Nanocapsules

Kong, Seong-Deok Fabrication of omniphobic surfaces using deposited silicon dioxide layer and dry etching process

Koshelev, Alexandr Fabrication of High Resolution Digital Spectrometers-on-Chip

Koshelev, Kirill Study of Line-Edge Roughness in ZEP Resist Nanopatterns from Electron Beam Lithography by Numerical Modeling

Koshida, Nobuyoshi Development of MEMS electron-optics bonded on electron emitter array for Massively Parallel EB Lithography System

Kosmala, Barbara Control of the PS-b-PDMS directed self-assembly by silsesquioxane-based graphoepitaxial substrate engineering

Kotera, Masatoshi Measurement of fogging electron current in scanning electron microscope
Measurement of Surface Potential Distribution at an Insulating Film Produced by Fogging Electrons in a Scanning Electron Microscope
Charging process simulation of a resist film on Si substrate under electron beam irradiation

Kratschmer, Ernst Electron beam lithography-based grating customization strategies for gate level patterning in high density SRAM circuits (Invited)

Kraus, Tobias Metal Nanoparticle Arrays by Controlled Decomposition of Polymer Particles

Kravchenko, Ivan Fabrication of a band-limited mask for PHARO

Krebs, Frederik Stability and degradation of organic photovoltaic devices fabricated, aged, and characterized by the ISOS 3 inter-laboratory collaboration

Krishnan, Prasanna Venkatesh High Volume Nanoimprint Lithography technology and applications

Krockenberger, Yoshiharu Superconductivity of tungsten-containing carbon nanowires fabricated by focused-ion-beam chemical vapor deposition

Kroner, Elmar Metal Nanoparticle Arrays by Controlled Decomposition of Polymer Particles

Kruit, Pieter Energy Spread Measurement of an Electron Impact Gas Ion Source Equipped with a Miniaturized Gas Chamber
Electron impact gas ion source development; evaluation of different electron injection sources (Invited)

Kubo, Shoichi Au Nanorods and Nanogap Split-Ring Structures Fabricated by Reactive-Monolayer-Assisted Thermal Nanoimprinting and Electrodeposition

Kumar, Karthik Plasmonics At The Single-Nanometer Scale  (Invited)
Lithographically-Defined Nanostructures for Color Plasmonic Printing

Kuo, C. Y. Fabrication and magnetic properties of hundred-nanometer-scaled permalloy cylinders arrays

Kuo, David Cleaning Induced Imprint Template Erosion
Progress and Challenges in Bit Patterned Media Nanofabrication  (Invited)

Kuo, Hong-Shi Low-Energy Electron Diffraction Microscopy Based on a Single-Atom Electron Source

Kurataka, Nobuo Cleaning Induced Imprint Template Erosion

Kurihara, Masaru Etching Process for Patten Transfer from Sphere-type PMMA-b-PMAPOSS Block Copolymer

Kurita, Mami Pattern-size Effect of Reorientation of Photoinduced Liquid Crystalline Polymer by Thermal Nanoimprinting
Reorientation Evaluation of Bidirectional Line Pattern on Photoinduced Liquid Crystalline Polymer Fabricated by Thermal Nanoimprinting

Kuroda, Kouhei The three-dimensional nanostructure fabrication from HSQ by FIB/EB dual-beam lithography

Kuru, Cihan Di-block Copolymer Guided Patterning of Graphene

Kwak, Moon Kyu Vibrational Indentation Patterning of Grating Structures with Real-time Period Tunability
Continuous roller photolithography and application to large area IR metamaterial fabrication
Development of continuous phase lithography and application to transparent conductor fabrication
Wire-Grid Polarizer by Nanoimprint Lithography Using Epoxysilsesquioxane
Continuous fabrication of large-area flexible metamaterial via Roll-to-Roll nanopatterning for IR filter applications

Kwon, Jihun Fabrication of On-Chip Fluidic Ion Channels using Self-Aligned Double Layer Resist Processing Technique
Negative electron-beam resist hard mask ion-beam etching process for the fabrication of nanoscale magnetic tunnel junctions

Kwon, Moo-Hyun Thermal Imprinting Process using ZnO Nanoparticles-Dispersed Resin for the Improvement of Light Extraction Efficiency of GaN-based LEDs

Kwong, David Transfer Processes of Silicon Nanomembranes for Three Dimensional Integration of Photonics and Electronics

L

LaBeaume, Paul Low Diffusion EUV Resists using Bound PAG Technology (Invited)

Lai, Haoyu Scanning Probe Nanostructure Direct-Write: Serial and Parallel Patterning via High Field Chemistry

Lakshmanan, Shanmugamurthy Bio-Fuel Cell Operational Enhancements using Nanoscale Electrodes

Lam, David Sub-20nm Hybrid Lithography enabled by Highly Regular Layout,Pitch Division, and e-Beam Exposure  (Invited)

Lambson, Brian Magneto-optical and X-ray microscopy of nanomagnetic logic components with shape-induced biaxial anisotropy

Langner, Andreas High-resolution patterning with EUV interference lithography

Latif, Rhonira Bimaterial electro-mechanical systems for audio frequency applications

Lawson, Richard High Resolution Negative Tone Resists via Controlled Polymerization

Lecarme, Olivier 3D Capillary Force Assembly : Fabrication of a white light emitter

Lee, C. M. Fabrication and magnetic properties of hundred-nanometer-scaled permalloy cylinders arrays

Lee, Chunghun Fabrication of an 18-layer 3D Woodpile Photonic Crystal via Stacking of Pre-Patterned Free-Standing Membranes

Lee, Dong Gun Lithographic Performance of EUV Mask using Coherent Scattering Microscopy

Lee, Gwan-Hyoung Fabricating Graphene-Silicon Nitride Heterostructures for High-Q Tunable Nanomechanical Resonators

Lee, Heon Thermal Imprinting Process using ZnO Nanoparticles-Dispersed Resin for the Improvement of Light Extraction Efficiency of GaN-based LEDs

Lee, Huang-Ming Surface plasmon resonance coupling assisted optical transmission through Ag/SiN /Ag photonic crystal slabs

Lee, Hyungyu Fabrication of On-Chip Fluidic Ion Channels using Self-Aligned Double Layer Resist Processing Technique
Negative electron-beam resist hard mask ion-beam etching process for the fabrication of nanoscale magnetic tunnel junctions

Lee, Inhwan Lithographic Performance of EUV Mask using Coherent Scattering Microscopy

Lee, Jae Uk Lithographic Performance of EUV Mask using Coherent Scattering Microscopy

Lee, JaeJong Flow behaviors of a polymer according to the imprint velocity during pressing step in NIL
Fabrication of Adhesion-free Transparent Roll Stamp for Large Area Patterning using UV-typed Roller Nanoimprint Lithography
3-D Nanomolding

Lee, Jaesun Reduction of proximity effects using high-contrast developer in fabricating large-area nanoimprint molds

Lee, Jinbeyung Development of a large areal metallic nano stamp fabrication process using UV nanoimprinting and pulse reverse current electroforming for discrete track media with pattern width of 35nm

Lee, Jisook The Blood-Brain-Barrier Crossing in vivo using Magnetic Nanocapsules

Lee, Joanne J. M. Polymeric Substrates with Bioimprinted Micro- and Nanoscale Topography for Regulation of Chondrocyte Re-Differentiation

Lee, Jongho Graphene transistors with record mobilities on polyimide for high-performance flexible nanoelectronics

Lee, K.-M. Graphene for RF Applications: Opportunities & Challenges (Invited)

Lee, Ki Dong Position control of MOVPE grown GaN nanorods using nanoimprint lithography

Lee, Kim Cleaning Induced Imprint Template Erosion
Progress and Challenges in Bit Patterned Media Nanofabrication  (Invited)

Lee, S.-H. Optimization of Spatial Dose Distribution for Vertical Sidewall of Resist Profile Minimizing Total Dose in Electron-beam Lithography
Fast Simulation of Stochastic Exposure Distribution in Electron-beam Lithography

Lee, S.-Y. Optimization of Spatial Dose Distribution for Vertical Sidewall of Resist Profile Minimizing Total Dose in Electron-beam Lithography
Fast Simulation of Stochastic Exposure Distribution in Electron-beam Lithography

Lee, Sangsul Lithographic Performance of EUV Mask using Coherent Scattering Microscopy

Lee, Seung-Back Fabrication of On-Chip Fluidic Ion Channels using Self-Aligned Double Layer Resist Processing Technique

Lee, Seung-Beck Negative electron-beam resist hard mask ion-beam etching process for the fabrication of nanoscale magnetic tunnel junctions

Lee, Sunwoo Fabricating Graphene-Silicon Nitride Heterostructures for High-Q Tunable Nanomechanical Resonators

Lee, Tao-Hua Template-Assisted Self-Assembly and Alignment of ZnO Nanowires with Post-Deposition Growth

Leggett, Graham Analysis on probe-sample interaction for scanning near-field photolithography (snp)

Lehmann, Thomas Sub-10 nm area-selective ALD using block copolymer lithography

Lemaitre, Maxime Nanofabrication of Graphene on SiC by Multi-Ion Beam Lithography and Low-Temperature Processing

Levush, Baruch Modeling of Counter Streaming Charged Beams in MICHELLE–eBEAM

Lewis, Scott Determination of Base Dose and Scattering Coefficients for Proximity Effect Correction in Electron Beam Lithography

Lezec, H.J. Stable Field Emission from Nanoporous Silicon Carbide Tips Patterned by a Focused Ion Beam(Invited)

Lezec, Henri Sculpting Electron Beam Profile and Phase with Nanofabricated Diffractive Optics

Li, Can Focused-Ion-Beam Introduced Bidirectional Bending for Complex Three-Dimensional Structures

Li, Dawen High-Resolution Non-Destructive Patterning of Isolated Organic Semiconductors

Li, Hui Fabrication of Nanostructured Hydrophobic Surfaces with Laser Interference Lithography

Li, Jianying Fabrication of Nanostructured Hydrophobic Surfaces with Laser Interference Lithography

Li, Jinxing Dry-Release Self-assembling of Microtube Arrays for Catalytic Micropump Application
Novel techniques for modifying microtube surface with various periodic structures ranging from nano to micro scale

Li, Junjie Fabrication of Sealed Nano-channels Based on Sacrificial Nanotemplates by Focused-ion-beam Induced Chemical Vapor Deposition
The Sensing Properties of IR Nanostructed Plasmonic Crystals Fabricatied by Electron Beam Lithography and Argon Ion Milling

Li, Kai Fabrication of nano structures for Dimensional Metrology and Device Applications

lI, Wang High resolution patterning of Hafnium oxide based resist by EUV and Electron beam lithography

Li, Wen-Di Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography
Diamond nitrogen-vacancy centers created by scanning focused helium ion beam and annealing
Patterning nitrogen-vacancy color centers in diamond using scanning focused helium ion beam
Non-periodic sub-wavelength gratings fabricated by helium ion beam lithography

Li, Wuxia Fabrication of Sealed Nano-channels Based on Sacrificial Nanotemplates by Focused-ion-beam Induced Chemical Vapor Deposition

Li, Xu Nanofabrication of high aspect ratio (~50:1) sub-10 nm silicon nanowires using plasma etch technologies

Liang, Yixing A Tri-layer Method to Fabricate Wafer Scale Nanoimprint Mold by Copolymer Lithography

liangke, Ren Fabrication of nano metallic holes for color filter based on a controllable polystyrene spheres self-assemble

Liapis, Anastasia Screening T-Cell Activation with Nanostructured Substrates

Libera, Matthew Electron-beam Patterned PEG Microgels for DNA Detection

Lieb, Andreas Resonant Dielectric Nanostructures for biosensor applications (Invited)

Likhanskii, Alex Modeling of defect transport in EUVL plasma chambers
Understanding the sources of unwanted etch in ion beam sputter deposition production of EUV mask blanks

Liliensiek, Sara J. Arrays of Topographically and Peptide-Functionalized Hydrogels for Analysis of Biomimetic Extracellular Matrix Properties  (Invited)

Lille, Jeffrey Image quality and pattern transfer in block copolymer directed assembly with block-selective atomic layer deposition

Lim, HyungJun Flow behaviors of a polymer according to the imprint velocity during pressing step in NIL

Lim, Jiseok Design and fabrication of micro optical system for multi-optical probe confocal microscopy for large areal measurement
Development of a large areal metallic nano stamp fabrication process using UV nanoimprinting and pulse reverse current electroforming for discrete track media with pattern width of 35nm

Lin, Burn New Era of Electron Beam Lithography

Lin, Jie Model of Curing Shrinkage and Kinetics Parameters of Acrylate-based UV-embossing Resist Based on Free Volume Theory

Lin, S.C. Graphene for RF Applications: Opportunities & Challenges (Invited)

Lin, Shih-Chieh Fabrication of a Binary Phase Grating on a Fiber End by Utilizing Interference Lithography

Ling, Tao Continuous fabrication of polymer waveguides with smooth sidewalls by Dynamic Nano-Inscribing (DNI) and NanoChannel-guided Lithography (NCL) processes (Invited)

Linke, Heiner Optimization of a Self-Closing Effect to Produce Bottle-Shaped Nanochannels in Quartz

Lira-Cantu, Monica Stability and degradation of organic photovoltaic devices fabricated, aged, and characterized by the ISOS 3 inter-laboratory collaboration

Litvinov, Dmitri Multi-domain storage in graded bit patterned media (Invited)
Fabrication of dense non-circular nanomagnetic device arrarys using self-limiting low-energy glow-discharge processing

Liu, Baoli Enhancement of Light-Emitting Efficiency for GaN-based Light-Emitting Diodes by Nanoscale Bump and Pit ITO Surface

Liu, Chi-Chun Sub-30 nm pitch circuit relevant patterning in Si, SiO2 and SiN using directed self assembly based pattern generation  (Invited)

Liu, Chin-Hung Fabrication of omniphobic surfaces using deposited silicon dioxide layer and dry etching process

Liu, Guoliang Directed Assembly of Symmetric ABA Triblock Copolymers on Chemically Nanopatterned Substrates

Liu, Jianhong Via-Hole Fabrication for III-V Triple-Junction Solar Cells

Liu, Nan Model of Curing Shrinkage and Kinetics Parameters of Acrylate-based UV-embossing Resist Based on Free Volume Theory

Liu, Nannan Electron impact gas ion source development; evaluation of different electron injection sources (Invited)

Liu, Ran Dry-Release Self-assembling of Microtube Arrays for Catalytic Micropump Application
Novel techniques for modifying microtube surface with various periodic structures ranging from nano to micro scale
Fabrication of Nanostructured Hydrophobic Surfaces with Laser Interference Lithography

Liu, Shiyuan Fast Aerial Image Simulation for Partially Coherent Systems by TCC Decomposition with Analytical Kernels (Invited)
Sensitivity Analysis for Lens Aberration Measurement in Lithographic Tools Using CTC-Based Quadratic Aberration Model

Liu, Wenhan Patterning of porous silicon nitride membrane by CsCl self assembly

Liu, Y Continuous fabrication of large-area flexible metamaterial via Roll-to-Roll nanopatterning for IR filter applications

Liu, Yuyang Fabrication of Polymer Nanostructures via Maskless O2 Plasma Etching
From Nanocone to Nanodisk: Structural Transformation of Nanoarrays via Mechanical Stresses
Nanopatterning of “Disconnected” Metal Nanostructures on Polydimethylsiloxane (PDMS) Substrate by Using Free-Standing Photoresist Film as Stencil Lithography Mask

Liu, Zhaoqian Dry-Release Self-assembling of Microtube Arrays for Catalytic Micropump Application
Novel techniques for modifying microtube surface with various periodic structures ranging from nano to micro scale
Fabrication of Nanostructured Hydrophobic Surfaces with Laser Interference Lithography

Liu, Zhe Fabrication of Sealed Nano-channels Based on Sacrificial Nanotemplates by Focused-ion-beam Induced Chemical Vapor Deposition
The Sensing Properties of IR Nanostructed Plasmonic Crystals Fabricatied by Electron Beam Lithography and Argon Ion Milling
Enhancement of Light-Emitting Efficiency for GaN-based Light-Emitting Diodes by Nanoscale Bump and Pit ITO Surface

Liu, Zhuming Analysis on probe-sample interaction for scanning near-field photolithography (snp)

Liu, Zuwei Sub-10 nm area-selective ALD using block copolymer lithography
Super selective silicon cryo-etching for nanoscale pattern transfer with block copolymer lithogrpahy

Livengood, Richard A Quantitative Probe Current Distribution Characterization Technique for Focused Ion Beam

Lloyd, Matthew Stability and degradation of organic photovoltaic devices fabricated, aged, and characterized by the ISOS 3 inter-laboratory collaboration

Lo, Yi-Chen High-Resolution Non-Destructive Patterning of Isolated Organic Semiconductors

Lobo, Charlene A Mechanism for Dendritic Nano-Pillar Growth using EBID

Löchel, Bernd Embedding and Combining Plasmonic Elements and Photonic Crystal Structures

Loeffler, Ronny Pyramid Array Substrates for Biomedical Studies

Lopez, Gerald Point spread function analysis of dense high resolution nanodots in hydrogen silsesquioxane

Lorret, Olivier Control of the PS-b-PDMS directed self-assembly by silsesquioxane-based graphoepitaxial substrate engineering

Lorusso, Gian EUV lithography in pre-production mode (Invited)

Losurdo, Maria Micro- and Nanostructuring of Graphene on various Substrates using UV-NIL

Lovell, Josh Cold Field, Thermal-Field, and Schottky Emission from HfC(310) Sources

Lu, Bing-Rui Dry-Release Self-assembling of Microtube Arrays for Catalytic Micropump Application
Tunable silver nano-pillar arrays as a surface-enhanced Raman scattering sensor by a self-aligned nanofabrication process
Fabrication of Nanostructured Hydrophobic Surfaces with Laser Interference Lithography

Lu, Bingrui Novel techniques for modifying microtube surface with various periodic structures ranging from nano to micro scale

Lu, Bo Cleaning Defects of Soft UV-Nanoimprint Molds for High Aspect-Ratio Features

Lu, Hongbing Development of jet rollable nanoimprint process to fabricate bio-polymer nanostructures

Lu, Junling Enhancing the Etch Resistance of PMMA-Based Photoresist to Meet ITRS Target from Year 2022

Lucas, Brandon D. Nanostructured, Active Electrostatic Trap for Confining Nanometric Objects in a Fluid: mimicking DNA-Protein interactions in a synthetic system

Luce, Stephen CMOS Compatible RF MEMs Technology (Invited)

Luebbert, Christian High Aspect Ratio, Triangular Silicon Pillars for Bump Array Concentration of Pathogenic Bacteria

Lugstein, Alois Engineering electrical properties of Silicon nanowires by focused electron beam induced processing with Chlorine

Luo, Gang High Volume Nanoimprint Lithography technology and applications

Luo, Qiang Fabrication of Sealed Nano-channels Based on Sacrificial Nanotemplates by Focused-ion-beam Induced Chemical Vapor Deposition

Lutsen+, Laurence Stability and degradation of organic photovoltaic devices fabricated, aged, and characterized by the ISOS 3 inter-laboratory collaboration

Luttge, Regina Micro-nanofabricated platform technology for cell seeding experiments in neuro-nanobiology

Lv, Wen Fast Aerial Image Simulation for Partially Coherent Systems by TCC Decomposition with Analytical Kernels (Invited)

Lyons, Alan M. A superhydrophobic surface made from hydrophilic materials with micro-umbrella structures

M

Ma, Andy EUV Mask Challenges, Status & Closing The Remaining Technology Gaps (Invited)

Ma, Zhengqiang Molecular Transfer Printing Using Silicon Membrane for the Fabrication of Large Area Chemical Patterns on Highly Flexible Substrates

MA Visualization of Ion Beams from IonicLiquid Ion Sources for Focused Ion Beam Applications

Maas, Diederik Helium ion beam lithography of thick HSQ resists

Macintyre, Douglas High aspect ratio (~25:1) sub-10 nm HSQ lines using electron beam lithography
Long Narrow Gaps for III-V Transistors Fabricated by Electron Beam Lithography

Mack, Chris Ultra-high-Numerical Aperture Interference Lithography at High Aspect Ratios using Surface States on Effective Gain Media

Mackie, William Cold Field, Thermal-Field, and Schottky Emission from HfC(310) Sources

Magera, Bud Thermionic Electron Gun with Small Virtual Source Size for Electron Beam Lithography Applications (Invited)

Magera, Gerald Cold Field, Thermal-Field, and Schottky Emission from HfC(310) Sources

Mahmoudi, Masoud Patterning of Sub-10 nm Optical Apertures on Single Crystal Metallic Films with the Helium Ion Microscope (Invited)

Maier, Stefan Plasmonics At The Single-Nanometer Scale  (Invited)

Maier, Urs Combined SIMS-SPM instrument for high sensitivity and high resolution elemental 3D analysis

Maldonado, Juan R. Nanoscale Photoelectron Emission Using C-shaped Nanoapertures with Cesium Bromide Photocathode

Malik, Adnan Fresnel zone plates as X-ray lenses by electron beam lithography with HSQ resist

Malm, B. Gunnar 3D Patterning of Si Micro and Nano Structures by Focused Ion Beam Implantation, Si Deposition and Selective Si Etching

Mane, Anil Enhancing the Etch Resistance of PMMA-Based Photoresist to Meet ITRS Target from Year 2022

Manfrinato, Vitor R. Resolution improvement for positive tone poly(methyl methacrylate) resist

Manfrinato, Vitor Templated Placement of Colloidal Quantum Dots

Mankos, Marian Progress Towards An Aberration-Corrected Low Energy Electron Microscope for DNA Sequencing and Surface Analysis

Mansour, Raafat Effect of molecular weight distribution on e-beam resist polystyrene

Mao, Wendy System to Measure the Shear Modulus of Iron at high temperature and pressure using Transverse Displacement Interferometry Fabricated by Electron Beam Lithography

Mao, Zhantong A superhydrophobic surface made from hydrophilic materials with micro-umbrella structures

Marconi, Mario Defect Tolerant Extreme Ultraviolet Lithography

Marinero, Ernesto Fabrication of CoCrPt Alloy Bit Patterned Media at 1 Td/in2 for Recording Measurement

Markle, David An all-optical process for extending GRATE to the 11nm (20nm hp) Technology Node (Invited)

Marras, Salvatore Electron-beam Patterned PEG Microgels for DNA Detection

Marsili, Francesco Templated Placement of Colloidal Quantum Dots

Martin, Aiden Electron Beam Etching Kinetics of Diamond and Graphite

Martin, Frederick A Large-Aperture Ion-Beam Lens Corrected For Both Chromatic And Spherical Aberration

Martin, Mickael Directed Self-Assembled Porous Anodic Alumina by NanoImprinting Lithography

Martin, Noel Thermionic Electron Gun with Small Virtual Source Size for Electron Beam Lithography Applications (Invited)

Martinez-Perdiguero, Josu Enhanced Transmission through Gold Nanohole Arrays Fabricated by Thermal Nanoimprint Lithography for Surface Plasmon Based Biological Sensing

Maruyama, Hiroki High Density Pattern Transfer via Roll to Roll Ultraviolet Nanoimprint Lithograpy using Replica Mold

Mastropaolo, Enrico Piezoelectrically Transduced Silicon Carbide MEMS Double-Clamped Beam Resonators
Bimaterial electro-mechanical systems for audio frequency applications

Materials, Applied Silicon Photovoltaics: Accelerating To Grid Parity

Matschegewski, Claudia Pyramid Array Substrates for Biomedical Studies

Matsui, Shinji Characteristics of mechanical vibration in imprinted nanostructures
Reorientation Evaluation of Bidirectional Line Pattern on Photoinduced Liquid Crystalline Polymer Fabricated by Thermal Nanoimprinting
Study of demolding Characteristics in continuous UV nanoimprinting

Maximov, Ivan Nanoimprinted Electrodes for Highly Efficient and Stable Bio-Fuel Cells
Optimization of a Self-Closing Effect to Produce Bottle-Shaped Nanochannels in Quartz

Mayer, Andre Study of defect mechanisms in partly filled stamp cavities for thermal nano imprint control
Crosslinking control during imprint for hybrid lithography (T-NIL + UV-L)

McCalla, K. Graphene for RF Applications: Opportunities & Challenges (Invited)

McClelland, Jabez Focused Ion Beam Implantation of Li+ in WO3 Using A Magneto-Optical Trap Ion Source (Invited)

McCord, Mark REBL: A Lithography Solution for High Volume Manufacturing at 16 nm  (Invited)

McCulloch, Andrew Arbitrarily Shaped High-Coherence Electron and Ion Bunches from Laser-Cooled Atoms

McMackin, Ian Large area optical lithography using cylindrical masks

McMahon, Gregory Imprint-Templated Nanocoaxial Array Architecture

McMorran, Benjamin Sculpting Electron Beam Profile and Phase with Nanofabricated Diffractive Optics

Mehrotra, Prateek Ultra-high-Numerical Aperture Interference Lithography at High Aspect Ratios using Surface States on Effective Gain Media

Mei, Yongfeng Dry-Release Self-assembling of Microtube Arrays for Catalytic Micropump Application
Novel techniques for modifying microtube surface with various periodic structures ranging from nano to micro scale

Mekaru, Harutaka Control of Inclined Angle of Glass-like Carbon Mold by Defocus UV Exposure on Si Containing Photoresist
Replacement of Trapped Air by Fluoride Liquid in Thermal Nanoimprint
Fast and Continuous Patterning on the Surface of Plastic Fiber by Using Thermal Roller Imprint

Melich, Radek Direct nano-structuring of solid surface by extreme ultraviolet Ar8+ laser

Melli, Mauro Hyperspectral NanoPhoto Luminescence Spectroscopy on InP Nanowires by Means of “Campanile” Tips
Towards the holy grail of near field optics via reproducibly fabricated optical nano antennae on Scanning Probe Tips

Meng, Hsin-Fei Employing Nano Imprint to Fabricate Space-Charge-Limited Transistors (SCLTs)

Menon, Rajesh Deep-subwavelength patterning with photoswitchable molecules

Menoni, Carmen Defect Tolerant Extreme Ultraviolet Lithography

Merino, Santos Enhanced Transmission through Gold Nanohole Arrays Fabricated by Thermal Nanoimprint Lithography for Surface Plasmon Based Biological Sensing

Messerschmidt, Martin 3-D microfabrication based on a glass transition temperature selective thermal reflow - towards optical applications

Meyer, Andre Preparation of surfaces with patterned roughness for sensing application

Meyer, Ernst Combined SIMS-SPM instrument for high sensitivity and high resolution elemental 3D analysis

Mi, Hongyi Molecular Transfer Printing Using Silicon Membrane for the Fabrication of Large Area Chemical Patterns on Highly Flexible Substrates

Mika, Johann Microfluidic Axon-Isolation Device fabricated by Nanoimprint lithography

Mikami, Haruka Directed Self-assembly with Density Multiplication of 6 tera-dot/in2 Pattern by POSS-Containing Block Copolymer

Mikoshiba, Satoshi Spin on Glass as an Orientation Control Layer for Block Copolymer Direct Self-Assembly

Miller, D.J. Plasmonic Nanostructures Fabricated using Helium and Gallium Ion Beam Instruments.

Milone, Michael Sub-micron scale gold-tipped elastomeric pillar arrays for human T cell activation and culture

Milosavljevic, I. Graphene for RF Applications: Opportunities & Challenges (Invited)

Mirza, Muhammad Nanofabrication of high aspect ratio (~50:1) sub-10 nm silicon nanowires using plasma etch technologies
High aspect ratio (~25:1) sub-10 nm HSQ lines using electron beam lithography

MIT, P. Lozano,  Visualization of Ion Beams from IonicLiquid Ion Sources for Focused Ion Beam Applications

Miyazoe, Hiroyuki Sub-30 nm pitch circuit relevant patterning in Si, SiO2 and SiN using directed self assembly based pattern generation  (Invited)

Mizuno, Seigo Optical and Electrical Sensing application of High-Aspect-Ratio Nanoholes Formed by Etching of Latent Tracks

Mkrtchyan, Masis Modeling of Counter Streaming Charged Beams in MICHELLE–eBEAM

Mohammad, Mohammad Ali High Aspect Ratio SML Resist Patterning using 30 keV Electron Beam Lithography
Study of the Interaction of Polymethylmethacrylate Fragments with Methyl Isobutyl Ketone

Mokarian-Tabari, Parvaneh Directed self-assembly of PS-PEO using solvent vapors assisted nanoimprint lithography

Moldovan, Nicolaie Nanofabrication with Si(311)

Möllenbeck, Saskia Study of defect mechanisms in partly filled stamp cavities for thermal nano imprint control
Crosslinking control during imprint for hybrid lithography (T-NIL + UV-L)
Preparation of surfaces with patterned roughness for sensing application

Møller-Nilsen, R. Reproducibility of Drift Tolerant Focused Ion Beam Lithography Method

Mondal, Bikash A superhydrophobic surface made from hydrophilic materials with micro-umbrella structures

Montelius, Lars Nanoimprinted Electrodes for Highly Efficient and Stable Bio-Fuel Cells

Moon, Euclid The Membrane-Alignment Stage in Fabricating 3-Dimensional Nanostructures

Moon, J. S. Graphene for RF Applications: Opportunities & Challenges (Invited)

Morris, Michael Directed self-assembly of PS-PEO using solvent vapors assisted nanoimprint lithography

Morris, Mick Control of the PS-b-PDMS directed self-assembly by silsesquioxane-based graphoepitaxial substrate engineering

Morton, Keith High Aspect Ratio, Triangular Silicon Pillars for Bump Array Concentration of Pathogenic Bacteria
Vibrant color transmission under cross-polarization through gold-coated gratings nanoimprinted in polymer foils

Motavas, Saloome Diameter Dependence of the Effect of Light Polarization on Interband Transitions in Zigzag Carbon Nanotubes

Mounier, Maxence High Aspect Ratio, Triangular Silicon Pillars for Bump Array Concentration of Pathogenic Bacteria

Muehlberger, Michael Micro- and Nanostructuring of Graphene on various Substrates using UV-NIL
Nanometer Size Protein Patterning using nCP for the Investigation of Protein-Protein Interactions in Live Cells

Mueller, Thomas Micro- and Nanostructuring of Graphene on various Substrates using UV-NIL

Mukherjee, Pran Fabrication of Nano-scale, High Throughput, High Aspect Ratio Freestanding Gratings

Müller, Marcus Directed Assembly of Symmetric ABA Triblock Copolymers on Chemically Nanopatterned Substrates

Multibeam Sub-20nm Hybrid Lithography enabled by Highly Regular Layout,Pitch Division, and e-Beam Exposure  (Invited)

Murali, Raghu Electron Beam Lithography of Antidot Arrays for the Fabrication of Nanogenerators and Detectors in 2DEG Materials

Murata, Hidekazu Multi-axis and Multi-beam technology for high throughput maskless E-beam lithography

Murphy, Dene Arbitrarily Shaped High-Coherence Electron and Ion Bunches from Laser-Cooled Atoms

Murray, James Surface-Finishing of Focused Ion Beam Milled Features by Pulsed Electron Irradiation

Murray, Lynn M. Polymeric Substrates with Bioimprinted Micro- and Nanoscale Topography for Regulation of Chondrocyte Re-Differentiation

N

N’Diaye, Alpha Progress Towards An Aberration-Corrected Low Energy Electron Microscope for DNA Sequencing and Surface Analysis

Nagao, Tadaaki Lithographically Designed Porous Plasmonic Nanostructures (Invited)

Nagase, Koichi Au Nanorods and Nanogap Split-Ring Structures Fabricated by Reactive-Monolayer-Assisted Thermal Nanoimprinting and Electrodeposition

Nagata, Yutaka Development of Standalone Coherent EUV Scatterometry Microscope for EUV Mask Observation

Nagato, Keisuke Large-Area Nanostructures by Laser-Scanning Imprinting (Invited)
Injection compression molding of replica molds for nanoimprint lithography
Effect of offset temperature on replication of laser-assisted imprinting

Nagpal, Umang Directed Assembly of Symmetric ABA Triblock Copolymers on Chemically Nanopatterned Substrates

Naieni, Ali Kashefian The Effect of Field Frequency and Solution Conductivity on Dielectrophoretic Deposition of Carbon Nanotubes

Nakagawa, Masaru Au Nanorods and Nanogap Split-Ring Structures Fabricated by Reactive-Monolayer-Assisted Thermal Nanoimprinting and Electrodeposition
Release Layer Free Acrylate Resins for Ultraviolet Nanoimprinting Prepared by Adding Segregation Auxiliary Agents
Fluoroalkyl-Containing Surfactants to Reduce Release Energy of UV-Cured Acrylate Resin
Study of demolding Characteristics in continuous UV nanoimprinting

Nakai, Yasuki Characteristics of mechanical vibration in imprinted nanostructures

Nakamura, Hiroko Spin on Glass as an Orientation Control Layer for Block Copolymer Direct Self-Assembly

Nakao, Masayuki Large-Area Nanostructures by Laser-Scanning Imprinting (Invited)
Injection compression molding of replica molds for nanoimprint lithography
Effect of offset temperature on replication of laser-assisted imprinting

Nakasuji, Masato Development of Standalone Coherent EUV Scatterometry Microscope for EUV Mask Observation

Namboodiri, Pradeep Fabrication of nano structures for Dimensional Metrology and Device Applications

Naughton, Jeffrey R. Imprint-Templated Nanocoaxial Array Architecture

Naughton, Michael J. Imprint-Templated Nanocoaxial Array Architecture

Nealey, Paul F. Arrays of Topographically and Peptide-Functionalized Hydrogels for Analysis of Biomimetic Extracellular Matrix Properties  (Invited)

Nealey, Paul Directed Assembly of Symmetric ABA Triblock Copolymers on Chemically Nanopatterned Substrates
Molecular Transfer Printing Using Silicon Membrane for the Fabrication of Large Area Chemical Patterns on Highly Flexible Substrates
Defect Evolution in Thin Films of Self-Assembling Lamella Forming Block Copolymers on a Neutral Surface

Nebe, Barbara Pyramid Array Substrates for Biomedical Studies

Nemutudi, Rudzani Multiple phase-formation in Ni-Ge system monitored by SEM, AFM and PIXE analytical techniques

Newton, Michael Bimaterial electro-mechanical systems for audio frequency applications

Nicaise, Sam M. Block Copolymer Self-Assembly Using Sacrificial Template
Achieving Ordered Nanoholes and Other Non-bulk Morphologies by Directed Self-Assembly of a Block Copolymer

Nicaise, Samuel Investigation of Templated Self-assembly of High-χ Diblock Copolymer

Niklaus, Frank 3D Patterning of Si Micro and Nano Structures by Focused Ion Beam Implantation, Si Deposition and Selective Si Etching

Nilsson, Bengt Dose to clear in EBL: comparison of Monte Carlo predictions with experiment

Nishijima, Takuya Strain characteristics of free-standing graphene induced by low-energy electron beam irradiation

Nishino, Tomoki Impact of Mold-Resist Roughness on Friction and Adhesion Properties by Nano Tribological Inspection
Study on De-molding Kinetics of Peeling and Perpendicular Releasing in NIL process

Nishioka, Emi Pattern-size Effect of Reorientation of Photoinduced Liquid Crystalline Polymer by Thermal Nanoimprinting
Reorientation Evaluation of Bidirectional Line Pattern on Photoinduced Liquid Crystalline Polymer Fabricated by Thermal Nanoimprinting

Niu, Ben Fabrication of Photonic Devices on Flexible Platform

Nock, Volker Polymeric Substrates with Bioimprinted Micro- and Nanoscale Topography for Regulation of Chondrocyte Re-Differentiation

Noh, Kunbae Di-block Copolymer Guided Patterning of Graphene

Nojeh, Alireza Solar Electron Source and Thermionic Solar Cell
Diameter Dependence of the Effect of Light Polarization on Interband Transitions in Zigzag Carbon Nanotubes
Two-photon Photoemission from Carbon Nanotube Arrays under Low-power Ultraviolet Illumination
The Effect of Field Frequency and Solution Conductivity on Dielectrophoretic Deposition of Carbon Nanotubes

Nomura, Ken-ichi Optical and Electrical Sensing application of High-Aspect-Ratio Nanoholes Formed by Etching of Latent Tracks

Norrman, Kion Stability and degradation of organic photovoltaic devices fabricated, aged, and characterized by the ISOS 3 inter-laboratory collaboration

Notte, John Towards Secondary Ion Mass Spectrometry on the Helium Ion Microscope
Progress Towards a Commercial Neon Gas Field Ion Source
Deposition and Characterization of Platinum Wires Deposited by a Neon Gas Field Ion Source

Nouvertne, Frank Continuous Electron Beam Lithography Writing Modes for Optical Waveguide Nanofabrication

Nowak, Matt High Density 3D Through Silicon Stacking (TSS) – Game Changing Technology?

Nugent, Keith Arbitrarily Shaped High-Coherence Electron and Ion Bunches from Laser-Cooled Atoms

Nüsse, Nils Embedding and Combining Plasmonic Elements and Photonic Crystal Structures

O

O'Connor, Roddy Sub-micron scale gold-tipped elastomeric pillar arrays for human T cell activation and culture

Ober, Christopher Directed Patterning for Electronics Using Multiple Block Copolymers Orthogonally

Ochi, Toshihiko Additional and correction patterning of resist layer using scanning probe lithography

Ocola, Leonidas Ernesto Growth Characterization of Electron Beam Induced Silver Deposition from Liquid Precursor

Ocola, Leonidas Variation of Proximity Effect Correction Parameters with Density

Ocypa, Łukasz Impact of Mold-Resist Roughness on Friction and Adhesion Properties by Nano Tribological Inspection

Ogletree, D. Frank Hyperspectral NanoPhoto Luminescence Spectroscopy on InP Nanowires by Means of “Campanile” Tips

Ogletree, Frank Towards the holy grail of near field optics via reproducibly fabricated optical nano antennae on Scanning Probe Tips

Ohara, Yasuhiro Measurement of fogging electron current in scanning electron microscope
Measurement of Surface Potential Distribution at an Insulating Film Produced by Fogging Electrons in a Scanning Electron Microscope
Charging process simulation of a resist film on Si substrate under electron beam irradiation

Ohki, Yoshimichi Optical and Electrical Sensing application of High-Aspect-Ratio Nanoholes Formed by Etching of Latent Tracks

Ohta, Toshiyuki Development of MEMS electron-optics bonded on electron emitter array for Massively Parallel EB Lithography System

Ohtomo, Akihiro Fast and Continuous Patterning on the Surface of Plastic Fiber by Using Thermal Roller Imprint

Ohyi, Hideyuki Development of MEMS electron-optics bonded on electron emitter array for Massively Parallel EB Lithography System

Ok, Jong G. Vibrational Indentation Patterning of Grating Structures with Real-time Period Tunability
Continuous roller photolithography and application to large area IR metamaterial fabrication
Development of continuous phase lithography and application to transparent conductor fabrication
Wire-Grid Polarizer by Nanoimprint Lithography Using Epoxysilsesquioxane
Continuous fabrication of polymer waveguides with smooth sidewalls by Dynamic Nano-Inscribing (DNI) and NanoChannel-guided Lithography (NCL) processes (Invited)
Continuous fabrication of large-area flexible metamaterial via Roll-to-Roll nanopatterning for IR filter applications

Okada, Makoto Characteristics of mechanical vibration in imprinted nanostructures
Pattern-size Effect of Reorientation of Photoinduced Liquid Crystalline Polymer by Thermal Nanoimprinting
Reorientation Evaluation of Bidirectional Line Pattern on Photoinduced Liquid Crystalline Polymer Fabricated by Thermal Nanoimprinting
Study of demolding Characteristics in continuous UV nanoimprinting

Okai, Nobuhiro Electron scattering simulation for subsurface metrology in scanning electron microscope

Okino, Takeshi Fabrication of 5 Tb/in2 Bit Patterned Media with Servo Pattern using Directed Self-Assembly

Okuyama, Chieko Control of Inclined Angle of Glass-like Carbon Mold by Defocus UV Exposure on Si Containing Photoresist

Olynick, Deirdre Single digit nanoimprint lithography achieved by template modification with atomic layer deposition
30 nm nanochannels with plasmonic bowtie nanoantenna
Sub-10 nm area-selective ALD using block copolymer lithography
Super selective silicon cryo-etching for nanoscale pattern transfer with block copolymer lithogrpahy
Tunable Plasmonic Light Trapping Metal Structures
High resolution dry photo-ablation development (Invited)

Ongayi, Owendi Low Diffusion EUV Resists using Bound PAG Technology (Invited)

Ono, Hiroshi Pattern-size Effect of Reorientation of Photoinduced Liquid Crystalline Polymer by Thermal Nanoimprinting
Reorientation Evaluation of Bidirectional Line Pattern on Photoinduced Liquid Crystalline Polymer Fabricated by Thermal Nanoimprinting

Ono, Takahito Vacuum-packaged Resonant Thermal Sensor for Biological Cell in liquid (MNC Paper)

Onomitsu, Koji Superconductivity of tungsten-containing carbon nanowires fabricated by focused-ion-beam chemical vapor deposition

Ootera, Yasuaki Fabrication of 5 Tb/in2 Bit Patterned Media with Servo Pattern using Directed Self-Assembly

Orlov, Alexei High Aspect Ratio Features in PMGI using Electron Beam Lithography and Solvent Developers

Osada, Akira Measurement of fogging electron current in scanning electron microscope
Measurement of Surface Potential Distribution at an Insulating Film Produced by Fogging Electrons in a Scanning Electron Microscope
Charging process simulation of a resist film on Si substrate under electron beam irradiation

Oshidari, Yuta Quality factor improvement of graphene resonator by SU-8 shrinkage-induced strain

Ostrzinski, Ute Polymeric freestanding structures by direct write laser without sacrificial layers

Oswald, Benedikt Resonant Dielectric Nanostructures for biosensor applications (Invited)

Otaduy, Deitze Enhanced Transmission through Gold Nanohole Arrays Fabricated by Thermal Nanoimprint Lithography for Surface Plasmon Based Biological Sensing

Otani, Masaru Measurement of fogging electron current in scanning electron microscope
Measurement of Surface Potential Distribution at an Insulating Film Produced by Fogging Electrons in a Scanning Electron Microscope
Charging process simulation of a resist film on Si substrate under electron beam irradiation

Otis, Charles A Mass Filtered Plasma FIB

Ou, Neil Surface plasmon resonance coupling assisted optical transmission through Ag/SiN /Ag photonic crystal slabs

Ovtchinnikov, Serguei Modeling of Counter Streaming Charged Beams in MICHELLE–eBEAM

Owen, James H. G. Automated position corrections for Atomically Precise STM lithography of Si(001):H

Owen, James Determining Tip Position Tolerances for Atomically Precise STM lithography of Si(001):H

P

Padmore, Howard Tunable Plasmonic Light Trapping Metal Structures

Pahud, Céline Stencil-nanopatterned back reflector increases efficiency of thin-film solar cells

Paivanranta, Birgit High-resolution patterning with EUV interference lithography

Palma, Matteo Directed assembly of one-dimensional functional nanostructures

Pan, Liang Sub-22nm High Throughput Maskless Nanolithography Using Quasi-3D Plasmonic Lens
An FPGA-based Pattern Generator for Massive Parallel High-Speed Nanolithography System

Panday, Ashwin Nanostructured, Active Electrostatic Trap for Confining Nanometric Objects in a Fluid: mimicking DNA-Protein interactions in a synthetic system

Pandey, Yogendra Measurements of the Latent Image in Chemically-Amplified Resists

Pang, Stella High Resolution Patterning on Non-Planar Substrates with Large Height Variation Using Electron-Beam Lithography

Pankratov, Dmitrii Nanoimprinted Electrodes for Highly Efficient and Stable Bio-Fuel Cells

Parameswaran, Lalitha Electrically Controlled Switchable Adhesion of Dual Nanometer and Micrometer Structured Surfaces

Park, Hui Joon Controlling Nanostructures in Organic Photovoltaic Cells (Invited)
Wire-Grid Polarizer by Nanoimprint Lithography Using Epoxysilsesquioxane

Park, Hyun-Ha Flow behaviors of a polymer according to the imprint velocity during pressing step in NIL

Park, Johnathan Growth Characterization of Electron Beam Induced Silver Deposition from Liquid Precursor

Park, Min-Chul Image Compensation of Mask Misalignment in Aerial Image Microscope System

Park, Sooyeon 3-D Nanomolding

Park, Sunggook Bonding of PMMA nanofluidic devices and its effect on DNA behavior in nanochannels
3-D Nanomolding

Park, Yongshik Sub-22nm High Throughput Maskless Nanolithography Using Quasi-3D Plasmonic Lens
An FPGA-based Pattern Generator for Massive Parallel High-Speed Nanolithography System

Parpia, Jeevak Fabricating Graphene-Silicon Nitride Heterostructures for High-Q Tunable Nanomechanical Resonators
High quality factors in graphene and ultra thin Silicon nitride nanomechanical drums

Patel, Kanaiyalal C. Image quality and pattern transfer in block copolymer directed assembly with block-selective atomic layer deposition

Patti, Robert Heterogeneous 3D Integration (Invited)

Paul, Douglas Nanofabrication of high aspect ratio (~50:1) sub-10 nm silicon nanowires using plasma etch technologies
High aspect ratio (~25:1) sub-10 nm HSQ lines using electron beam lithography

Paul, Philip Probe nanopatterning: Towards a smarter lithography technology (Invited)

Pauliac-Vaujour, Sébastien Capped carbon hard mask an innovative route to nanoscale device fabrication

Pearton, Stephen Inductively Coupled Plasma Etching of Benzocyclobutene with SF6 Chemistry

Pease, Fabian Monolithic 3D Integration (Invited)
Monolithic 3D Integration via Al-Ge Bonding of Single Crystal Islands (Invited)
Transfer Processes of Silicon Nanomembranes for Three Dimensional Integration of Photonics and Electronics

Pease, R. Fabian Nanoscale Photoelectron Emission Using C-shaped Nanoapertures with Cesium Bromide Photocathode

Peckerar, Martin Strain Assisted Self Lift-Off Process for the Fabrication Ultra Low Capacitive Antenna Coupled MIM Tunnel Diodes for the Application of Infrared Detection and Energy Harvesting

Peng, Zhen Non-periodic sub-wavelength gratings fabricated by helium ion beam lithography

Penzo, Erika Directed assembly of one-dimensional functional nanostructures

Peranantham, Pazhanisami Optical Performance of Binary Mask with a Tantalum Telluride Absorber Layer for Extreme Ultraviolet Lithography (Invited)

Percival, Randal Progress Towards a Commercial Neon Gas Field Ion Source

Perera, Ginusha Measurements of the Latent Image in Chemically-Amplified Resists

Perera, Pradeep High resolution dry photo-ablation development (Invited)

Perez-Martinez, C. Visualization of Ion Beams from IonicLiquid Ion Sources for Focused Ion Beam Applications

Peroz, Christophe Single digit nanoimprint lithography achieved by template modification with atomic layer deposition
Fabrication of High Resolution Digital Spectrometers-on-Chip
Direct Nanoimprinting of Functional Inorganic Layers for Nanophotonic Chip Devices

Perry-Houts, Jonathan Sculpting Electron Beam Profile and Phase with Nanofabricated Diffractive Optics

Persson, Henrik Progress Towards An Aberration-Corrected Low Energy Electron Microscope for DNA Sequencing and Surface Analysis

Pertsch, Thomas Micro- and Nanostructuring of Graphene on various Substrates using UV-NIL
Lithium Niobate Nanowaveguides fabricated by Ion-Beam Enhanced Etching
Peters, Andrew Production of sub-20nm Pitch Features from Directed Self Assembly of High Chi Polymers via a Selective Block Removal Process Utilizing Atomic Layer Deposition (Invited)

Petersen, D. H. Reproducibility of Drift Tolerant Focused Ion Beam Lithography Method

Petersen, John An all-optical process for extending GRATE to the 11nm (20nm hp) Technology Node (Invited)

Petillo, John Modeling of Counter Streaming Charged Beams in MICHELLE–eBEAM

Petric, Paul REBL: A Lithography Solution for High Volume Manufacturing at 16 nm  (Invited)

Petrillo, Karen Fundamental Study of EUV Resist Line Edge Roughness: Characterization, Experiment and Modeling

Petrone, Nick Scalable Graphene Field Effect Transistors with Boron Nitride Dielectrics (Invited)

PEYRADE, David 3D Capillary Force Assembly : Fabrication of a white light emitter

Pfeiffer, Karl Polymeric freestanding structures by direct write laser without sacrificial layers

Phaneuf, Mike Deposition and Characterization of Platinum Wires Deposited by a Neon Gas Field Ion Source

Phifer, Daniel Optimization of the Gun, Lenses, and Detectors for High-Vacuum SEM Studies of Non-Conductive Specimens

Philipp, Peter Taming of Ga droplets on DLC layers – Size tuning and local arrangement with nm accuracy

Phillips, Matthew A Mechanism for Dendritic Nano-Pillar Growth using EBID
Electron Beam Etching Kinetics of Diamond and Graphite

Piaszenski, Guido Continuous Electron Beam Lithography Writing Modes for Optical Waveguide Nanofabrication

Piccirillo, Lucio Determination of Base Dose and Scattering Coefficients for Proximity Effect Correction in Electron Beam Lithography

Pickard, Daniel Patterning of Sub-10 nm Optical Apertures on Single Crystal Metallic Films with the Helium Ion Microscope (Invited)
Immersion Optics for Excitation of Surface Plasmons in a Transmission Mode Photoemission Electron Microscope

Pillatsch, Lex Towards Secondary Ion Mass Spectrometry on the Helium Ion Microscope

Pina-Hernandez, Carlos Direct Nanoimprinting of Functional Inorganic Layers for Nanophotonic Chip Devices
Wire-Grid Polarizer by Nanoimprint Lithography Using Epoxysilsesquioxane

Pineda-Vargas, Carlos Multiple phase-formation in Ni-Ge system monitored by SEM, AFM and PIXE analytical techniques

Pinto, Mark Silicon Photovoltaics: Accelerating To Grid Parity

Pitera, Jed Fundamental Study of EUV Resist Line Edge Roughness: Characterization, Experiment and Modeling

Pitters, Jason Tip shaping of gas field ion sources for optimal ion beam generation

Plötner, Matthias Experimental Investigation on the Short Channel Effect of Nano-Imprinted Organic Field Effect Transistors (Invited)

Plummer, James Monolithic 3D Integration (Invited)

PoliMi Deep-subwavelength patterning with photoswitchable molecules

Pollentier, Ivan EUV lithography in pre-production mode (Invited)

Polyakov, Aleksandr Tunable Plasmonic Light Trapping Metal Structures

Popov, Vladimir Nanoimprinted Electrodes for Highly Efficient and Stable Bio-Fuel Cells

Portal, Jean -Claude Electron Beam Lithography of Antidot Arrays for the Fabrication of Nanogenerators and Detectors in 2DEG Materials

Postek, Michael On Clean Samples and High-Resolution Quantitative Charged Particle Microscopy

Prewett, Philips Model of Curing Shrinkage and Kinetics Parameters of Acrylate-based UV-embossing Resist Based on Free Volume Theory

Probst, Jürgen Embedding and Combining Plasmonic Elements and Photonic Crystal Structures

Provine, J Transfer Processes of Silicon Nanomembranes for Three Dimensional Integration of Photonics and Electronics

Prukner, Vaclav Direct nano-structuring of solid surface by extreme ultraviolet Ar8+ laser

Pu, Yu Curved cantilever design for a robust and scalable microelectromechanical switch

Purushotham, Kavuri On Clean Samples and High-Resolution Quantitative Charged Particle Microscopy

Putkunz, Corey Arbitrarily Shaped High-Coherence Electron and Ion Bunches from Laser-Cooled Atoms

Q

Qi, Minghao Sub-20 nm Metal Gratings by Nanoimprint Lithography
Horizontal Growth of Silicon Nanowire Arrays for Large-Scale Circuit Applications
Fabrication of Photonic Devices on Flexible Platform
Fabrication of an 18-layer 3D Woodpile Photonic Crystal via Stacking of Pre-Patterned Free-Standing Membranes

Qu, Xin-Ping Tunable silver nano-pillar arrays as a surface-enhanced Raman scattering sensor by a self-aligned nanofabrication process

Qualcomm High Density 3D Through Silicon Stacking (TSS) – Game Changing Technology?

Quan, Baogang The Sensing Properties of IR Nanostructed Plasmonic Crystals Fabricatied by Electron Beam Lithography and Argon Ion Milling

R

Racine, Georges-Andre Polymeric freestanding structures by direct write laser without sacrificial layers

Rack, Philip Deposition and Characterization of Platinum Wires Deposited by a Neon Gas Field Ion Source

Radhakrishnan, S Continuous fabrication of large-area flexible metamaterial via Roll-to-Roll nanopatterning for IR filter applications

Rahman, FHM Progress Towards a Commercial Neon Gas Field Ion Source

Ramachandra, Ranjan Modeling for Multi-Beam Ion Imaging and Analysis

Raman, Pattabiraman Santhana First lithography results obtained with the 2nd generation MeV proton beam writing facility

Ramanathan, Muruganathan Probing Nanostructures of Aged Active Layer Materials for Organic Solar Cells

Randall, John N. Automated position corrections for Atomically Precise STM lithography of Si(001):H

Randall, John Determining Tip Position Tolerances for Atomically Precise STM lithography of Si(001):H

Rangelow, Ivo Improved single ion implantation with scanning probe alignment

Rank, Andreas High aspect ratio lift-off process and silver optimization for negative index materials in the visible
Micro- and Nanostructuring of Graphene on various Substrates using UV-NIL
Nanometer Size Protein Patterning using nCP for the Investigation of Protein-Protein Interactions in Live Cells

Ratchev, Svetan Surface-Finishing of Focused Ion Beam Milled Features by Pulsed Electron Irradiation

Raub, Alex An all-optical process for extending GRATE to the 11nm (20nm hp) Technology Node (Invited)

Ravindran, Prabu Defect Evolution in Thin Films of Self-Assembling Lamella Forming Block Copolymers on a Neutral Surface

Ray, Vishva High Resolution Patterning on Non-Planar Substrates with Large Height Variation Using Electron-Beam Lithography

Reano, Ronald Electron Beam Direct Write of Chalcogenide Glass Integrated Optics

Reddy, N. Liquid phase electron beam induced deposition of CdS

Reed, Mark Fabrication and Characterization of Field Effect Reconfigurable Nanofluidic Ionic Diodes: Building Blocks Towards Digitally-Programmed Manipulation of Biomolecules

Ren, Fan Nanofabrication of Graphene on SiC by Multi-Ion Beam Lithography and Low-Temperature Processing

Renaldo, Alfred Large area optical lithography using cylindrical masks

Retolaza, Aritz Enhanced Transmission through Gold Nanohole Arrays Fabricated by Thermal Nanoimprint Lithography for Surface Plasmon Based Biological Sensing

Reuther, Freimut Release-Optimized UV-NIL Resists

Rho, Junsuk Sub-22nm High Throughput Maskless Nanolithography Using Quasi-3D Plasmonic Lens

Riboli, Francesco Hyperspectral NanoPhoto Luminescence Spectroscopy on InP Nanowires by Means of “Campanile” Tips
Towards the holy grail of near field optics via reproducibly fabricated optical nano antennae on Scanning Probe Tips

Richter, Karola Cleaning Defects of Soft UV-Nanoimprint Molds for High Aspect-Ratio Features

Ricketts, David SPM Local Oxidation and In-situ Characterization Using AFM Probes in Multiple Modes

Rikers, Yuri G.M. 3D Patterning of Si Micro and Nano Structures by Focused Ion Beam Implantation, Si Deposition and Selective Si Etching

Ring, Johan High Volume Nanoimprint Lithography technology and applications

Rivaton, Agnès Stability and degradation of organic photovoltaic devices fabricated, aged, and characterized by the ISOS 3 inter-laboratory collaboration

Rizal, Binod Imprint-Templated Nanocoaxial Array Architecture

Roberts, Clive Analysis on probe-sample interaction for scanning near-field photolithography (snp)

Roberts, Kevin E-Beam Patterning of Nanoparticle Filled Sucrose Resist

Robertson, Neil Fabrication of CoCrPt Alloy Bit Patterned Media at 1 Td/in2 for Recording Measurement

Rocca, Jorge Defect Tolerant Extreme Ultraviolet Lithography

Rode, Patrick Position control of MOVPE grown GaN nanorods using nanoimprint lithography

Roediger, Peter Engineering electrical properties of Silicon nanowires by focused electron beam induced processing with Chlorine

Rogers, John Reduction of proximity effects using high-contrast developer in fabricating large-area nanoimprint molds

Rokuta, Eiji Multi-axis and Multi-beam technology for high throughput maskless E-beam lithography

Rolandi, Marco Scanning Probe Nanostructure Direct-Write: Serial and Parallel Patterning via High Field Chemistry

Romijn, Hans High-Speed Patterning of Hexagonal Dot Arrays Using Electron-Beam Lithography

ronse, kurt EUV lithography in pre-production mode (Invited)

Rösch, Roland Stability and degradation of organic photovoltaic devices fabricated, aged, and characterized by the ISOS 3 inter-laboratory collaboration

Ross, Caroline A. Templating Three-Dimensional Self-Assembled Structures in Bilayer Block Copolymer Films
Complex self-assembled patterns from a square grid template with restricted geometry
Sub-20 nm fabrication on polyimide plastics enabled by directed self-assembly
Block Copolymer Self-Assembly Using Sacrificial Template
Achieving Ordered Nanoholes and Other Non-bulk Morphologies by Directed Self-Assembly of a Block Copolymer

Roussel, Laurent Optimization of the Gun, Lenses, and Detectors for High-Vacuum SEM Studies of Non-Conductive Specimens

Ruchhoeft, Paul Multi-domain storage in graded bit patterned media (Invited)
Fabrication of dense non-circular nanomagnetic device arrarys using self-limiting low-energy glow-discharge processing

Ruiz, Ricardo Image quality and pattern transfer in block copolymer directed assembly with block-selective atomic layer deposition
Directed Self-assembly with Density Multiplication of 6 tera-dot/in2 Pattern by POSS-Containing Block Copolymer

Ruoff, Rod Graphene-based & Graphene-derived Materials (Invited)

Russell, Thomas Super selective silicon cryo-etching for nanoscale pattern transfer with block copolymer lithogrpahy

Ruzic, David Understanding the sources of unwanted etch in ion beam sputter deposition production of EUV mask blanks

Ruzmentov, Dmitri Focused Ion Beam Implantation of Li+ in WO3 Using A Magneto-Optical Trap Ion Source (Invited)

Rymuza, Zygmunt Impact of Mold-Resist Roughness on Friction and Adhesion Properties by Nano Tribological Inspection

Ryu, JiHyeong Flow behaviors of a polymer according to the imprint velocity during pressing step in NIL

S

Saito, Masashi High Density Pattern Transfer via Roll to Roll Ultraviolet Nanoimprint Lithograpy using Replica Mold

Sakai, Hirofumi Molecular simulation of electron-irradiation damages in resist materials (Invited)

Sakamoto, Jyunji Study of defect mechanisms in partly filled stamp cavities for thermal nano imprint control

Salaün, Mathieu Control of the PS-b-PDMS directed self-assembly by silsesquioxane-based graphoepitaxial substrate engineering

Saliba, Sebastian Arbitrarily Shaped High-Coherence Electron and Ion Bunches from Laser-Cooled Atoms

Samarelli, Antonio Nanofabrication of high aspect ratio (~50:1) sub-10 nm silicon nanowires using plasma etch technologies

Sanabia, Jason Stitch-Error Free Electron Beam Lithography of Periodic Structures

Sanchez, Martha Fundamental Study of EUV Resist Line Edge Roughness: Characterization, Experiment and Modeling

Santillan, Julius Joseph An In situ Analysis of EUV Resist Film Inhomogeneity during the Dissolution Process

Santori, Charles Diamond nitrogen-vacancy centers created by scanning focused helium ion beam and annealing
Patterning nitrogen-vacancy color centers in diamond using scanning focused helium ion beam

Sasao, Norikatsu Fabrication of 5 Tb/in2 Bit Patterned Media with Servo Pattern using Directed Self-Assembly

Sato, Hideki Scanning Probe Nanostructure Direct-Write: Serial and Parallel Patterning via High Field Chemistry

Sato, Mizuki Directed Self-assembly with Density Multiplication of 6 tera-dot/in2 Pattern by POSS-Containing Block Copolymer

Sato, Takashi Talbot effect immersion lithography by self-imaging of very fine grating pattern

Sato, Toshimi Large-Area Nanostructures by Laser-Scanning Imprinting (Invited)
Effect of offset temperature on replication of laser-assisted imprinting

Savenko, A. Reproducibility of Drift Tolerant Focused Ion Beam Lithography Method

Savu, Veronica Stencil-nanopatterned back reflector increases efficiency of thin-film solar cells
Thermal control extends heated stencil's life-time

Schardein, Greg Patterning Silver Using an Atomic Force Microscope and Laser-induced Deposition from Liquids (Invited)

Schattenburg, Mark Fabrication of Nano-scale, High Throughput, High Aspect Ratio Freestanding Gratings

Scheer, Hella-Christin Study of defect mechanisms in partly filled stamp cavities for thermal nano imprint control
Crosslinking control during imprint for hybrid lithography (T-NIL + UV-L)
Preparation of surfaces with patterned roughness for sensing application

Schenkel, Thomas Improved single ion implantation with scanning probe alignment

Scherer, Axel Determination of Base Dose and Scattering Coefficients for Proximity Effect Correction in Electron Beam Lithography

Schift, Helmut Sidewall-angle dependent pre-filling of three-dimensional microcavities in thermal nanoimprint
3-D microfabrication based on a glass transition temperature selective thermal reflow - towards optical applications

Schleunitz, Arne Sidewall-angle dependent pre-filling of three-dimensional microcavities in thermal nanoimprint
3-D microfabrication based on a glass transition temperature selective thermal reflow - towards optical applications

Schmid, Andreas Progress Towards An Aberration-Corrected Low Energy Electron Microscope for DNA Sequencing and Surface Analysis

Schmidt, Bernd Taming Nanostructures: From Sponge to Dot Pattern on Ge Controlled by Heavy-Ion-Deposited Energy
Taming of Ga droplets on DLC layers – Size tuning and local arrangement with nm accuracy

Schmidt, Jiri Direct nano-structuring of solid surface by extreme ultraviolet Ar8+ laser

Schmidt, Martin High resolution dry photo-ablation development (Invited)

Schmits, Ruud Continuous Electron Beam Lithography Writing Modes for Optical Waveguide Nanofabrication

Schmitz, A. Graphene for RF Applications: Opportunities & Challenges (Invited)

Schoengen, Max Embedding and Combining Plasmonic Elements and Photonic Crystal Structures

Scholl, Andreas Magneto-optical and X-ray microscopy of nanomagnetic logic components with shape-induced biaxial anisotropy

Scholten, Robert Arbitrarily Shaped High-Coherence Electron and Ion Bunches from Laser-Cooled Atoms

Schrempel, Frank Lithium Niobate Nanowaveguides fabricated by Ion-Beam Enhanced Etching

Schuck, James High resolution dry photo-ablation development (Invited)

Schuck, Jim Towards the holy grail of near field optics via reproducibly fabricated optical nano antennae on Scanning Probe Tips

Schuck, P. James Hyperspectral NanoPhoto Luminescence Spectroscopy on InP Nanowires by Means of “Campanile” Tips
30 nm nanochannels with plasmonic bowtie nanoantenna
Putting Plasmonic Probes in Perspective: The Case for the Campanile Tip

Schuck, Peter Tunable Plasmonic Light Trapping Metal Structures

Schuetz, Gerhard Nanometer Size Protein Patterning using nCP for the Investigation of Protein-Protein Interactions in Live Cells

Schvartzman, Mark Nanowires with controlled location and direction by surface-guided growth from patterned catalyst

Schwartz, Evan Directed Patterning for Electronics Using Multiple Block Copolymers Orthogonally

Schwartzberg, Adam Sub-10 nm area-selective ALD using block copolymer lithography
High resolution dry photo-ablation development (Invited)

Schwind, G.A. Computer Modeling of the Schottky Electron Source

Scipioni, L. Plasmonic Nanostructures Fabricated using Helium and Gallium Ion Beam Instruments.

Scipioni, Larry Nanoscale Photoelectron Emission Using C-shaped Nanoapertures with Cesium Bromide Photocathode
Deposition and Characterization of Platinum Wires Deposited by a Neon Gas Field Ion Source

Segal, Joel Surface-Finishing of Focused Ion Beam Milled Features by Pulsed Electron Irradiation

Semiconductor, Tezzaron Heterogeneous 3D Integration (Invited)

Seo, H.C. Graphene for RF Applications: Opportunities & Challenges (Invited)

Seo, Hwan-Seok Optical Performance of Binary Mask with a Tantalum Telluride Absorber Layer for Extreme Ultraviolet Lithography (Invited)

Shadman, Khashadyar Progress Towards An Aberration-Corrected Low Energy Electron Microscope for DNA Sequencing and Surface Analysis

Shaik, Shoieb High-Resolution Non-Destructive Patterning of Isolated Organic Semiconductors

Sharifi, Fred Stable Field Emission from Nanoporous Silicon Carbide Tips Patterned by a Focused Ion Beam(Invited)

Sheehan, Michael Investigation of Templated Self-assembly of High-χ Diblock Copolymer

Sheludko, David Arbitrarily Shaped High-Coherence Electron and Ion Bunches from Laser-Cooled Atoms

Shen, Yang Non-planar nano-arc-gap arrays fabricated via colloidal lithography

Shepard, Ken Scalable Graphene Field Effect Transistors with Boron Nitride Dielectrics (Invited)

Shepard, Stephen Imprint-Templated Nanocoaxial Array Architecture

Shi, Haofei High aspect-ratio doped Si nanostructures for plasmonics induced light funneling applications

Shi, Tielin Sensitivity Analysis for Lens Aberration Measurement in Lithographic Tools Using CTC-Based Quadratic Aberration Model

Shima, Darryl A Quantitative Probe Current Distribution Characterization Technique for Focused Ion Beam

Shimada, Takuya Fabrication of 5 Tb/in2 Bit Patterned Media with Servo Pattern using Directed Self-Assembly

Shimoyama, Hiroshi Multi-axis and Multi-beam technology for high throughput maskless E-beam lithography

Shin, Young Jae Wire-Grid Polarizer by Nanoimprint Lithography Using Epoxysilsesquioxane

Shleev, Sergey Nanoimprinted Electrodes for Highly Efficient and Stable Bio-Fuel Cells

Shokouhi, Babak Periodic tilted Au structure fabrication by electron beam exposure

Shroff, Yashesh Near-Field EUV Imaging for Spatial Frequency Multiplication

Shtokhamer, Roman Modeling of Counter Streaming Charged Beams in MICHELLE–eBEAM

shuhong, Li Study of nanospheres lithography technology with super-lens for fabricating nano holes
Fabrication of nano metallic holes for color filter based on a controllable polystyrene spheres self-assemble

Shul, Randy Inductively Coupled Plasma Etching of Benzocyclobutene with SF6 Chemistry

Shyu, Jia-Hong Surface plasmon resonance coupling assisted optical transmission through Ag/SiN /Ag photonic crystal slabs
Fabrication and magnetic properties of hundred-nanometer-scaled permalloy cylinders arrays

Sijbrandij, Sybren Towards Secondary Ion Mass Spectrometry on the Helium Ion Microscope
Progress Towards a Commercial Neon Gas Field Ion Source

Silva, S. R. P. Electron emission from carbon nanotubes

Silver, Richard Fabrication of nano structures for Dimensional Metrology and Device Applications

Simao, Claudia Directed self-assembly of PS-PEO using solvent vapors assisted nanoimprint lithography

Singapore Lithographically-Defined Nanostructures for Color Plasmonic Printing

Singh, Lovejeet Fundamental Study of EUV Resist Line Edge Roughness: Characterization, Experiment and Modeling

Singh, V. Liquid phase electron beam induced deposition of CdS

Slama, Sebastian Potential modulation by plasmonic sub-micron structures for the manipulation of ultracold atomic gas clouds

Smayling, Michael Sub-20nm Hybrid Lithography enabled by Highly Regular Layout,Pitch Division, and e-Beam Exposure  (Invited)

Smith, Henry I. The Membrane-Alignment Stage in Fabricating 3-Dimensional Nanostructures

Smith, Henry A Novel Grating-Apodization Technique in Equivalent-Chirped Sampled-Bragg Gratings

Smith, Leslie Bimaterial electro-mechanical systems for audio frequency applications

Smith, Noel Thermionic Electron Gun with Small Virtual Source Size for Electron Beam Lithography Applications (Invited)

Snider, Gregory High Aspect Ratio Features in PMGI using Electron Beam Lithography and Solvent Developers

Sobota, Jaroslav Direct nano-structuring of solid surface by extreme ultraviolet Ar8+ laser

Sohda, Yasunari Electron scattering simulation for subsurface metrology in scanning electron microscope

Solak, Harun Phase shifting mask for high-throughput high-contrast patterning with Displacement Talbot Lithography (DTL)
Optical lithography method for generation of sub-35nm line/space patterns for use in complementary lithography

Son, Jeong Gon Sub-20 nm fabrication on polyimide plastics enabled by directed self-assembly

Soper, Steven Bonding of PMMA nanofluidic devices and its effect on DNA behavior in nanochannels

Sotomayor-Torres, Clivia Directed self-assembly of PS-PEO using solvent vapors assisted nanoimprint lithography

Sporre, John Understanding the sources of unwanted etch in ion beam sputter deposition production of EUV mask blanks

Spreu, Christian 3-D microfabrication based on a glass transition temperature selective thermal reflow - towards optical applications

Sreenivasan, SV Nanoscale Manufacturing Using Jet and Flash Imprint Lithography (Invited)

Stach, Eric Templated Placement of Colloidal Quantum Dots

Staehlke, Susanne Pyramid Array Substrates for Biomedical Studies

Stamper, Anthony CMOS Compatible RF MEMs Technology (Invited)

Stan, Gheorghe Fabrication of nano structures for Dimensional Metrology and Device Applications

Steele, Adam Focused Ion Beam Implantation of Li+ in WO3 Using A Magneto-Optical Trap Ion Source (Invited)

Stehle, Christian Potential modulation by plasmonic sub-micron structures for the manipulation of ultracold atomic gas clouds

Stein, Aaron Defect Tolerant Extreme Ultraviolet Lithography

Stein, Gila Measurements of the Latent Image in Chemically-Amplified Resists

Steinberg, Christian Crosslinking control during imprint for hybrid lithography (T-NIL + UV-L)

Stemme, Göran 3D Patterning of Si Micro and Nano Structures by Focused Ion Beam Implantation, Si Deposition and Selective Si Etching

Stenger, Dominik Sub-10 nm area-selective ALD using block copolymer lithography

Stepanova, Maria Study of Line-Edge Roughness in ZEP Resist Nanopatterns from Electron Beam Lithography by Numerical Modeling
High Aspect Ratio SML Resist Patterning using 30 keV Electron Beam Lithography
Study of the Interaction of Polymethylmethacrylate Fragments with Methyl Isobutyl Ketone

Stern, Lewis Deposition and Characterization of Platinum Wires Deposited by a Neon Gas Field Ion Source

Stevens, Jeffrey Inductively Coupled Plasma Etching of Benzocyclobutene with SF6 Chemistry

Stocker, Michael Photodeactivatable photoresists for visbile-light nanolithography?

Stoltz, Peter Modeling of defect transport in EUVL plasma chambers
Understanding the sources of unwanted etch in ion beam sputter deposition production of EUV mask blanks

Strasfeld, David Templated Placement of Colloidal Quantum Dots

Strassburg, Martin Position control of MOVPE grown GaN nanorods using nanoimprint lithography

Straus, Jaroslav Direct nano-structuring of solid surface by extreme ultraviolet Ar8+ laser

Structures, Periodic An all-optical process for extending GRATE to the 11nm (20nm hp) Technology Node (Invited)

Su, Dong Templated Placement of Colloidal Quantum Dots

Sun, Chen An FPGA-based Pattern Generator for Massive Parallel High-Speed Nanolithography System

Sun, Cheng Sub-22nm High Throughput Maskless Nanolithography Using Quasi-3D Plasmonic Lens

Sun, Jeff REBL: A Lithography Solution for High Volume Manufacturing at 16 nm  (Invited)

Sun, Jie A Novel Grating-Apodization Technique in Equivalent-Chirped Sampled-Bragg Gratings

Sun, Weijie The Sensing Properties of IR Nanostructed Plasmonic Crystals Fabricatied by Electron Beam Lithography and Argon Ion Milling

Sun, Yujiao Reduction of proximity effects using high-contrast developer in fabricating large-area nanoimprint molds

Sun, Zhenzhong High-Resolution Non-Destructive Patterning of Isolated Organic Semiconductors

Sundberg, Linda Fundamental Study of EUV Resist Line Edge Roughness: Characterization, Experiment and Modeling

Sundberg, Richard Nanoimprinted Electrodes for Highly Efficient and Stable Bio-Fuel Cells

Sung, CY Graphene Nanoelectronics (Invited)

Suntharalingam, Vyshnavi Overcoming 3DIC Technology Hurdles (Invited)

Sunzenauer, Stefan Nanometer Size Protein Patterning using nCP for the Investigation of Protein-Protein Interactions in Live Cells

Suyatin, Dmitry Nanoimprinted Electrodes for Highly Efficient and Stable Bio-Fuel Cells

Suzuki, Hiroyuki Electron Beam Lithography by Using Self-Assembled Block Copolymer Thin Films as Positive/Negative Tone Combined Resist

Suzuki, Kenta Full area real time monitoring of filling process by dark field illumination in UV nanoimprint lithography (Invited)

Sviličić, Boris Piezoelectrically Transduced Silicon Carbide MEMS Double-Clamped Beam Resonators

Swanson, L.W. Computer Modeling of the Schottky Electron Source

Szymanska, Joanna Fabrication of high resolution computer-generated holograms using 3D electron beam lithography.

T

Tabdanov, Erdem Screening T-Cell Activation with Nanostructured Substrates

Tada, Yasuhiko Directed Self-Assembly of Perpendicularly Oriented Nano-Cylinders with Liquid Crystalline Block Copolymer
Directed Self-assembly with Density Multiplication of 6 tera-dot/in2 Pattern by POSS-Containing Block Copolymer
Etching Process for Patten Transfer from Sphere-type PMMA-b-PMAPOSS Block Copolymer

Takagi, Hideki Fast and Continuous Patterning on the Surface of Plastic Fiber by Using Thermal Roller Imprint

Takahashi, Junki Release Agent Properties in Ultraviolet Nanoimprint Lithography using High-Aspect-Ratio Nanoscale Molds

Takahata, Kouji Multi-axis and Multi-beam technology for high throughput maskless E-beam lithography

Takashima, Yuzuru Nanoscale Photoelectron Emission Using C-shaped Nanoapertures with Cesium Bromide Photocathode

Takatsuka, Masa Fabrication of high resolution computer-generated holograms using 3D electron beam lithography.

Takenaka, Mikihito Directed Self-assembly with Density Multiplication of 6 tera-dot/in2 Pattern by POSS-Containing Block Copolymer

Talin, Alec Focused Ion Beam Implantation of Li+ in WO3 Using A Magneto-Optical Trap Ion Source (Invited)

Tan, Shida A Quantitative Probe Current Distribution Characterization Technique for Focused Ion Beam

Tanenbaum, David Stability and degradation of organic photovoltaic devices fabricated, aged, and characterized by the ISOS 3 inter-laboratory collaboration

Tang, Tiantong Calculation of high order aberrations of practical multi-pole type electron optical aberration correctors using a differential algebraic method

Tang, Zirong Sensitivity Analysis for Lens Aberration Measurement in Lithographic Tools Using CTC-Based Quadratic Aberration Model

Taniguchi, Jun High Density Pattern Transfer via Roll to Roll Ultraviolet Nanoimprint Lithograpy using Replica Mold
Release Agent Properties in Ultraviolet Nanoimprint Lithography using High-Aspect-Ratio Nanoscale Molds
Additional and correction patterning of resist layer using scanning probe lithography

Taniguchi, Yukio Phase shifting mask for high-throughput high-contrast patterning with Displacement Talbot Lithography (DTL)

Tansarawiput, Chookiat Horizontal Growth of Silicon Nanowire Arrays for Large-Scale Circuit Applications

Tao, Li Graphene transistors with record mobilities on polyimide for high-performance flexible nanoelectronics
Sub-20 nm fabrication on polyimide plastics enabled by directed self-assembly

Tazaki, Go High Density Pattern Transfer via Roll to Roll Ultraviolet Nanoimprint Lithograpy using Replica Mold

Teki, Ranganath EUV Mask Challenges, Status & Closing The Remaining Technology Gaps (Invited)

Teng, Lichao Experimental Investigation on the Short Channel Effect of Nano-Imprinted Organic Field Effect Transistors (Invited)

Teo, Benjamin First lithography results obtained with the 2nd generation MeV proton beam writing facility

Teora, Pat Understanding the sources of unwanted etch in ion beam sputter deposition production of EUV mask blanks

Terasawa, Tsuneo Residual-type mask defect printability for EUV lithography
Dependence of Image Characteristics Dependece on Incident Electron Beam Energy for EUV Mask inspection using Monte Carlo simulation

Terhalle, Bernd High-resolution patterning with EUV interference lithography

Ternent, Gary Nanofabrication of high aspect ratio (~50:1) sub-10 nm silicon nanowires using plasma etch technologies

Tesch, Paul Thermionic Electron Gun with Small Virtual Source Size for Electron Beam Lithography Applications (Invited)

Thackeray, James Low Diffusion EUV Resists using Bound PAG Technology (Invited)

Thomas, Gordon Bio-Fuel Cell Operational Enhancements using Nanoscale Electrodes

Thompson, Daniel Arbitrarily Shaped High-Coherence Electron and Ion Bunches from Laser-Cooled Atoms

Thompson, Kevin Tunable Plasmonic Light Trapping Metal Structures

Thoms, Stephen High aspect ratio (~25:1) sub-10 nm HSQ lines using electron beam lithography
Long Narrow Gaps for III-V Transistors Fabricated by Electron Beam Lithography

Thorsen, Todd Microfluidics Beyond Basic Biology- From Fertility Monitoring to Artificial Respiration (Invited)

Thrun, Xaver Cleaning Defects of Soft UV-Nanoimprint Molds for High Aspect-Ratio Features

Tian, Yingtao Fresnel zone plates as X-ray lenses by electron beam lithography with HSQ resist

TIberio, Richard System to Measure the Shear Modulus of Iron at high temperature and pressure using Transverse Displacement Interferometry Fabricated by Electron Beam Lithography

Tiwari, Sandip Directed Patterning for Electronics Using Multiple Block Copolymers Orthogonally

Toda, Masaya Vacuum-packaged Resonant Thermal Sensor for Biological Cell in liquid (MNC Paper)

Tokimasa, Akifumi At-Wavelength EUV Lithography Mask Observation Using a High-Magnification Objective with Three Multilayer Mirrors

Tolbert, Laren High Resolution Negative Tone Resists via Controlled Polymerization

Tominaka, Satoshi Lithographically Designed Porous Plasmonic Nanostructures (Invited)

Tomioka, Tatsuya Au Nanorods and Nanogap Split-Ring Structures Fabricated by Reactive-Monolayer-Assisted Thermal Nanoimprinting and Electrodeposition

Tomova, Zulya Photodeactivatable photoresists for visbile-light nanolithography?

Tongay, Sefaattin Nanofabrication of Graphene on SiC by Multi-Ion Beam Lithography and Low-Temperature Processing

Tornello, James Electron beam lithography-based grating customization strategies for gate level patterning in high density SRAM circuits (Invited)

Torres, Clivia Sotomayor Sub-100 nm three dimensional diffractive optical elements fabricated by UV light assisted roll-to-roll nanoimprint lithography

Toshiba Talbot effect immersion lithography by self-imaging of very fine grating pattern

Toth, Milos A Mechanism for Dendritic Nano-Pillar Growth using EBID
Electron Beam Etching Kinetics of Diamond and Graphite

Toyoda, Mitsunori At-Wavelength EUV Lithography Mask Observation Using a High-Magnification Objective with Three Multilayer Mirrors

Tran, Hieu Cleaning Induced Imprint Template Erosion

Tran, Hoang Investigation of Templated Self-assembly of High-χ Diblock Copolymer

Tsai, Hsin-Yu Sub-30 nm pitch circuit relevant patterning in Si, SiO2 and SiN using directed self assembly based pattern generation  (Invited)

Tsai, Wu-Wei Employing Nano Imprint to Fabricate Space-Charge-Limited Transistors (SCLTs)

Tseng, Ching-Tung Fabrication of a Binary Phase Grating on a Fiber End by Utilizing Interference Lithography

Tseng, Yi-Chuan Fabrication of a Binary Phase Grating on a Fiber End by Utilizing Interference Lithography

Tseng, Yu-Chih Enhancing the Etch Resistance of PMMA-Based Photoresist to Meet ITRS Target from Year 2022

Tsivion, David Nanowires with controlled location and direction by surface-guided growth from patterned catalyst

Tsong, Tien T. Low-Energy Electron Diffraction Microscopy Based on a Single-Atom Electron Source

Tsukidate, Yoshitaka Fluoroalkyl-Containing Surfactants to Reduce Release Energy of UV-Cured Acrylate Resin

Tünnermann, Andreas Lithium Niobate Nanowaveguides fabricated by Ion-Beam Enhanced Etching

Turchanin, Andrey 1 nm Thick Chemically Functionalized Carbon Nanomembranes (CNMs): Two-dimensional Materials for Nanoengineering

Türke, Alexander Experimental Investigation on the Short Channel Effect of Nano-Imprinted Organic Field Effect Transistors (Invited)

U

Uba, Franklin Bonding of PMMA nanofluidic devices and its effect on DNA behavior in nanochannels

Ueki, Ryuichi Strain characteristics of free-standing graphene induced by low-energy electron beam irradiation

Ueno, Akihisa Control of Inclined Angle of Glass-like Carbon Mold by Defocus UV Exposure on Si Containing Photoresist

Ulin-Avila, Erick Sub-22nm High Throughput Maskless Nanolithography Using Quasi-3D Plasmonic Lens
An FPGA-based Pattern Generator for Massive Parallel High-Speed Nanolithography System

Ummethala, Upendra REBL: A Lithography Solution for High Volume Manufacturing at 16 nm  (Invited)

Ünal, Nezih Mask Aligner Process Simulation for Advanced Lithography and Resolution Enhancement Techniques

Unal, Nezih Point spread function analysis of dense high resolution nanodots in hydrogen silsesquioxane

Univ, Yonsei Design and fabrication of micro optical system for multi-optical probe confocal microscopy for large areal measurement

Univ., Yonsei Development of a large areal metallic nano stamp fabrication process using UV nanoimprinting and pulse reverse current electroforming for discrete track media with pattern width of 35nm

Universität, Georg-August Directed Assembly of Symmetric ABA Triblock Copolymers on Chemically Nanopatterned Substrates

University, Keio Diamond nitrogen-vacancy centers created by scanning focused helium ion beam and annealing

University, Nottingham Analysis on probe-sample interaction for scanning near-field photolithography (snp)

University, Peking Focused-Ion-Beam Introduced Bidirectional Bending for Complex Three-Dimensional Structures
Near-Field EUV Imaging for Spatial Frequency Multiplication

University, Sichuan Study of nanospheres lithography technology with super-lens for fabricating nano holes
Fabrication of nano metallic holes for color filter based on a controllable polystyrene spheres self-assemble

Unno, Noriyuki High Density Pattern Transfer via Roll to Roll Ultraviolet Nanoimprint Lithograpy using Replica Mold

Uosaki, Kohei Lithographically Designed Porous Plasmonic Nanostructures (Invited)

Urban, Radovan Tip shaping of gas field ion sources for optimal ion beam generation

Urbanski, Lukasz Defect Tolerant Extreme Ultraviolet Lithography

Urgula, Ozan Optimization of the Gun, Lenses, and Detectors for High-Vacuum SEM Studies of Non-Conductive Specimens

USA, Raith Stitch-Error Free Electron Beam Lithography of Periodic Structures

Uzunoğlu+++, Gülşah Stability and degradation of organic photovoltaic devices fabricated, aged, and characterized by the ISOS 3 inter-laboratory collaboration

V

van de Veerdonk, Rene Progress and Challenges in Bit Patterned Media Nanofabrication  (Invited)

van der Drift, Emile Helium ion beam lithography of thick HSQ resists

van der Heijden, Eddy Placement error study of cylindrical phase self-assembly guided by graphoepitaxy

van der Zande, Arend Scalable Graphene Field Effect Transistors with Boron Nitride Dielectrics (Invited)
Fabricating Graphene-Silicon Nitride Heterostructures for High-Q Tunable Nanomechanical Resonators

van Kan, Jeroen Anton First lithography results obtained with the 2nd generation MeV proton beam writing facility
Electron impact gas ion source development; evaluation of different electron injection sources (Invited)

van Langen-Suurling, Anja Helium ion beam lithography of thick HSQ resists

Van Leer, Brandon Optimization of the Gun, Lenses, and Detectors for High-Vacuum SEM Studies of Non-Conductive Specimens

van Veldhoven, Emile Helium ion beam lithography of thick HSQ resists

Van Zeijl, Elfi Fabrication of high resolution computer-generated holograms using 3D electron beam lithography.

vandenberghe, geert EUV lithography in pre-production mode (Invited)

Vanderzande+, Dirk Stability and degradation of organic photovoltaic devices fabricated, aged, and characterized by the ISOS 3 inter-laboratory collaboration

Vanhove, Nico Towards Secondary Ion Mass Spectrometry on the Helium Ion Microscope

Varghese, Leo T. Horizontal Growth of Silicon Nanowire Arrays for Large-Scale Circuit Applications

Varghese, Leo Sub-20 nm Metal Gratings by Nanoimprint Lithography
Fabrication of Photonic Devices on Flexible Platform
Fabrication of an 18-layer 3D Woodpile Photonic Crystal via Stacking of Pre-Patterned Free-Standing Membranes

Vasko, Stephanie Scanning Probe Nanostructure Direct-Write: Serial and Parallel Patterning via High Field Chemistry

Vazquez-Mena, Oscar Stencil-nanopatterned back reflector increases efficiency of thin-film solar cells

Velha, Philippe Nanofabrication of high aspect ratio (~50:1) sub-10 nm silicon nanowires using plasma etch technologies

Venkatachalam, Dinesh K. Nanofabrication of Graphene on SiC by Multi-Ion Beam Lithography and Low-Temperature Processing

Veres, Teodor High Aspect Ratio, Triangular Silicon Pillars for Bump Array Concentration of Pathogenic Bacteria
Vibrant color transmission under cross-polarization through gold-coated gratings nanoimprinted in polymer foils

Virwani, Kumar Fundamental Study of EUV Resist Line Edge Roughness: Characterization, Experiment and Modeling

Viswanathan, Vignesh Patterning of Sub-10 nm Optical Apertures on Single Crystal Metallic Films with the Helium Ion Microscope (Invited)
Immersion Optics for Excitation of Surface Plasmons in a Transmission Mode Photoemission Electron Microscope

Vladar, Andras On Clean Samples and High-Resolution Quantitative Charged Particle Microscopy

Vladov, Nikola Surface-Finishing of Focused Ion Beam Milled Features by Pulsed Electron Irradiation

Vlasov, Alexander Modeling of Counter Streaming Charged Beams in MICHELLE–eBEAM

Vockenhuber, Michaela High-resolution patterning with EUV interference lithography

Vockenhubera, Michaela High resolution patterning of Hafnium oxide based resist by EUV and Electron beam lithography

Voelcker, Nico Metal Nanoparticle Arrays by Controlled Decomposition of Polymer Particles

Voelkel, Reinhard Mask Aligner Process Simulation for Advanced Lithography and Resolution Enhancement Techniques

Vogler, Marko 3-D microfabrication based on a glass transition temperature selective thermal reflow - towards optical applications
Single digit nanoimprint lithography achieved by template modification with atomic layer deposition
Release-Optimized UV-NIL Resists

Vogler, Uwe Mask Aligner Process Simulation for Advanced Lithography and Resolution Enhancement Techniques

Voigt, Anja Polymeric freestanding structures by direct write laser without sacrificial layers

Von Ehr, James R. Automated position corrections for Atomically Precise STM lithography of Si(001):H

Von Her, Jim Determining Tip Position Tolerances for Atomically Precise STM lithography of Si(001):H

Voroshazi, Eszter Stability and degradation of organic photovoltaic devices fabricated, aged, and characterized by the ISOS 3 inter-laboratory collaboration

W

Wagner, Michael Low Diffusion EUV Resists using Bound PAG Technology (Invited)

Wago, Koichi Progress and Challenges in Bit Patterned Media Nanofabrication  (Invited)

Waid, Simon Focused Electron Beam Induced Deposition as novel nanofabrication approach for magnetic nanosensors and nanomagnet logic
Magnetic reversal of iron nanowires deposited by Focused Electron Beam Induced Deposition for nanomagnet logic application
Fabrication of 3-dimensional Nanoimprint Stamps - A comparison of 4 approaches using FIB

Wall, David Optimization of the Gun, Lenses, and Detectors for High-Vacuum SEM Studies of Non-Conductive Specimens

Wallin, Chris High quality factors in graphene and ultra thin Silicon nitride nanomechanical drums

Wallraff, Gregory Fundamental Study of EUV Resist Line Edge Roughness: Characterization, Experiment and Modeling

Wan, Lei Image quality and pattern transfer in block copolymer directed assembly with block-selective atomic layer deposition
Molecular Transfer Printing Using Silicon Membrane for the Fabrication of Large Area Chemical Patterns on Highly Flexible Substrates

Wang, Gang Enhancement of Light-Emitting Efficiency for GaN-based Light-Emitting Diodes by Nanoscale Bump and Pit ITO Surface

Wang, Hongying Cleaning Induced Imprint Template Erosion

Wang, Jian Fabrication of Photonic Devices on Flexible Platform

Wang, Lei Scalable Graphene Field Effect Transistors with Boron Nitride Dielectrics (Invited)

Wang, Li High-resolution patterning with EUV interference lithography
Resonant Dielectric Nanostructures for biosensor applications (Invited)

Wang, Lon A. Fabrication of a Binary Phase Grating on a Fiber End by Utilizing Interference Lithography

Wang, Lon Employing Nano Imprint to Fabricate Space-Charge-Limited Transistors (SCLTs)

Wang, Qing Full area real time monitoring of filling process by dark field illumination in UV nanoimprint lithography (Invited)

Wang, Risheng Directed assembly of one-dimensional functional nanostructures

Wang, Shiunchin Bio-Fuel Cell Operational Enhancements using Nanoscale Electrodes

Wang, Si Study of defect mechanisms in partly filled stamp cavities for thermal nano imprint control
Crosslinking control during imprint for hybrid lithography (T-NIL + UV-L)
Preparation of surfaces with patterned roughness for sensing application

Wang, Xiaotie Nanofabrication of Graphene on SiC by Multi-Ion Beam Lithography and Low-Temperature Processing

Wang, Yuan Sub-22nm High Throughput Maskless Nanolithography Using Quasi-3D Plasmonic Lens
An FPGA-based Pattern Generator for Massive Parallel High-Speed Nanolithography System

Wang, Yue Patterning of Sub-10 nm Optical Apertures on Single Crystal Metallic Films with the Helium Ion Microscope (Invited)

Wang, Yuxuan Significant Enhancement of Colloidal Quantum Dots Fluorescence by 3D Disk-dot Coupled Nanoantenna Array

Wanger, Darcy Templated Placement of Colloidal Quantum Dots

Wanzenboeck, Heinz D. Focused Electron Beam Induced Deposition as novel nanofabrication approach for magnetic nanosensors and nanomagnet logic
Magnetic reversal of iron nanowires deposited by Focused Electron Beam Induced Deposition for nanomagnet logic application
Microfluidic Axon-Isolation Device fabricated by Nanoimprint lithography
Fabrication of 3-dimensional Nanoimprint Stamps - A comparison of 4 approaches using FIB
Engineering electrical properties of Silicon nanowires by focused electron beam induced processing with Chlorine

Warisawa, Shin'ichi Superconductivity of tungsten-containing carbon nanowires fabricated by focused-ion-beam chemical vapor deposition
The three-dimensional nanostructure fabrication from HSQ by FIB/EB dual-beam lithography
Quality factor improvement of graphene resonator by SU-8 shrinkage-induced strain
Electron Beam Lithography by Using Self-Assembled Block Copolymer Thin Films as Positive/Negative Tone Combined Resist
Nanomechanical Tuning Forks Fabricated by Focused-ion-beam Chemical Vapor Deposition

Warner, Keith Overcoming 3DIC Technology Hurdles (Invited)

Watanabe, Hidehiro Residual-type mask defect printability for EUV lithography
Dependence of Image Characteristics Dependece on Incident Electron Beam Energy for EUV Mask inspection using Monte Carlo simulation

Watanabe, Takeo Development of Standalone Coherent EUV Scatterometry Microscope for EUV Mask Observation
At-Wavelength EUV Lithography Mask Observation Using a High-Magnification Objective with Three Multilayer Mirrors

Watanabe, Yuuta Filling Characteristics of Imprint Process for Concave Pattern Molds

Wathuthanthri, Ishan Fabrication of Polymer Nanostructures via Maskless O2 Plasma Etching
From Nanocone to Nanodisk: Structural Transformation of Nanoarrays via Mechanical Stresses
Nanopatterning of “Disconnected” Metal Nanostructures on Polydimethylsiloxane (PDMS) Substrate by Using Free-Standing Photoresist Film as Stencil Lithography Mask

Watson, George Pat A superhydrophobic surface made from hydrophilic materials with micro-umbrella structures

Weaver, Al Understanding the sources of unwanted etch in ion beam sputter deposition production of EUV mask blanks

Weaver, John Analysis on probe-sample interaction for scanning near-field photolithography (snp)

Weber-Bargioni, Alex Putting Plasmonic Probes in Perspective: The Case for the Campanile Tip

Weber-Bargioni, Alexander Hyperspectral NanoPhoto Luminescence Spectroscopy on InP Nanowires by Means of “Campanile” Tips
Towards the holy grail of near field optics via reproducibly fabricated optical nano antennae on Scanning Probe Tips

Wegmann, Urs Combined SIMS-SPM instrument for high sensitivity and high resolution elemental 3D analysis

Wei, Jennifer Lithographically-Defined Nanostructures for Color Plasmonic Printing

Weichelt, Tina Mask Aligner Process Simulation for Advanced Lithography and Resolution Enhancement Techniques

Weis, Christoph Improved single ion implantation with scanning probe alignment

Weller, Dieter Fabrication of CoCrPt Alloy Bit Patterned Media at 1 Td/in2 for Recording Measurement

Wi, Jung-Sub Lithographically Designed Porous Plasmonic Nanostructures (Invited)

Wibowo, Andree Via-Hole Fabrication for III-V Triple-Junction Solar Cells

Wiersma, Diederik Hyperspectral NanoPhoto Luminescence Spectroscopy on InP Nanowires by Means of “Campanile” Tips
Towards the holy grail of near field optics via reproducibly fabricated optical nano antennae on Scanning Probe Tips

Wijnands, Marc High-Speed Patterning of Hexagonal Dot Arrays Using Electron-Beam Lithography

William, Thompson Deposition and Characterization of Platinum Wires Deposited by a Neon Gas Field Ion Source

Williams, R. Stanley Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography
Diamond nitrogen-vacancy centers created by scanning focused helium ion beam and annealing
Patterning nitrogen-vacancy color centers in diamond using scanning focused helium ion beam

Wilson, Michelle J. Arrays of Topographically and Peptide-Functionalized Hydrogels for Analysis of Biomimetic Extracellular Matrix Properties  (Invited)

Wind, Shalom Sub-micron scale gold-tipped elastomeric pillar arrays for human T cell activation and culture
Directed assembly of one-dimensional functional nanostructures

Winroth, Gustaf Relationship between Film Thickness Loss and Polymer Deprotection for EUV and ArF Photoresists

Wirtz, Tom Towards Secondary Ion Mass Spectrometry on the Helium Ion Microscope
Combined SIMS-SPM instrument for high sensitivity and high resolution elemental 3D analysis

Wolkow, Robert Tip shaping of gas field ion sources for optimal ion beam generation

Wolters, Janik Embedding and Combining Plasmonic Elements and Photonic Crystal Structures

Wong, H.-S. Philip Directed Self-Assembly for the Semiconductor Industry (Invited)
Design Space for One-hole Pattern using Block Copolymer Directed Self-Assembly

Wong, Simon Monolithic 3D Integration (Invited)

Wonn, Anne Metal Nanoparticle Arrays by Controlled Decomposition of Polymer Particles

Woodfield, Tim B. F. Polymeric Substrates with Bioimprinted Micro- and Nanoscale Topography for Regulation of Chondrocyte Re-Differentiation

Wu, Humeng Deposition and Characterization of Platinum Wires Deposited by a Neon Gas Field Ion Source

Wu, J. C. Fabrication and magnetic properties of hundred-nanometer-scaled permalloy cylinders arrays

Wu, Ji Electrophoretic and electroosmotic flow through carbon nanotube membranes as chemical pumps

Wu, Jiahao Bonding of PMMA nanofluidic devices and its effect on DNA behavior in nanochannels

Wu, Jong-Ching Surface plasmon resonance coupling assisted optical transmission through Ag/SiN /Ag photonic crystal slabs

Wu, Wei Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography
Diamond nitrogen-vacancy centers created by scanning focused helium ion beam and annealing
Patterning nitrogen-vacancy color centers in diamond using scanning focused helium ion beam

Wu, Wengang Focused-Ion-Beam Introduced Bidirectional Bending for Complex Three-Dimensional Structures

Wu, Xiaofei Sensitivity Analysis for Lens Aberration Measurement in Lithographic Tools Using CTC-Based Quadratic Aberration Model

Wu, Yang-Kai Employing Nano Imprint to Fabricate Space-Charge-Limited Transistors (SCLTs)
Fabrication of a Binary Phase Grating on a Fiber End by Utilizing Interference Lithography

Wu, Yi-Kuei Angle Independent Reflective Color Filters by Plasmonic-induced Light Funneling Effect

Wuister, Sander Placement error study of cylindrical phase self-assembly guided by graphoepitaxy

Würfel, Uli Stability and degradation of organic photovoltaic devices fabricated, aged, and characterized by the ISOS 3 inter-laboratory collaboration

Wurm, Stefan EUV Mask Challenges, Status & Closing The Remaining Technology Gaps (Invited)

X

Xia, Xiaoxiang The Sensing Properties of IR Nanostructed Plasmonic Crystals Fabricatied by Electron Beam Lithography and Argon Ion Milling
Enhancement of Light-Emitting Efficiency for GaN-based Light-Emitting Diodes by Nanoscale Bump and Pit ITO Surface

Xiao, Shuaigang Progress and Challenges in Bit Patterned Media Nanofabrication  (Invited)

Xie, Shenqi Thermal control extends heated stencil's life-time

Xing, Huili High Aspect Ratio Features in PMGI using Electron Beam Lithography and Solvent Developers

Xiong, Shaomin Sub-22nm High Throughput Maskless Nanolithography Using Quasi-3D Plasmonic Lens
An FPGA-based Pattern Generator for Massive Parallel High-Speed Nanolithography System

Xiong, Yi Sub-22nm High Throughput Maskless Nanolithography Using Quasi-3D Plasmonic Lens
An FPGA-based Pattern Generator for Massive Parallel High-Speed Nanolithography System

Xu, Jun Focused-Ion-Beam Introduced Bidirectional Bending for Complex Three-Dimensional Structures

Xu, Qian Feng A superhydrophobic surface made from hydrophilic materials with micro-umbrella structures

Xu, Shuang Sensitivity Analysis for Lens Aberration Measurement in Lithographic Tools Using CTC-Based Quadratic Aberration Model

Xu, Wei Fabrication of Polymer Nanostructures via Maskless O2 Plasma Etching
Nanopatterning of “Disconnected” Metal Nanostructures on Polydimethylsiloxane (PDMS) Substrate by Using Free-Standing Photoresist Film as Stencil Lithography Mask

Xu, Xianfan Horizontal Growth of Silicon Nanowire Arrays for Large-Scale Circuit Applications

Xu, Zonggang Focused-Ion-Beam Introduced Bidirectional Bending for Complex Three-Dimensional Structures

Xuan, Yi Sub-20 nm Metal Gratings by Nanoimprint Lithography
Horizontal Growth of Silicon Nanowire Arrays for Large-Scale Circuit Applications
Fabrication of Photonic Devices on Flexible Platform
Fabrication of an 18-layer 3D Woodpile Photonic Crystal via Stacking of Pre-Patterned Free-Standing Membranes

Y

Yabara, Hidebumi Multi-axis and Multi-beam technology for high throughput maskless E-beam lithography

Yaghoobi, Parham Solar Electron Source and Thermionic Solar Cell
Two-photon Photoemission from Carbon Nanotube Arrays under Low-power Ultraviolet Illumination

Yagnyukova, Mariya Nanolithography and pattern transfer of dense sub-10 nm lines

Yam, Jonathan Fabrication of Nano-scale, High Throughput, High Aspect Ratio Freestanding Gratings

Yamaguchi, Hiroshi Superconductivity of tungsten-containing carbon nanowires fabricated by focused-ion-beam chemical vapor deposition
New Technique of Three-Dimensional Nanofabrication in Semiconductors by Using Electron Beam Lithography

Yamamoto, Ryousuke Fabrication of 5 Tb/in2 Bit Patterned Media with Servo Pattern using Directed Self-Assembly

Yamashita, Kouta Optical and Electrical Sensing application of High-Aspect-Ratio Nanoholes Formed by Etching of Latent Tracks

Yamasoe, Kenjiro At-Wavelength EUV Lithography Mask Observation Using a High-Magnification Objective with Three Multilayer Mirrors

Yamazaki, Kenji New Technique of Three-Dimensional Nanofabrication in Semiconductors by Using Electron Beam Lithography

Yan, Minjun Reduction of proximity effects using high-contrast developer in fabricating large-area nanoimprint molds

Yanagihara, Mihiro At-Wavelength EUV Lithography Mask Observation Using a High-Magnification Objective with Three Multilayer Mirrors

Yanez-Soto, Bernardo Arrays of Topographically and Peptide-Functionalized Hydrogels for Analysis of Biomimetic Extracellular Matrix Properties  (Invited)

Yang, Chen Horizontal Growth of Silicon Nanowire Arrays for Large-Scale Circuit Applications

Yang, Haifang Enhancement of Light-Emitting Efficiency for GaN-based Light-Emitting Diodes by Nanoscale Bump and Pit ITO Surface

Yang, Henry Cleaning Induced Imprint Template Erosion

Yang, Joel K.W. Lithographically-Defined Nanostructures for Color Plasmonic Printing

Yang, Joel Plasmonics At The Single-Nanometer Scale  (Invited)

Yang, Wei Electron-beam Patterned PEG Microgels for DNA Detection

Yang, XiaoMin Progress and Challenges in Bit Patterned Media Nanofabrication  (Invited)

Yankov, Vladimir Fabrication of High Resolution Digital Spectrometers-on-Chip

Yao, Yong First lithography results obtained with the 2nd generation MeV proton beam writing facility

Yasuda, Hiroshi Multi-axis and Multi-beam technology for high throughput maskless E-beam lithography

Yasuda, Masaaki Molecular dynamics study on structural modifications of graphene by electron beam irradiation
Molecular simulation of electron-irradiation damages in resist materials (Invited)
Filling Characteristics of Imprint Process for Concave Pattern Molds

Yavuz, Mustafa Patterning of porous silicon nitride membrane by CsCl self assembly

Ye, Ziliang Sub-22nm High Throughput Maskless Nanolithography Using Quasi-3D Plasmonic Lens

Yesilkoy, Filiz Strain Assisted Self Lift-Off Process for the Fabrication Ultra Low Capacitive Antenna Coupled MIM Tunnel Diodes for the Application of Infrared Detection and Energy Harvesting

Yi, He Directed Self-Assembly for the Semiconductor Industry (Invited)
Design Space for One-hole Pattern using Block Copolymer Directed Self-Assembly

yidong, Houi Study of nanospheres lithography technology with super-lens for fabricating nano holes

Yin, Xiaobo Sub-22nm High Throughput Maskless Nanolithography Using Quasi-3D Plasmonic Lens

Yoshida, Hiroshi Directed Self-Assembly of Perpendicularly Oriented Nano-Cylinders with Liquid Crystalline Block Copolymer
Directed Self-assembly with Density Multiplication of 6 tera-dot/in2 Pattern by POSS-Containing Block Copolymer
Etching Process for Patten Transfer from Sphere-type PMMA-b-PMAPOSS Block Copolymer

Yoshida, Takashi Development of MEMS electron-optics bonded on electron emitter array for Massively Parallel EB Lithography System

Yoshikawa, Hiroshi Fabrication of high resolution computer-generated holograms using 3D electron beam lithography.
High Density Pattern Transfer via Roll to Roll Ultraviolet Nanoimprint Lithograpy using Replica Mold

Yost, Donna R. W. Overcoming 3DIC Technology Hurdles (Invited)

Youn, Hong Seok Continuous fabrication of large-area flexible metamaterial via Roll-to-Roll nanopatterning for IR filter applications

Youn, Sung-Won Full area real time monitoring of filling process by dark field illumination in UV nanoimprint lithography (Invited)

Young, Anthony Magneto-optical and X-ray microscopy of nanomagnetic logic components with shape-induced biaxial anisotropy

Youtsey, Chris Via-Hole Fabrication for III-V Triple-Junction Solar Cells

Yu, Hang Fabrication of Nanostructured Hydrophobic Surfaces with Laser Interference Lithography

Yu, Zhaoning Cleaning Induced Imprint Template Erosion

Yun, Cheol Min Release Layer Free Acrylate Resins for Ultraviolet Nanoimprinting Prepared by Adding Segregation Auxiliary Agents

Yushmanov, Peter SEM dimensional metrology on sub-15 nm bit-patterned media using model-based analysis

Yuzawa, Akiko Fabrication of 5 Tb/in2 Bit Patterned Media with Servo Pattern using Directed Self-Assembly

Z

Zaluzec, N.J. Plasmonic Nanostructures Fabricated using Helium and Gallium Ion Beam Instruments.

Zan, Hsiao-Wen Employing Nano Imprint to Fabricate Space-Charge-Limited Transistors (SCLTs)

Zelsmann, Marc Control of the PS-b-PDMS directed self-assembly by silsesquioxane-based graphoepitaxial substrate engineering
Directed Self-Assembled Porous Anodic Alumina by NanoImprinting Lithography

Zento, Toshiyuki High Density Pattern Transfer via Roll to Roll Ultraviolet Nanoimprint Lithograpy using Replica Mold

Zhang, Cheng Angle Independent Reflective Color Filters by Plasmonic-induced Light Funneling Effect
Continuous fabrication of polymer waveguides with smooth sidewalls by Dynamic Nano-Inscribing (DNI) and NanoChannel-guided Lithography (NCL) processes (Invited)

Zhang, Jian Periodic tilted Au structure fabrication by electron beam exposure
Electron beam lithography with evaporated resist

Zhang, Lihua Templated Placement of Colloidal Quantum Dots

Zhang, Weihua Giant and Uniform Fluorescence Enhancement of Organic Dye by 3D Plasmonic NanoCavity Array
Significant Enhancement of Colloidal Quantum Dots Fluorescence by 3D Disk-dot Coupled Nanoantenna Array

Zhang, Wenjun Tunable silver nano-pillar arrays as a surface-enhanced Raman scattering sensor by a self-aligned nanofabrication process

Zhang, Xiang Sub-22nm High Throughput Maskless Nanolithography Using Quasi-3D Plasmonic Lens
An FPGA-based Pattern Generator for Massive Parallel High-Speed Nanolithography System

Zhang, Xianhui 1 nm Thick Chemically Functionalized Carbon Nanomembranes (CNMs): Two-dimensional Materials for Nanoengineering

Zhang, Xu A. Fabrication of Hollow-Shell Nano-volcanoes from Mie Scattering of Colloidal Nanospheres

Zhang, Yuan Analysis on probe-sample interaction for scanning near-field photolithography (snp)

Zhang, Zhiping Monolithic 3D Integration (Invited)

Zhao, Jingyi Calculation of high order aberrations of practical multi-pole type electron optical aberration correctors using a differential algebraic method

Zhao, Lin Horizontal Growth of Silicon Nanowire Arrays for Large-Scale Circuit Applications

Zhao, X. Fast Simulation of Stochastic Exposure Distribution in Electron-beam Lithography

Zhao, Yuning Via-Hole Fabrication for III-V Triple-Junction Solar Cells

Zheng, Zhen Fabrication of dense non-circular nanomagnetic device arrarys using self-limiting low-energy glow-discharge processing

Zhou, Chuandong Modeling of defect transport in EUVL plasma chambers
Understanding the sources of unwanted etch in ion beam sputter deposition production of EUV mask blanks

Zhou, Haiping Nanofabrication of high aspect ratio (~50:1) sub-10 nm silicon nanowires using plasma etch technologies
High aspect ratio (~25:1) sub-10 nm HSQ lines using electron beam lithography

Zhou, Liangcheng New Nanoplasmonic Devices and Fabrication for Large Enhancement and Tunability of Second Harmonic Light Generation
Significant Enhancement of Colloidal Quantum Dots Fluorescence by 3D Disk-dot Coupled Nanoantenna Array

Zhou, Xinjiang Fast Aerial Image Simulation for Partially Coherent Systems by TCC Decomposition with Analytical Kernels (Invited)

Zimmermann, Birger Stability and degradation of organic photovoltaic devices fabricated, aged, and characterized by the ISOS 3 inter-laboratory collaboration

Zimmermann, Claus Potential modulation by plasmonic sub-micron structures for the manipulation of ultracold atomic gas clouds

EIPBN Abstracts