A |
A., Tavakkoli K. G. | Using Self-Assembled Block Copolymer Patterns to Template Ultra–Fine Bilayer Mesh Structures
|
Abbas, A. S. | Water soluble and developable e-beam resist sodium PSS Dry liftoff of metal and organic materials |
Abou-Chaaya, A. | Influence of ionic organization on current blockade induced by translocation of small macromolecule through a single nanopore |
Abrahamsson, S. | Fabrication of a High Efficiency Multilayer Diffractive Phase Grating |
Ahmad, A. | Invited: Scanning Probes for Nanomanufacturing |
Ahmed, S. | Tracing the Kilohertz Rotation of Nanofabricated Nanomotors Propelled by Ultrasound |
AI, Z.K. | Nanoscale Imaging of Plasmonic Structures with A Transmission Photoemission Electron Microscope |
Akinwande, Deji | High-performance graphene electronics on standard SiO2/Si substrates enabled by new synthesis and transfer methods |
Aksyuk, V. | Nanocavity Optomechanical Sensors and Signal Transducers Cavity optical transducer for scanning probe microscopy |
Aksyuk, Vladimir | High-Resolution Imaging and Spectroscopy at High Pressure: A Novel Liquid Cell for the TEM |
Akturk, S. | Bessel-Beam Nano-patterning of Graphene |
Alkemade, P.F.A. | Contacting and structuring graphene by helium ion beam processing |
Alkemade, Paul | Evaluation of EUV resist performance below 20-nm critical dimension using helium ion lithography |
Allen, Robert | Thermal Probe Nanolithography: What You See is What You Get |
Alqarni, S. | Water soluble and developable e-beam resist sodium PSS Dry liftoff of metal and organic materials |
Alshammari, A. | Improved anti-adhesion FOTS coating for imprint mold Fabrication of silicon nanostructures with large taper angle by reactive ion etching Benchtop fabrication method on non-planar surface using SAM as e-beam resist |
Amano, H. | Electron Beam Source using Wide Band Gap Semiconductor Photocathode with an NEA surface |
Amano, Tsuyoshi | Characterization of Small Phase Defect on EUV Mask Using Micro Coherent EUV Scatterometry Microscope |
Amemiya, H. | Surface Force Measurement of Nanoimprint Lithography Molds and Resin Materials |
Andrew, T.L. | Nanopatterning via selective dissolution of one photoisomer |
Andriolo, J. M. | Synthesis and Characterization of Apatite Nanoparticles for Bioengineering Applications |
Angelov, T. | Invited: Scanning Probes for Nanomanufacturing |
Argoud, M. | PMMA removal options for DSA contact shrink application |
Arisue, Y. | Micron-Powder Blending, Transportation and Separation using Surface Acoustic Waves |
Arnold, C. B. | Silicon Nanopillar Anodes for Lithium-Ion Batteries Using Nanoimprint Lithography with Flexible Molds |
Asai, C. Navarro,M. | PMMA removal options for DSA contact shrink application |
Asayama, Y. | Structural Change of Graphene during Electron Beam Process: Molecular Dynamics Study |
Asbahi, M. | ELift-off Free Fabrication of Nano-apertures Using Templated Dewetting |
Asbahi, Mohamed | Reusable Silicon Templates for Fabricating Sub-10-nm Grooves In Metal Films |
Ashby, Paul D. | Revealing Beam-induced Chemistry using Modulus Mapping in Negative-tone EUV/E-beam resists with and without cross-linker additives |
Auzelyte, V. | Microtechnology to Taste - Holographic chocolate for the supermarket |
Azuma, Tsukasa | A Novel sub-10 nm Half Pitch Pattern Transfer Process using Directed Self-Assembly Lithography |
B |
Babin, S. | Direct Printing of Planar Photonic Circuits with High Refractive Index |
Bagal, Abhijeet | Fabrication of ZnO Nano-Spring for Transparent Stretchable Conductor
Fabrication of ZnO Three-Dimensional Hierarchical Nanostructures for Wicking Applications |
Bai, W. | Using Self-Assembled Block Copolymer Patterns to Template Ultra–Fine Bilayer Mesh Structures |
Baker, Bowen | Measurement of the electron-beam-lithography point-spread function by chromatic-aberration-corrected transmission electron microscopy and spectroscopy |
Balakrishnan, K. | Nanofabrication of gate-all-around silicon nanowire MOSFETs for the 10 nm node and beyond |
Balakrishnan, Srinivasan | Design and Customization of Directed Self-Assembly Patterns |
Balanzat, E. | Hybrid biological-artificial nanopore based on biological channel confinement inside a track-etched nanopore
Influence of ionic organization on current blockade induced by translocation of small macromolecule through a single nanopore |
Balk, A. L. | Tracing the Kilohertz Rotation of Nanofabricated Nanomotors Propelled by Ultrasound |
Ballard, J. | Patterned Epitaxial Growth of Ge nanostructures |
Ballard, Josh | Progress Towards NEMS Devices based on STM-fabricated Hydrogen Depassivation Patterns |
Balme, S. | Hybrid biological-artificial nanopore based on biological channel confinement inside a track-etched nanopore
Influence of ionic organization on current blockade induced by translocation of small macromolecule through a single nanopore |
Balram, K.C. | Nanocavity Optomechanical Sensors and Signal Transducers |
Bangsaruntip, S. | Nanofabrication of gate-all-around silicon nanowire MOSFETs for the 10 nm node and beyond |
Barbara, Santa | Defect Reduction of Peanut-Shaped Direct Self-Assembly using Hompolymer |
Bardin, Joseph | Nanoscale Resistance Switches for Radio Frequency Applications |
Barros, P. Pimenta | PMMA removal options for DSA contact shrink application |
Bassim, N. D. | Ion Beam Micromachining of Surface Phonon-Polariton Metamaterials Structures in SiC and h-BN |
Bauerdick, S. | Ion Column and Source technology employing Gallium and New Ion Species for Advanced FIB Nanofabrication |
Bayn, Igal | Nanolithography Using Transferrable Membrane Mask For Unconventional Substrates |
Becerra, L. | Fabrication of free standing copper nanowires for vibrational response study through transient reflectivity |
Bechelany, M. | Hybrid biological-artificial nanopore based on biological channel confinement inside a track-etched nanopore
Influence of ionic organization on current blockade induced by translocation of small macromolecule through a single nanopore |
Beisel, J. D. | Modified Electronic Properties of Nanoscale Polymer Fibers via Nanoparticle Doping with Melt and Solvent Electrospinning |
Bekaert, J. | Readying Directed Self-Assembly for Patterning in Semi-Conductor Manufacturing |
Belliard, L. | Fabrication of free standing copper nanowires for vibrational response study through transient reflectivity |
Benk, M. | Characterization of Telecentricity Errors in High-Numerical-Aperture Extreme Ultraviolet Mask Images |
Benkel, Cristian | Anti-wetting surfaces fabricated by Reverse Nanoimprint Lithography on Silicon and metal-coated substrates |
Berggren, K. K. | Using Self-Assembled Block Copolymer Patterns to Template Ultra–Fine Bilayer Mesh Structures
Nanofabrication and Characterization of Ultrafast Plasmonic Au Nanorod Array Photocathodes
Selective nanowire fabrication in niobium nitride using sacrificial proximity-effect-correction features in HSQ |
Berggren, K. | High-Resolution Templated Hydrothermal Growth of ZnO Nanowires
Metal Adhesion Layer Induced Damping of Surface Plasmons Probed by Photoelectron Emission
Three-Dimensional Nanofabrication Using HSQ/PMMA Bilayer Resists |
Berggren, Karl K. | Measurement of the electron-beam-lithography point-spread function by chromatic-aberration-corrected transmission electron microscopy and spectroscopy |
Bergmair, I. | Cloning of a fully functional Si-based photonic integrated circuit by ultraviolet nanoimprint lithography
Large-area ultraviolet nanoimprint lithography for the detailed understanding of silicon-germanium island nucleation on pit-patterned silicon substrates Fabrication of graphene-based devices by Ultraviolet Nanoimprint Lithography |
Bertani, P. | Fabrication of 3D Nano-channel Electroporation Chip for High Throughput Cell Transfection |
Biafore, J. | Design Strategy for Improving EUV Contact Hole Resist Performance |
Bickford, J. | HSQ on SOI Proximity and Process Loading Effects Correction from a Single 1D Characterization Sample |
Bilenberg, Brian | Anti-wetting surfaces fabricated by Reverse Nanoimprint Lithography on Silicon and metal-coated substrates |
Black, C. T. | Block Copolymer Self Assembly for Design and Vapor-Phase Synthesis of Nanostructured Materials |
Blackwell, James | Revealing Beam-induced Chemistry using Modulus Mapping in Negative-tone EUV/E-beam resists with and without cross-linker additives |
Blaikie, R. | Ultra-high NA, High Aspect Ratio Interference Lithography with Resonant Dielectric Underlayers
|
Blakborn, I.A. | Reference markers for e-beam lithography with Electron Beam Induced Deposition |
Blenskens, D. | Guided wrinkling with nanoimprinted SU-8 surfaces |
Boese, M. | A Mirror-Corrected Scanning Electron Microscope |
Bokhoven, J. A. van | High-resolution and large-area nanoparticle arrays for model systems in catalysis using EUV lithography techniques |
Bonnaud, X. | Interactions of Higher Order Tip Effects in CD-AFM Linewidth Metrology |
Bonnecaze, R.T. | Computational Design Tools for Directed Self-Assembly of Particles on Surfaces |
Bourhis, E. | Fabrication of zero-mode waveguides with a high-resolution FIB nanowriter
Focused Ion Beam Direct Patterning of Suspended Two-Dimensional Electron Gases |
Bourke, L. | Ultra-high NA, High Aspect Ratio Interference Lithography with Resonant Dielectric Underlayers
|
Brandt, P. | Electron Shot Noise Effects For 5keV EBDW |
Bresin, M. | Etching of copper using liquid reactants and a focused electron beam |
Breslin, C. M. | Nanofabrication of gate-all-around silicon nanowire MOSFETs for the 10 nm node and beyond |
Brink, M. | Nanofabrication of gate-all-around silicon nanowire MOSFETs for the 10 nm node and beyond |
Brink, Markus | High Resolution Patterning with Electron-Beam Lithography and Sidewall Image Transfer
Design and Customization of Directed Self-Assembly Patterns |
Brintlinger, T. | Focused Gold Ion Implantation Into Insulators For Conducting Wires |
Bruce, R. L. | Nanofabrication of gate-all-around silicon nanowire MOSFETs for the 10 nm node and beyond |
Bruchhaus, L. | Ion Column and Source technology employing Gallium and New Ion Species for Advanced FIB Nanofabrication |
Brue, Daniel | Graphoeptiaxy directed self-assembly of lamellar phase symmetric block copolymers in the presence of two dimensional template patterns |
Brugger, J. | Microtechnology to Taste - Holographic chocolate for the supermarket |
Bruno, G. | Fabrication of graphene-based devices by Ultraviolet Nanoimprint Lithography |
Budach, M. | Nanoscale Focused Electron Beam Induced Etching: Monte Carlo Simulations |
Budden, M. | Invited: Scanning Probes for Nanomanufacturing |
Bugrov, A. | Direct Printing of Planar Photonic Circuits with High Refractive Index |
Burgués-Ceballos, Ignasi | Fabrication of embedded silver grid electrodes for ITO-free organic solar cells applications |
Burnell, G. | Fabrication and Measurement of Artificial Spin Ice |
Bustos, J. | Roughness mitigation techniques for electron beam lithography |
C |
Cabello-Aguilar, S. | Hybrid biological-artificial nanopore based on biological channel confinement inside a track-etched nanopore
Influence of ionic organization on current blockade induced by translocation of small macromolecule through a single nanopore |
Cabrini, S. | Combining Plasmonics and Nanofluidics for Single Molecule Detection
Step-and-Repeat Nanoimprinting on pre-spin coated film: from sub-15 nm metal patterning to the fabrication of a spectrometer-on-chip Direct Printing of Planar Photonic Circuits with High Refractive Index
Photonic integrated spectrometer-on-chip based on digital planar holograms |
Cadarso, V. J. | Microtechnology to Taste - Holographic chocolate for the supermarket |
Cai, H. | A versatile single-molecule nanoarray platform for T-cell activation |
Calafiore, G. | Step-and-Repeat Nanoimprinting on pre-spin coated film: from sub-15 nm metal patterning to the fabrication of a spectrometer-on-chip Direct Printing of Planar Photonic Circuits with High Refractive Index
Photonic integrated spectrometer-on-chip based on digital planar holograms |
Caldwell, J. D. | Ion Beam Micromachining of Surface Phonon-Polariton Metamaterials Structures in SiC and h-BN |
Callahan, Ryan | Negative tone imaging with EUV exposure |
Cambridge | Selective nanowire fabrication in niobium nitride using sacrificial proximity-effect-correction features in HSQ |
Cameron, J. | Design Strategy for Improving EUV Contact Hole Resist Performance |
Campoy-Quiles, Mariano | Fabrication of embedded silver grid electrodes for ITO-free organic solar cells applications |
Canesqui, M. A. | Spacer Lithography for 3D MOS Devices Using Amorphous Silicon Deposited by ECR-CVD |
Cannarella, J. | Silicon Nanopillar Anodes for Lithium-Ion Batteries Using Nanoimprint Lithography with Flexible Molds |
Cantu, P. | Nanopatterning via selective dissolution of one photoisomer |
Cao, K. | Metal-containing Polymer as Electron Beam Resist with High Resolution and High Etching Resistivity |
Cao, M. | An anisotropic low power, low DC bias, SF6/C4F8 inductively coupled plasma etch process of molybdenum with critical dimension of 30nm suitable for compound semiconductor devices |
Cao, Y. | Readying Directed Self-Assembly for Patterning in Semi-Conductor Manufacturing |
Cao, Zhen | Design of Off-axial Objective for High-NA EUVL Using Free-form Surface Mirrors |
Cassinelli, M. | Fabrication of free standing copper nanowires for vibrational response study through transient reflectivity |
Chabal, Y. J. | Patterned Epitaxial Growth of Ge nanostructures |
Chabal, Yves | Progress Towards NEMS Devices based on STM-fabricated Hydrogen Depassivation Patterns |
Chaik, K. | RF sputtering of AlN on 3C-SiC (100) thin film: Parameters affecting the AlN crystal orientation and deposition rate |
Chaker, M. | Determination of experimental spot sizes & acid diffusion lengths in CAR resist for e-beam lithography at 100 kV and 5 kV |
Chan, B.T. | Readying Directed Self-Assembly for Patterning in Semi-Conductor Manufacturing |
Chan, Barbara P. | Distribution of diamond NV centers created by focused helium ion beam exposure and annealing |
Chang, C.-H. | Three-Dimensional Nanolithography Using Colloidal Nanospheres with Oblique and Multiple Exposures
Fabrication of Three-Dimensional Periodic Nanostructures in Negative Photoresist Using a Colloidal Phase Mask
Design of Hierarchical Three-Dimensional Porous Nanostructures using Template-Directed Colloidal Assembly |
Chang, C. | Vertical Directionality Controlled Metal Assisted Chemical Etching for Ultra-High Aspect Ratio Nanoscale Structures |
Chang, Chih-Hao | Fabrication of ZnO Nano-Spring for Transparent Stretchable Conductor
Fabrication of ZnO Three-Dimensional Hierarchical Nanostructures for Wicking Applications |
Chang, J. | Three-Dimensional Nanofabrication Using HSQ/PMMA Bilayer Resists |
Chang, L.Q. | Fabrication of 3D Nano-channel Electroporation Chip for High Throughput Cell Transfection |
Chang, Mike | Dimensionality, Heat Transfer and Light-activated Cathodes |
Chang, S. | High-Resolution Templated Hydrothermal Growth of ZnO Nanowires |
Chayaa, A. Abou | Hybrid biological-artificial nanopore based on biological channel confinement inside a track-etched nanopore |
Chen, Che-Chin | Metamaterials Constructed by Three Dimensional Split Ring Resonators |
Chen, Daixie | Application of Metrological Scanning Electron Microscopy in micron and nanometer structure measurement |
Chen, H. | New Plasmonic Nanocavity Organic Light-Emitting Diode with Significantly Enhanced Light Extraction, Contrast, Viewing Angle, Brightness and Low-Glare |
Chen, Hong-Ming | Focused Ion Beam for Biological Studies |
Chen, J. | Low Cost Fabrication of Ultra-Sensitive Micro Structures and Its Characterization |
Chen, L. | Fabrication of a High Efficiency Multilayer Diffractive Phase Grating
Scanning Ion Microscopy with Low Energy Lithium Ions
Optimizing SiO2 Hard Etch Masks for Atomic Scale Patterning |
Chen, Lee | Plasma Properties for the etching of Fine Lines and High Aspect Ratio holes |
Chen, M. | Fabrication of Multi-Bit Data Storage Memories Based on Multilayer MoS2
Plasma-Assisted Doping for Fabricating MoS2 Diodes and Ambipolar Transistors |
Chen, Mikai | Fabrication of Vertically-Stacked MoS2-Based Thin-Film Photovoltaic Devices |
Chen, S. | Source gas distribution measurement in focused-ion-beam chemical vapor deposition using graphene sensing device |
Chen, Xiuguo | Accurate dimensional monitoring of e-beam patterned grating structures by Mueller matrix polarimetry
Robust profile reconstruction in optical scatterometry |
Chen, Y. | Fabrication of plastic high-aspect-ratio microfluidic devices for rare cell isolation using a PDMS stamp |
Chen, Yanfeng | Fabrication of nano-patterned sapphire substrate by hybrid nano-imprint lithography in combination with nickel as etching mask
A Thiol-ene Degradable Resist for Hybrid Nanoimprint-soft Lithography |
Chen, YF | Sub-wavelength gold nano pillars for high sensitive LSPR sensors |
Chen, Yifang | Multistep Aztec profile by an iteration process using 3D electron beam lithography for angle resolved micro-spectrometers
Effect of resist sensitivity difference on the T shape profile by Monte Carlo simulation
Monte Carlo simulation and developing study of resist profile for high aspect ratio Fresnel zone plates |
Chen, Zhihong | Self-aligned Edge Contacts for 2D Layered Systems |
Cheng, G. | Transfer-Free Wafer-Scale Growth of Graphene on Thin-Film Copper |
Cheng, J. | High-Resolution Templated Hydrothermal Growth of ZnO Nanowires |
Cheng, Joy | Graphoeptiaxy directed self-assembly of lamellar phase symmetric block copolymers in the presence of two dimensional template patterns Design and Customization of Directed Self-Assembly Patterns |
Cheng, S.L. | Nanofabrication of gate-all-around silicon nanowire MOSFETs for the 10 nm node and beyond |
Cheng, X. | Nanoimprint Mold with Integrated Heater for Synergistic Thermal and UV Nanoimprint |
Cheong, Lin Lee | Thermal Probe Nanolithography: What You See is What You Get |
Chevalier, X. | PMMA removal options for DSA contact shrink application |
Chiou, W. A. | Enhanced Removal of Material by FIB Etching at Glancing Angle of Incidence in Cross-Sectioning Application |
Chiou, W. | Effects of Beam Raster Parameters and Up/Down Slope Direction on Multiple-Raster Etching of Material by Focused Ion Beam at Glancing Angle of Incidence |
Chisholm, M.F. | Atom by Atom Analysis and Nanolithography |
Choi, C.-H. | Patterning of Large-Area Graphene Nanostructures via Holographic Lithography and O2 Plasma Etching
Nanoparticles-Decorated Nanocone Array of Gold for Anti-Reflective Enhancement of SERS Sensing
Patterning of High-Aspect-Ratio Nanostructures on Microtrenches using Stencil Lithography of Free-Standing Tri-Layer Membrane |
Choi, J. | Experimental Verification of Achieving Vertical Sidewall for Nanoscale Features in Electron-beam Lithography
Determination and Analysis of Minimal Dose for Achieving Vertical Sidewall in Electron-beam Lithography |
Choi, K.B> | Nanoimprint for Illumination Module to Control the Emitted Light using Flexibility Enhanced SCIL Mold |
Choi, Seong Soo | Fabrication of Au Nanopore on Pyramid using various electron beam and Ion Beam techniques |
Chou , Stephen Y. | Coffee-ring Effect in Concentrating Analytes in 3D Cavity Array for Ultra-sensitive Detection |
Chou, S. Y. | New Plasmonic Nanocavity Organic Light-Emitting Diode with Significantly Enhanced Light Extraction, Contrast, Viewing Angle, Brightness and Low-Glare |
Chou, S.Y. | Silicon Nanopillar Anodes for Lithium-Ion Batteries Using Nanoimprint Lithography with Flexible Molds |
Chou, Stephen Y. | Demonstration of High Transmittance Color Filter Using Plasmonic Nanocavity Array (D2PA) Fabricated by Nanoimprint
Nanoplasmonic Cavity Enhanced Microfluidic Immunoassay with 5-minutes Incubation Time, 7.8 pM Sensitivity and 100 nL Sample |
Chu, J. | Low Cost Fabrication of Ultra-Sensitive Micro Structures and Its Characterization |
Chu, Mingzhang | Application of Metrological Scanning Electron Microscopy in micron and nanometer structure measurement |
Chu, Tao | Self-aligned Edge Contacts for 2D Layered Systems |
Chun, J.S. | Design Strategy for Improving EUV Contact Hole Resist Performance |
Coady, Daniel J. | Thermal Probe Nanolithography: What You See is What You Get |
Cohen, G. M. | Nanofabrication of gate-all-around silicon nanowire MOSFETs for the 10 nm node and beyond |
Cohen, R. | A Rapid Diagnostic Method to Detect Single Wall Carbon Nanotubes in Nanoscale Windows |
Coley, S. | Design Strategy for Improving EUV Contact Hole Resist Performance |
Con, C. | Benchtop fabrication method on non-planar surface using SAM as e-beam resist |
Constancias, C. | Determination of experimental spot sizes & acid diffusion lengths in CAR resist for e-beam lithography at 100 kV and 5 kV
5keV Ebeam lithography for 16nm half pitch resolution |
Constantoudis, V. | Ultra-High Aspect Ratio, Plasma Etched Silicon Nanowires: Optical Properties and Mechanical Stability
Nanopatterned surfaces via colloidal lithography and plasma processing applied to selective protein immobilization and superhydrophobicity Feature proximity effects on the roughness and size variability of electron beam contact patterns |
Cornelius, T.W. | Fabrication of free standing copper nanowires for vibrational response study through transient reflectivity |
Cui, B. | Lift-off using solvent for negative electron beam resist by ultra-low energy exposure
Water soluble and developable e-beam resist sodium PSS
Metal-containing Polymer as Electron Beam Resist with High Resolution and High Etching Resistivity
Dry liftoff of metal and organic materials
Improved anti-adhesion FOTS coating for imprint mold
Smooth Au Film by Annealing for Enhanced Extraordinary Optical Transmission
Fabrication of silicon nanostructures with large taper angle by reactive ion etching
Benchtop fabrication method on non-planar surface using SAM as e-beam resist |
Cui, Bo | Numerical Investigation of Optical Behavior of Nano-hole Array with Non-vertical Sidewall Profile |
Cui, Yushuang | Fabrication of nano-patterned sapphire substrate by hybrid nano-imprint lithography in combination with nickel as etching mask
A Thiol-ene Degradable Resist for Hybrid Nanoimprint-soft Lithography |
Cullinan, M.A. | Transfer-Free Wafer-Scale Growth of Graphene on Thin-Film Copper |
Cummings, Kevin | The Litho Roadmap: Is it a straight path? |
Cybulski, J. | Foldscope: Origami-Based Paper Microscope |
D |
D.S.Pickard | Nanoscale Imaging of Plasmonic Structures with A Transmission Photoemission Electron Microscope |
Dahmardeh, Masoud | Dimensionality, Heat Transfer and Light-activated Cathodes |
Dai, B. | Three-Dimensional Nanolithography Using Colloidal Nanospheres with Oblique and Multiple Exposures
Design of Hierarchical Three-Dimensional Porous Nanostructures using Template-Directed Colloidal Assembly |
Dai, Q. | Determination and Analysis of Minimal Dose for Achieving Vertical Sidewall in Electron-beam Lithography |
Dal’Zotto , B. | Determination of experimental spot sizes & acid diffusion lengths in CAR resist for e-beam lithography at 100 kV and 5 kV |
Dal’Zotto, B. | 5keV Ebeam lithography for 16nm half pitch resolution |
Dandley, Erinn | Fabrication of ZnO Nano-Spring for Transparent Stretchable Conductor
Fabrication of ZnO Three-Dimensional Hierarchical Nanostructures for Wicking Applications |
Daniels-Race, T. | A Clinical Probe Utilizing Surface Enhanced Raman Scattering |
Danzberger, J. | Cloning of a fully functional Si-based photonic integrated circuit by ultraviolet nanoimprint lithography
Large-area ultraviolet nanoimprint lithography for the detailed understanding of silicon-germanium island nucleation on pit-patterned silicon substrates |
Davanco, M. | Fabrication of a High Efficiency Multilayer Diffractive Phase Grating
Nanocavity Optomechanical Sensors and Signal Transducers |
David, S. | Hydrocarbonaceous contamination growth induced by resists outgassing under e-beam radiation |
Davilla, S. | High voltage EDS on a low Voltage FESEM |
Davydov, A. | Transfer-Free Wafer-Scale Growth of Graphene on Thin-Film Copper |
De Gendt, S. | Focused Ion Beam milling for Si nanowire and Junctionless transistor prototype |
de Koning, A.L.G.C. | Reference markers for e-beam lithography with Electron Beam Induced Deposition |
Déjardin, P. | Hybrid biological-artificial nanopore based on biological channel confinement inside a track-etched nanopore |
Dejardin, P. | Influence of ionic organization on current blockade induced by translocation of small macromolecule through a single nanopore |
Dekkers, H. F. W. | Focused Ion Beam milling for Si nanowire and Junctionless transistor prototype |
Delachat, F. | Determination of experimental spot sizes & acid diffusion lengths in CAR resist for e-beam lithography at 100 kV and 5 kV |
Delaney, Kris T. | Defect Reduction of Peanut-Shaped Direct Self-Assembly using Hompolymer |
Delaunary, J-J. | Fabrication of the optomechanical resonator with U-shaped cavities for the wavelength detection |
Delaunay, J. J. | Morphology Control of Anodic Porous Alumina Using Nanoimprinting |
Delft, TU | Contacting and structuring graphene by helium ion beam processing
Contacting and structuring graphene by helium ion beam processing |
Delgadillo, P. Rincon | Readying Directed Self-Assembly for Patterning in Semi-Conductor Manufacturing |
Dellby, N. | Atom by Atom Analysis and Nanolithography |
Deng, Mengmeng | Fabrication of nano-patterned sapphire substrate by hybrid nano-imprint lithography in combination with nickel as etching mask |
Depoil, D. | A versatile single-molecule nanoarray platform for T-cell activation |
Dew, S. | Programmed Self-assembly of Microscale Components Using Biomolecular Recognition |
Dey, R. | Lift-off using solvent for negative electron beam resist by ultra-low energy exposure |
Dhima, K. | Effect of residual stress on replication fidelity with nanoimprint
Impact of morphology on T-NIL with semi-crystalline P3HT
Guided wrinkling with nanoimprinted SU-8 surfaces |
Dhuey, S. | Combining Plasmonics and Nanofluidics for Single Molecule Detection
Step-and-Repeat Nanoimprinting on pre-spin coated film: from sub-15 nm metal patterning to the fabrication of a spectrometer-on-chip Direct Printing of Planar Photonic Circuits with High Refractive Index
Photonic integrated spectrometer-on-chip based on digital planar holograms |
Dhuey, Scott | Revealing Beam-induced Chemistry using Modulus Mapping in Negative-tone EUV/E-beam resists with and without cross-linker additives |
Dick, D. | Patterned Epitaxial Growth of Ge nanostructures |
Dick, Don | Progress Towards NEMS Devices based on STM-fabricated Hydrogen Depassivation Patterns |
Digianantonio, L. | Direct Printing of Planar Photonic Circuits with High Refractive Index |
Dimitrijev, S. | RF sputtering of AlN on 3C-SiC (100) thin film: Parameters affecting the AlN crystal orientation and deposition rate |
Ding, B. | Ultra-high NA, High Aspect Ratio Interference Lithography with Resonant Dielectric Underlayers
Construction of 3D Plasmonic Chiral Nanostructures on DNA Template |
Ding, Fei | Coffee-ring Effect in Concentrating Analytes in 3D Cavity Array for Ultra-sensitive Detection |
Ding, J. | Patterning of Large-Area Graphene Nanostructures via Holographic Lithography and O2 Plasma Etching
Patterning of High-Aspect-Ratio Nanostructures on Microtrenches using Stencil Lithography of Free-Standing Tri-Layer Membrane |
Ding, W. | New Plasmonic Nanocavity Organic Light-Emitting Diode with Significantly Enhanced Light Extraction, Contrast, Viewing Angle, Brightness and Low-Glare |
Ding, Y. | Electrowetting on Microbowl-Array Patterned SU-8 Surfaces Generated by Electron-Beam Lithography |
Ding, Y.C. | Fabrication of Patterned TiO2 Nanorod Arrays by Laser Scanning Technology for Dye-sensitized Solar Cells |
Ding, Yucheng | White organic light emitting diodes with enhanced light extraction and self-cleaning property served by ZnO nanopillars
Dynamic modeling of Electrohydrodynamic Patterning by Moving Mesh Method
Electrohydrodynamic Analysis of L-DEP Force Driven Imprinting Process by Coupling L-DEP Theory and Two Phase Flow Method
Fabrication of Microlens Arrays with Controllable Numerical Aperture Based on Electrically Induced Dewetting by Spatially Modulcated Electric Field |
Diniz, J. A. | Focused Ion Beam milling for Si nanowire and Junctionless transistor prototype
Spacer Lithography for 3D MOS Devices Using Amorphous Silicon Deposited by ECR-CVD |
Division, Tech. | Atom by Atom Analysis and Nanolithography |
Dixson, R. | Interactions of Higher Order Tip Effects in CD-AFM Linewidth Metrology |
Do, H. | Three-Dimensional Nanofabrication Using HSQ/PMMA Bilayer Resists |
Dodd, S. | Micro- and Nanofabrication for Enhanced Magnetic Resonance Imaging and Sensing |
Doerk, Gregory | Design and Customization of Directed Self-Assembly Patterns |
Doi, I. | Spacer Lithography for 3D MOS Devices Using Amorphous Silicon Deposited by ECR-CVD |
Dong, T. | Synthesis of Graphene Nanoribbons from Amyloid Templates by Solid-Phase Graphitization using Gallium as Catalyst and Their Electrical Properties |
Dong, Zhaogang | Reusable Silicon Templates for Fabricating Sub-10-nm Grooves In Metal Films |
Donovan, Brian F. | Generation and detection of surface acoustic wave decay on an electron-beam patterned substrate with a conformal metal coating |
dos Santos, M.V. Puydinger | Focused Ion Beam milling for Si nanowire and Junctionless transistor prototype |
dos Santos, M.V.P. | Spacer Lithography for 3D MOS Devices Using Amorphous Silicon Deposited by ECR-CVD |
Douglas, Jack F. | DNA Origami-Gold Nanoparticle Constructs: DNA Origami-Gold Nanoparticle Constructs: Design for Nanomanufacturing |
Doxastakis, M. | Acid catalyst transport in chemically amplified resist films |
Drndic, Marija | Nanosculpting devices with electrons in the transmission electron microscope and applications |
Drogoff, B. Le | Determination of experimental spot sizes & acid diffusion lengths in CAR resist for e-beam lithography at 100 kV and 5 kV |
Du, C. | Single-Mode-Resonance interference in photoresist sub-micron waveguide for high exposure depth nanolithography |
Du, J. | Single-Mode-Resonance interference in photoresist sub-micron waveguide for high exposure depth nanolithography |
Du, K. | Patterning of Large-Area Graphene Nanostructures via Holographic Lithography and O2 Plasma Etching
Nanoparticles-Decorated Nanocone Array of Gold for Anti-Reflective Enhancement of SERS Sensing
Patterning of High-Aspect-Ratio Nanostructures on Microtrenches using Stencil Lithography of Free-Standing Tri-Layer Membrane |
Du, W. | Low Cost Fabrication of Ultra-Sensitive Micro Structures and Its Characterization |
Du, Wei | Reusable Silicon Templates for Fabricating Sub-10-nm Grooves In Metal Films |
Duerig, Urs | Thermal Probe Nanolithography: What You See is What You Get |
Durrani, Z. A. K. | Fabricating Nanoscale Tunnel Junction for IETS Measurements |
Durrani, Z. | Varying gate layout nanowire single-electron defined by electron beam lithography |
Dustin, M. | A versatile single-molecule nanoarray platform for T-cell activation |
E |
Edinger, K. | Nanoscale Focused Electron Beam Induced Etching: Monte Carlo Simulations |
Ehr, J. R. Von | Patterned Epitaxial Growth of Ge nanostructures |
Ehr, Jim Von | Progress Towards NEMS Devices based on STM-fabricated Hydrogen Depassivation Patterns |
Eichfeld, C. M. | Temperature Dependent Effective Process Blur and Its Impact on Process Latitude and Lithographic Targets Using E-Beam Simulation and Proximity Effect Correction |
Einwögerer, B. | Fabrication of graphene-based devices by Ultraviolet Nanoimprint Lithography |
Einwögerer, B. | Cloning of a fully functional Si-based photonic integrated circuit by ultraviolet nanoimprint lithography |
Ekinci , Y. | High-Throughput Fabrication of High-Aspect-Ratio Metal Nanostructures for Biosensing Applications |
Ekinci, Y. | High-resolution and large-area nanoparticle arrays for model systems in catalysis using EUV lithography techniques
Beyond EUV Lithography for Reaching Future Technological Nodes |
Ekinci, Yasin | Accurate dimensional monitoring of e-beam patterned grating structures by Mueller matrix polarimetry |
Eldredge, D. A. | Modified Electronic Properties of Nanoscale Polymer Fibers via Nanoparticle Doping with Melt and Solvent Electrospinning |
Elek, J. E. | Design of Hierarchical Three-Dimensional Porous Nanostructures using Template-Directed Colloidal Assembly |
Ellinas, K. | Nanopatterned surfaces via colloidal lithography and plasma processing applied to selective protein immobilization and superhydrophobicity |
Engelmann, S. U. | Nanofabrication of gate-all-around silicon nanowire MOSFETs for the 10 nm node and beyond |
Engelmann, Sebastian U. | High Resolution Patterning with Electron-Beam Lithography and Sidewall Image Transfer |
Englund, D. | Selective nanowire fabrication in niobium nitride using sacrificial proximity-effect-correction features in HSQ |
Englund, Dirk | Nanolithography Using Transferrable Membrane Mask For Unconventional Substrates |
Erickson, A. H. | Modified Electronic Properties of Nanoscale Polymer Fibers via Nanoparticle Doping with Melt and Solvent Electrospinning |
F |
Fabrizio, Enzo Di | Nanostructures for interdisciplinary studies |
Fang, Tiegang | Fabrication of ZnO Three-Dimensional Hierarchical Nanostructures for Wicking Applications |
Farrow, R. C. | A Rapid Diagnostic Method to Detect Single Wall Carbon Nanotubes in Nanoscale Windows |
Feldbaum, Michael | Lithography Challenges and Opportunities in Bit Patterned Media at 1.5 Tdots/in2 and Beyond |
Feldman, M. | A Clinical Probe Utilizing Surface Enhanced Raman Scattering |
Ferhatd, M. | Effect of processing parameters on self-assembly of cylindrical phase PS-b-PMMA BCPs on 300 mm wafers |
Fernandez-Cuesta, I. | Combining Plasmonics and Nanofluidics for Single Molecule Detection |
Fernández, Ariadna | Anti-wetting surfaces fabricated by Reverse Nanoimprint Lithography on Silicon and metal-coated substrates |
Ferranti, D. | The High Brightness Neon Beam: From Source to Sample |
Ferraro, M. | Computational Design Tools for Directed Self-Assembly of Particles on Surfaces |
Filho, J. Godoy | Focused Ion Beam milling for Si nanowire and Junctionless transistor prototype
Spacer Lithography for 3D MOS Devices Using Amorphous Silicon Deposited by ECR-CVD |
Fisher, F. T. | Patterning of Large-Area Graphene Nanostructures via Holographic Lithography and O2 Plasma Etching |
Fliervoet , Timon | Evaluation of EUV resist performance below 20-nm critical dimension using helium ion lithography |
Flynn, B. | Temperature Programmed and Electron Stimulated Desorption From HafSOx Inorganic Resists |
Fourmy, D. | Fabrication of zero-mode waveguides with a high-resolution FIB nanowriter |
Fowlkes, Jason D. | Purification Strategies for Electron Beam Induced Deposition |
Fredrickson, Glenn H. | Defect Reduction of Peanut-Shaped Direct Self-Assembly using Hompolymer |
Fridmann, J. | Ion Column and Source technology employing Gallium and New Ion Species for Advanced FIB Nanofabrication
Non-gallium Focused Ion Beam Nanofabrication of III-V Materials |
Friz, Alexander | Design and Customization of Directed Self-Assembly Patterns |
Fromherz, T. | Cloning of a fully functional Si-based photonic integrated circuit by ultraviolet nanoimprint lithography
Large-area ultraviolet nanoimprint lithography for the detailed understanding of silicon-germanium island nucleation on pit-patterned silicon substrates Fabrication of graphene-based devices by Ultraviolet Nanoimprint Lithography |
Fu, J. | Optimizing SiO2 Hard Etch Masks for Atomic Scale Patterning |
Fu, Joseph | Progress Towards NEMS Devices based on STM-fabricated Hydrogen Depassivation Patterns |
Fujimori, Toru | Negative tone imaging with EUV exposure |
Fujino, Takahiro | Characterization of Small Phase Defect on EUV Mask Using Micro Coherent EUV Scatterometry Microscope |
Fujita, J. | In situ visualization of local magnetic field using low-acceleration electron beam in scanning electron microscope
Synthesis of Graphene Nanoribbons from Amyloid Templates by Solid-Phase Graphitization using Gallium as Catalyst and Their Electrical Properties |
Fujiwara, Tomoharu | A Novel sub-10 nm Half Pitch Pattern Transfer Process using Directed Self-Assembly Lithography |
G |
Gallego-Perez, D. | Fabrication of 3D Nano-channel Electroporation Chip for High Throughput Cell Transfection |
Gao, F. | Single-Mode-Resonance interference in photoresist sub-micron waveguide for high exposure depth nanolithography |
Gaskins, John T. | Generation and detection of surface acoustic wave decay on an electron-beam patterned substrate with a conformal metal coating |
Gawalt, David P. | Generation and detection of surface acoustic wave decay on an electron-beam patterned substrate with a conformal metal coating |
Ge, Haixiong | Fabrication of nano-patterned sapphire substrate by hybrid nano-imprint lithography in combination with nickel as etching mask
A Thiol-ene Degradable Resist for Hybrid Nanoimprint-soft Lithography
Creation and transfer of gratings with spatially-varying periodicity
Fabrication of Large-area Nanopatterns by All-fiber Interference Lithography (AFIL) System |
Gennser, U. | Focused Ion Beam Direct Patterning of Suspended Two-Dimensional Electron Gases |
Georgiev, Y. M. | Correlation of SML and ZEP Resists Lithographic Performance with the Resist Chemistry. |
Gharbi, A. | PMMA removal options for DSA contact shrink application |
Gierak, J. | Focused Ion Beam Direct Patterning of Suspended Two-Dimensional Electron Gases |
Giesen, C. | Fabrication of graphene-based devices by Ultraviolet Nanoimprint Lithography |
Gignac, L. M. | Nanofabrication of gate-all-around silicon nanowire MOSFETs for the 10 nm node and beyond |
Gila, B.P. | Non-gallium Focused Ion Beam Nanofabrication of III-V Materials |
Giles, A. | Ion Beam Micromachining of Surface Phonon-Polariton Metamaterials Structures in SiC and h-BN |
Glaser, M. | Large-area ultraviolet nanoimprint lithography for the detailed understanding of silicon-germanium island nucleation on pit-patterned silicon substrates |
Gnauck, P. | A Mirror-Corrected Scanning Electron Microscope |
Gobrecht, J. | High-Throughput Fabrication of High-Aspect-Ratio Metal Nanostructures for Biosensing Applications
High-resolution and large-area nanoparticle arrays for model systems in catalysis using EUV lithography techniques
Beyond EUV Lithography for Reaching Future Technological Nodes |
Gogolides, E. | Ultra-High Aspect Ratio, Plasma Etched Silicon Nanowires: Optical Properties and Mechanical Stability
Nanopatterned surfaces via colloidal lithography and plasma processing applied to selective protein immobilization and superhydrophobicity Feature proximity effects on the roughness and size variability of electron beam contact patterns |
Goh, Wei Peng | Reusable Silicon Templates for Fabricating Sub-10-nm Grooves In Metal Films |
Goldberg, K. | Characterization of Telecentricity Errors in High-Numerical-Aperture Extreme Ultraviolet Mask Images |
Goltsov, A. | Direct Printing of Planar Photonic Circuits with High Refractive Index |
Goltsov, Alexander | Photonic integrated spectrometer-on-chip based on digital planar holograms |
Gopalakrishnanb, S. | Cell Migration Directionality Control by Transitions on Patterned Substrates |
Gorman, J.J. | Transfer-Free Wafer-Scale Growth of Graphene on Thin-Film Copper |
Gorman, Jason | Progress Towards NEMS Devices based on STM-fabricated Hydrogen Depassivation Patterns |
Goto, Takahiro | Negative tone imaging with EUV exposure |
Gotszalk, T. | Fabrication, metrology and application of electromagnetically actuated cantilever arrays |
Gradecak, S. | High-Resolution Templated Hydrothermal Growth of ZnO Nanowires |
Graves, W. S. | Nanofabrication and Characterization of Ultrafast Plasmonic Au Nanorod Array Photocathodes |
Grigoropoulos, C. P. | Nanoscale Crater Interfaces Guide Cell Migration and Patterning |
Grimm, J. | Effect of residual stress on replication fidelity with nanoimprint |
Grondheid, R. | Readying Directed Self-Assembly for Patterning in Semi-Conductor Manufacturing |
Gronheid, R. | Effect of processing parameters on self-assembly of cylindrical phase PS-b-PMMA BCPs on 300 mm wafers |
Grutter, K. | Nanocavity Optomechanical Sensors and Signal Transducers |
Gu, C. Z. | Dynamic tuning SERS of silver interdigital nanogratings under external electric field
Morphology inducing maskless plasma etching of AlN nanocone arrays with Tip-size dependent photoluminescence properties |
Guider, R. | Cloning of a fully functional Si-based photonic integrated circuit by ultraviolet nanoimprint lithography |
Guillorn, M. A. | Nanofabrication of gate-all-around silicon nanowire MOSFETs for the 10 nm node and beyond |
Guillorn, Michael A. | High Resolution Patterning with Electron-Beam Lithography and Sidewall Image Transfer |
Guillorn, Michael | Graphoeptiaxy directed self-assembly of lamellar phase symmetric block copolymers in the presence of two dimensional template patterns Design and Customization of Directed Self-Assembly Patterns |
Gujarat | Effect of embedding ErAs nanoparticles on thermal conductivity of In0.53Ga0.47As semiconductors: Phonon scattering mechanism |
Guliyev, E. | Invited: Scanning Probes for Nanomanufacturing
Focused ion beam assisted fabrication and application of high speed scanning thermal microscopy selfactuated piezoresistive probe |
Gunawan, I. | Fabrication of Multi-Bit Data Storage Memories Based on Multilayer MoS2 |
Guo, Jay L. | Fabrication of Vertically-Stacked MoS2-Based Thin-Film Photovoltaic Devices |
Guo, L. Jay | Optical Detection of Ultrasound by using Polymer Filled Silicon High Contrast Grating directly Integrated on Fiber Tip |
Guo, Xu | Fabrication of nano-patterned sapphire substrate by hybrid nano-imprint lithography in combination with nickel as etching mask |
Guttmann, Markus | Anti-wetting surfaces fabricated by Reverse Nanoimprint Lithography on Silicon and metal-coated substrates |
H |
Hackl, W. | Fabrication of graphene-based devices by Ultraviolet Nanoimprint Lithography |
Hagen, C.W. | Reference markers for e-beam lithography with Electron Beam Induced Deposition
LER Measurement in Low Dose CD-SEM Images |
Hah, D. | A Clinical Probe Utilizing Surface Enhanced Raman Scattering |
Hailer, K. | Synthesis and Characterization of Apatite Nanoparticles for Bioengineering Applications |
Hamaguchi, T. | High-Speed and Low-Energy-Consumption Replication of Nanostructures with Laser-Assisted Roller Nanoimprinting |
Hamzah, A. A. | A MEMS capacitive pressure sensor employing 3C-SiC diaphragm with operating temperature of 500C |
Han, Sok-Hyung | Study on the correlation of Hole etch and Byproduct |
Han, Y.D. | Fabrication of plastic high-aspect-ratio microfluidic devices for rare cell isolation using a PDMS stamp |
Hao, H.F. | Nanoscale Imaging of Plasmonic Structures with A Transmission Photoemission Electron Microscope |
Harada, Tetsuo | Characterization of Small Phase Defect on EUV Mask Using Micro Coherent EUV Scatterometry Microscope |
Harriott, Lloyd R. | Generation and detection of surface acoustic wave decay on an electron-beam patterned substrate with a conformal metal coating |
Haruyama, Y. | Surface evaluation of HSQ with PDMS additive after room temperature nanoimprinting using hard and soft molds
Molecular orientation of imprinted nm-order pattern of photo-cross-linkable liquid crystalline polymer |
Harvey, T. | Creation of High Resolution Electron Diffraction Gratings using FIB and E-Beam Techniques |
Hasegawa, M. | Surface Force Measurement of Nanoimprint Lithography Molds and Resin Materials |
Hassink, G. | Nanopatterned substrate stiffness affects primary cortical cell network formation |
Hastings, J.T. | Etching of copper using liquid reactants and a focused electron beam |
Häusler, L. | Cloning of a fully functional Si-based photonic integrated circuit by ultraviolet nanoimprint lithography
Large-area ultraviolet nanoimprint lithography for the detailed understanding of silicon-germanium island nucleation on pit-patterned silicon substrates Fabrication of graphene-based devices by Ultraviolet Nanoimprint Lithography |
Hayakawa, Teruaki | A Novel sub-10 nm Half Pitch Pattern Transfer Process using Directed Self-Assembly Lithography |
Healy, K. E. | Nanoscale Crater Interfaces Guide Cell Migration and Patterning |
Hedrick, James L. | Thermal Probe Nanolithography: What You See is What You Get |
Henderson, Clifford L. | Advancements in Cross-linked Resist Materials for High Resolution Patterning |
Hendrickx, E. | Characterization of Telecentricity Errors in High-Numerical-Aperture Extreme Ultraviolet Mask Images |
Hensleigh, R. M. | Synthesis and Characterization of Apatite Nanoparticles for Bioengineering Applications |
Her, Y. | Readying Directed Self-Assembly for Patterning in Semi-Conductor Manufacturing |
Herman, G.S. | Temperature Programmed and Electron Stimulated Desorption From HafSOx Inorganic Resists |
Herring, G. | Splash-Stop Lithography: Printed Aspherical Micro Lenses |
Heuken, M. | Fabrication of graphene-based devices by Ultraviolet Nanoimprint Lithography |
Hirai, Y. | Structural Change of Graphene during Electron Beam Process: Molecular Dynamics Study
Molecular Dynamics Study of Line Edge Roughness in Electron Beam Lithography
Built-in Lens Mask Lithography
Impact of Resist Shrinkage on De-molding Process in NIL
Molecular Dynamics Study on Demolding Process in Nanoimprint Lithography |
Hirayanagi, Noriyuki | A Novel sub-10 nm Half Pitch Pattern Transfer Process using Directed Self-Assembly Lithography |
Hiwasa, S. | Fabrication of Anti-reflection Structure Film using RTR Ultraviolet Nanoimprint Lithography
Surface Force Measurement of Nanoimprint Lithography Molds and Resin Materials |
Hiyama, T. | Synthesis of Graphene Nanoribbons from Amyloid Templates by Solid-Phase Graphitization using Gallium as Catalyst and Their Electrical Properties |
Hobbs, R. G. | Nanofabrication and Characterization of Ultrafast Plasmonic Au Nanorod Array Photocathodes |
Hobbs, R. | Metal Adhesion Layer Induced Damping of Surface Plasmons Probed by Photoelectron Emission |
Hobbs, Richard | Measurement of the electron-beam-lithography point-spread function by chromatic-aberration-corrected transmission electron microscopy and spectroscopy |
Hoefnagels, Rik | Evaluation of EUV resist performance below 20-nm critical dimension using helium ion lithography |
Hofer, T. Gotszalk,M. | Focused ion beam assisted fabrication and application of high speed scanning thermal microscopy selfactuated piezoresistive probe |
Hofera, M. | Invited: Scanning Probes for Nanomanufacturing |
Holland, Glenn | High-Resolution Imaging and Spectroscopy at High Pressure: A Novel Liquid Cell for the TEM |
Holmes, J. D. | Correlation of SML and ZEP Resists Lithographic Performance with the Resist Chemistry. |
Holza, M. | Invited: Scanning Probes for Nanomanufacturing |
Holzner, Felix | Thermal Probe Nanolithography: What You See is What You Get |
Hong, Jaewan | Cross-sectional AFM in SEM |
Hopkins, Patrick E. | Generation and detection of surface acoustic wave decay on an electron-beam patterned substrate with a conformal metal coating |
Hosoda, R. | Molecular orientation of imprinted nm-order pattern of photo-cross-linkable liquid crystalline polymer |
Hsu, Yautzong | Lithography Challenges and Opportunities in Bit Patterned Media at 1.5 Tdots/in2 and Beyond |
Hu, D. | Single-Mode-Resonance interference in photoresist sub-micron waveguide for high exposure depth nanolithography |
Hu, Liangbing | Nanostructures for Energy and Flexible Electronics |
Hu, Xin | A Thiol-ene Degradable Resist for Hybrid Nanoimprint-soft Lithography |
Huang, Gaoshan | Reusable-template-assisted fabrication of rolled-up 3D hierarchical structures
Three dimensional arrangements of carbon nanotubes by dry release approach |
Huang, Haiyu | High-performance graphene electronics on standard SiO2/Si substrates enabled by new synthesis and transfer methods |
Huang, He Liu,Shujin | Spectrum splitting using multi-layer sub-wavelength high-index-contrast grating for solar energy harvesting efficiency improvement |
Huang, J. | The High Brightness Neon Beam: From Source to Sample |
Huang, Shujin | Full color reflective display based on high contrast gratings |
Huang, Tao | Reusable-template-assisted fabrication of rolled-up 3D hierarchical structures |
Huang, Zhihong | Distribution of diamond NV centers created by focused helium ion beam exposure and annealing |
Humer, M. | Cloning of a fully functional Si-based photonic integrated circuit by ultraviolet nanoimprint lithography |
Hwang, Tae-Ho | Study on the correlation of Hole etch and Byproduct |
Hwu, Justin | Lithography Challenges and Opportunities in Bit Patterned Media at 1.5 Tdots/in2 and Beyond |
Hwu,b , J. J. | Sub-10 nm silicon pattern transfer using PS-PDMS block-copolymer |
I |
Iacopi, A. | RF sputtering of AlN on 3C-SiC (100) thin film: Parameters affecting the AlN crystal orientation and deposition rate |
Icard ,B. | Roughness mitigation techniques for electron beam lithography |
Icard, | Electron Shot Noise Effects For 5keV EBDW |
Indermuehle, S. | High voltage EDS on a low Voltage FESEM
Matching Low Voltage Scanning Electron Microscopy with Energy Dispersive X-ray Spectroscopy of Positively Biased Samples |
Iqbal, A. | RF sputtering of AlN on 3C-SiC (100) thin film: Parameters affecting the AlN crystal orientation and deposition rate |
Irannejad, M. | Smooth Au Film by Annealing for Enhanced Extraordinary Optical Transmission |
Irannejad, Mehrdad | Numerical Investigation of Optical Behavior of Nano-hole Array with Non-vertical Sidewall Profile |
Ishihara, S. | High Q factor graphene mechanical resonator fabrication using the clamp-bending method
Fabrication of the optomechanical resonator with U-shaped cavities for the wavelength detection
Source gas distribution measurement in focused-ion-beam chemical vapor deposition using graphene sensing device |
Ishikawa, Atsushi | Metamaterials Constructed by Three Dimensional Split Ring Resonators |
Isoyan, Artak | Optical proximity correction using diffraction holography technique |
Ivanov, Tzv. | Invited: Scanning Probes for Nanomanufacturing |
Ivanow, Tzv. | Focused ion beam assisted fabrication and application of high speed scanning thermal microscopy selfactuated piezoresistive probe |
Iwama, Tatsuhiro | Defect Reduction of Peanut-Shaped Direct Self-Assembly using Hompolymer |
Iwasaki, S. | Morphology Control of Anodic Porous Alumina Using Nanoimprinting |
Iwata, N. | Molecular Dynamics Study on Demolding Process in Nanoimprint Lithography |
J |
J.Boussey | Hydrocarbonaceous contamination growth induced by resists outgassing under e-beam radiation |
J.Choi | Minimization of Line Edge Roughness and CD Error in Electron-beam Lithography |
J.Shao | Electrowetting on Microbowl-Array Patterned SU-8 Surfaces Generated by Electron-Beam Lithography |
J.Taniguchi | Fabrication of Anti-reflection Structure Film using RTR Ultraviolet Nanoimprint Lithography |
Jain, V. | Design Strategy for Improving EUV Contact Hole Resist Performance |
Janot, JM. | Hybrid biological-artificial nanopore based on biological channel confinement inside a track-etched nanopore |
Janssen, G.C.A.M. | Contacting and structuring graphene by helium ion beam processing |
Jede, R. | Ion Column and Source technology employing Gallium and New Ion Species for Advanced FIB Nanofabrication |
Jeon, C-U. | Experimental Verification of Achieving Vertical Sidewall for Nanoscale Features in Electron-beam Lithography |
Jeon, C.-U. | Minimization of Line Edge Roughness and CD Error in Electron-beam Lithography
Determination and Analysis of Minimal Dose for Achieving Vertical Sidewall in Electron-beam Lithography |
Jeon, H. | Nanoscale Crater Interfaces Guide Cell Migration and Patterning |
Jeon, S.-C. | Experimental Verification of Achieving Vertical Sidewall for Nanoscale Features in Electron-beam Lithography |
Ji, Min | Creation and transfer of gratings with spatially-varying periodicity |
Ji, Xinming | Reusable-template-assisted fabrication of rolled-up 3D hierarchical structures |
Jiang, H. | TiO2-x/TaOy Bilayer Memrsitive Devices with High Endurance and High ON/OFF Ratios
Reactive Sputtering Deposition of TiOx for Memristive Devices |
Jiang, W. | Fabrication of Patterned TiO2 Nanorod Arrays by Laser Scanning Technology for Dye-sensitized Solar Cells |
Jiruse, J. | Electron, Ion and Photon Beams in a New Analytical and Prototyping Instrument |
Jonckheere, R. | Characterization of Telecentricity Errors in High-Numerical-Aperture Extreme Ultraviolet Mask Images |
Jones, M. | Varying gate layout nanowire single-electron defined by electron beam lithography |
Jussot , J. | Roughness mitigation techniques for electron beam lithography |
K |
Kaars, P. | Reference markers for e-beam lithography with Electron Beam Induced Deposition |
Kacemi, N. | Fabrication of free standing copper nanowires for vibrational response study through transient reflectivity |
Kaehr, B. | Free-Form Design of Autonomous Microenvironments |
Kajiwara, Y. | Synthesis of Graphene Nanoribbons from Amyloid Templates by Solid-Phase Graphitization using Gallium as Catalyst and Their Electrical Properties |
Kakabakos, S.E. | Nanopatterned surfaces via colloidal lithography and plasma processing applied to selective protein immobilization and superhydrophobicity |
Kan, J.A. van | Electron impact gas ion source development: a miniaturized gas ionization chamber using polymer PMMA |
Kanai, Hideki | A Novel sub-10 nm Half Pitch Pattern Transfer Process using Directed Self-Assembly Lithography |
Kandel, Yudhishthir P. | Improvements in scanning electron microscope image resolution using reference image or a sample |
Kannappan, Santhakumar | High Electron Mobility Few Layer MoS2 Grown by Chemical Vapor Deposition |
Kanwal, A. | A Rapid Diagnostic Method to Detect Single Wall Carbon Nanotubes in Nanoscale Windows |
Karim, W. | High-resolution and large-area nanoparticle arrays for model systems in catalysis using EUV lithography techniques |
Kärtner, F. X. | Nanofabrication and Characterization of Ultrafast Plasmonic Au Nanorod Array Photocathodes |
Kasahara, Yusuke | A Novel sub-10 nm Half Pitch Pattern Transfer Process using Directed Self-Assembly Lithography |
Kasinath, R. | Synthesis and Characterization of Apatite Nanoparticles for Bioengineering Applications |
Kästner, M. | Invited: Scanning Probes for Nanomanufacturing |
Kato, H. | Fabrication of PDMS micro-lens arrays on a PET film by proton beam writing |
Kawamonzen, Yoshiaki | A Novel sub-10 nm Half Pitch Pattern Transfer Process using Directed Self-Assembly Lithography |
Kawamoto, L. Pain,T. | 5keV Ebeam lithography for 16nm half pitch resolution |
Kawata, H. | Structural Change of Graphene during Electron Beam Process: Molecular Dynamics Study
Molecular Dynamics Study of Line Edge Roughness in Electron Beam Lithography
Built-in Lens Mask Lithography
Impact of Resist Shrinkage on De-molding Process in NIL
Molecular Dynamics Study on Demolding Process in Nanoimprint Lithography |
Kawatsuki, N. | Molecular orientation of imprinted nm-order pattern of photo-cross-linkable liquid crystalline polymer |
Kazazis, D. | Focused Ion Beam Direct Patterning of Suspended Two-Dimensional Electron Gases |
Kearney, P. | Characterization of Telecentricity Errors in High-Numerical-Aperture Extreme Ultraviolet Mask Images |
Keathley, P. D. | Nanofabrication and Characterization of Ultrafast Plasmonic Au Nanorod Array Photocathodes |
Kehagias, Nikolaos | Anti-wetting surfaces fabricated by Reverse Nanoimprint Lithography on Silicon and metal-coated substrates
Fabrication of embedded silver grid electrodes for ITO-free organic solar cells applications |
Kelemen, L. | Multi-focus two-photon polymerization with real time calculated holograms |
Ketelaars, B.S.M.M. | Reference markers for e-beam lithography with Electron Beam Induced Deposition |
Khan, Arshad | Fabrication and Testing of Flexible Cyclic Olefin Copolymer Stamps by Nanoimprint Lithography |
Kiani, A. | High-Resolution Templated Hydrothermal Growth of ZnO Nanowires |
Kihara, Naoko | A Novel sub-10 nm Half Pitch Pattern Transfer Process using Directed Self-Assembly Lithography |
Kikuta, H. | Built-in Lens Mask Lithography |
Kim, Dal Hyun | Cross-sectional AFM in SEM |
Kim, G.H. | Nanoimprint for Illumination Module to Control the Emitted Light using Flexibility Enhanced SCIL Mold |
Kim, Hyunsoo | Fabrication of Vertically-Stacked MoS2-Based Thin-Film Photovoltaic Devices |
Kim, J. S. | Simulation of SEM Images by Using Monte-Carlo Technique for Quantitative Analysis of Semiconductor Devices |
Kim, J. | A Clinical Probe Utilizing Surface Enhanced Raman Scattering |
Kim, Jin Seung | Cross-sectional AFM in SEM |
Kim, S. K. | Effect of process parameters on block-copolymer patterns by electrohydrodynamic printing and self-assembly |
Kim, Sung In | Fabrication of Au Nanopore on Pyramid using various electron beam and Ion Beam techniques |
Kinoshita, Hiroo | Characterization of Small Phase Defect on EUV Mask Using Micro Coherent EUV Scatterometry Microscope |
Kirchner, R. | Energy-based geometry evolution and 3D simulation of thermal polymer reflow |
Klaus, D. P. | Nanofabrication of gate-all-around silicon nanowire MOSFETs for the 10 nm node and beyond |
Klein, K.L. | Understanding Focused Helium Ion Beam Nanomachining of Membranes and Bulk Substrates |
Klein, Kate | Mechanism and Applications of Helium Transmission Milling in Thin Membranes |
Kley, B. | Fabrication of graphene-based devices by Ultraviolet Nanoimprint Lithography |
Klyachko, D. | High voltage EDS on a low Voltage FESEM
Matching Low Voltage Scanning Electron Microscopy with Energy Dispersive X-ray Spectroscopy of Positively Biased Samples |
Knoll, Armin W. | Thermal Probe Nanolithography: What You See is What You Get |
Knuffman, B. | Bright ion beams from laser-cooled atoms
Low Temperature Ion Source for Focused Ion Beam Nanomachining Applications |
Ko, Seung Hyeon | DNA Origami-Gold Nanoparticle Constructs: DNA Origami-Gold Nanoparticle Constructs: Design for Nanomanufacturing |
Kobayashi, H. | Surface Force Measurement of Nanoimprint Lithography Molds and Resin Materials |
Kobayashi, Katsutoshi | A Novel sub-10 nm Half Pitch Pattern Transfer Process using Directed Self-Assembly Lithography |
Kodera, Katsuyoshi | A Novel sub-10 nm Half Pitch Pattern Transfer Process using Directed Self-Assembly Lithography |
Kogo, Y. | Durability of DLC Release Coating in Ultraviolet Nanoimprint Lithography |
Kometani, R. | High Q factor graphene mechanical resonator fabrication using the clamp-bending method
Fabrication of the optomechanical resonator with U-shaped cavities for the wavelength detection
Source gas distribution measurement in focused-ion-beam chemical vapor deposition using graphene sensing device |
Kondo, M. | Molecular orientation of imprinted nm-order pattern of photo-cross-linkable liquid crystalline polymer |
Koo, S. | Nanoscale Crater Interfaces Guide Cell Migration and Patterning |
Kopiec, D. | Focused ion beam assisted fabrication and application of high speed scanning thermal microscopy selfactuated piezoresistive probe |
Korea | Simulation of SEM Images by Using Monte-Carlo Technique for Quantitative Analysis of Semiconductor Devices |
Koretsky, A. | Micro- and Nanofabrication for Enhanced Magnetic Resonance Imaging and Sensing |
Koshelev, A. | Direct Printing of Planar Photonic Circuits with High Refractive Index |
Koshelev, Alexander | Photonic integrated spectrometer-on-chip based on digital planar holograms |
Kraznoperova, Azalia | Graphoeptiaxy directed self-assembly of lamellar phase symmetric block copolymers in the presence of two dimensional template patterns |
Krivanek, O.L. | Atom by Atom Analysis and Nanolithography |
Krivoshapkina, Y. | Invited: Scanning Probes for Nanomanufacturing |
Kroo, L. | Splash-Stop Lithography: Printed Aspherical Micro Lenses |
Kruit, P. | High Throughput Imaging in a Multibeam SEM
LER Measurement in Low Dose CD-SEM Images |
Kuan, Chieh-Hsiung | Focused Ion Beam for Biological Studies |
Kulshreshtha, Prashant K. | Revealing Beam-induced Chemistry using Modulus Mapping in Negative-tone EUV/E-beam resists with and without cross-linker additives |
Kuo, David | Lithography Challenges and Opportunities in Bit Patterned Media at 1.5 Tdots/in2 and Beyond |
Kuo, I-Peng | The Effects of Thin Films Confinement on Polymer Viscosity and Thermal Nanoimprint Lithography Patterning |
Kuppuswamy, V. K. M. | Effect of processing parameters on self-assembly of cylindrical phase PS-b-PMMA BCPs on 300 mm wafers |
Kuramoto, K. | Micron-Powder Blending, Transportation and Separation using Surface Acoustic Waves |
Kyeremateng, J. B. | Modified Electronic Properties of Nanoscale Polymer Fibers via Nanoparticle Doping with Melt and Solvent Electrospinning |
L |
Laachi, Nabil | Defect Reduction of Peanut-Shaped Direct Self-Assembly using Hompolymer |
Labau, S. | Hydrocarbonaceous contamination growth induced by resists outgassing under e-beam radiation |
LaBeaume, P. | Design Strategy for Improving EUV Contact Hole Resist Performance |
Lacharmoise, Paul D. | Fabrication of embedded silver grid electrodes for ITO-free organic solar cells applications |
Lai, Kafai | Graphoeptiaxy directed self-assembly of lamellar phase symmetric block copolymers in the presence of two dimensional template patterns Design and Customization of Directed Self-Assembly Patterns |
Lam, T. | Scanning Ion Microscopy with Low Energy Lithium Ions |
Lam, Y.H. | Cell Migration Directionality Control by Transitions on Patterned Substrates |
Landis, S. | Present nanopatterning alternative and associated application opportunities |
Langridge, S. | Fabrication and Measurement of Artificial Spin Ice |
Laskar, Masihhur R. | High Electron Mobility Few Layer MoS2 Grown by Chemical Vapor Deposition |
Lattard, L. | Present nanopatterning alternative and associated application opportunities |
Lauer, I. | Nanofabrication of gate-all-around silicon nanowire MOSFETs for the 10 nm node and beyond |
Lausecker, E. | Cloning of a fully functional Si-based photonic integrated circuit by ultraviolet nanoimprint lithography
Large-area ultraviolet nanoimprint lithography for the detailed understanding of silicon-germanium island nucleation on pit-patterned silicon substrates |
Lawson, Richard A. | Advancements in Cross-linked Resist Materials for High Resolution Patterning |
Lee, J.J. | Nanoimprint for Illumination Module to Control the Emitted Light using Flexibility Enhanced SCIL Mold |
Lee, L.J. | Fabrication of 3D Nano-channel Electroporation Chip for High Throughput Cell Transfection |
Lee, Ju-Yeop | Cross-sectional AFM in SEM |
Lee, Kim | Lithography Challenges and Opportunities in Bit Patterned Media at 1.5 Tdots/in2 and Beyond |
Lee, S.-H. | Minimization of Line Edge Roughness and CD Error in Electron-beam Lithography
Experimental Verification of Achieving Vertical Sidewall for Nanoscale Features in Electron-beam Lithography
Determination and Analysis of Minimal Dose for Achieving Vertical Sidewall in Electron-beam Lithography |
Lee, S.-Y. | Minimization of Line Edge Roughness and CD Error in Electron-beam Lithography
Experimental Verification of Achieving Vertical Sidewall for Nanoscale Features in Electron-beam Lithography
Determination and Analysis of Minimal Dose for Achieving Vertical Sidewall in Electron-beam Lithography |
Lee, S.H. | Nanoimprint for Illumination Module to Control the Emitted Light using Flexibility Enhanced SCIL Mold |
Lee, Y. C. | Apertureless Beam Pen Lithography Based on Fully Metal-coated Polyurethane-acrylate (PUA) Micro-pyramids Array |
Lenk, S. | Invited: Scanning Probes for Nanomanufacturing |
Leo, E. De | Nanofabrication and Characterization of Ultrafast Plasmonic Au Nanorod Array Photocathodes
Metal Adhesion Layer Induced Damping of Surface Plasmons Probed by Photoelectron Emission |
Lepoitevin, M. | Hybrid biological-artificial nanopore based on biological channel confinement inside a track-etched nanopore |
Lercel, Michael | The Litho Roadmap: Is it a straight path? |
Leung, R. | Vertical Directionality Controlled Metal Assisted Chemical Etching for Ultra-High Aspect Ratio Nanoscale Structures |
Lewis, Brett B. | Purification Strategies for Electron Beam Induced Deposition |
Li, ,K. | Optimizing SiO2 Hard Etch Masks for Atomic Scale Patterning |
Li, Ben Q. | Dynamic modeling of Electrohydrodynamic Patterning by Moving Mesh Method |
Li, C. | Cross point Si/SiOx/Si resistance switches fabricated by stacking single-crystalline fluid-supported Si membranes |
Li, Feng | Fabrication of nano-patterned sapphire substrate by hybrid nano-imprint lithography in combination with nickel as etching mask |
Li, Han | Application of Metrological Scanning Electron Microscopy in micron and nanometer structure measurement |
Li, Hui | Three dimensional arrangements of carbon nanotubes by dry release approach |
Li, Huifeng | High-performance graphene electronics on standard SiO2/Si substrates enabled by new synthesis and transfer methods |
Li, J. J. | Dynamic tuning SERS of silver interdigital nanogratings under external electric field
Morphology inducing maskless plasma etching of AlN nanocone arrays with Tip-size dependent photoluminescence properties |
Li, Juan | Multistep Aztec profile by an iteration process using 3D electron beam lithography for angle resolved micro-spectrometers
Effect of resist sensitivity difference on the T shape profile by Monte Carlo simulation
Monte Carlo simulation and developing study of resist profile for high aspect ratio Fresnel zone plates |
Li, L. | Dynamic tuning SERS of silver interdigital nanogratings under external electric field |
Li, Long | White organic light emitting diodes with enhanced light extraction and self-cleaning property served by ZnO nanopillars |
Li, Luozhou | Nanolithography Using Transferrable Membrane Mask For Unconventional Substrates |
Li, S. | Single-Mode-Resonance interference in photoresist sub-micron waveguide for high exposure depth nanolithography |
Li, Wen-Di | Distribution of diamond NV centers created by focused helium ion beam exposure and annealing
Fabrication and Testing of Flexible Cyclic Olefin Copolymer Stamps by Nanoimprint Lithography
Creation and transfer of gratings with spatially-varying periodicity
Fabrication of Large-area Nanopatterns by All-fiber Interference Lithography (AFIL) System |
Li, X. | An anisotropic low power, low DC bias, SF6/C4F8 inductively coupled plasma etch process of molybdenum with critical dimension of 30nm suitable for compound semiconductor devices Electrowetting on Microbowl-Array Patterned SU-8 Surfaces Generated by Electron-Beam Lithography |
Li, Xiangming | Dynamic modeling of Electrohydrodynamic Patterning by Moving Mesh Method
Electrohydrodynamic Analysis of L-DEP Force Driven Imprinting Process by Coupling L-DEP Theory and Two Phase Flow Method
Fabrication of Microlens Arrays with Controllable Numerical Aperture Based on Electrically Induced Dewetting by Spatially Modulcated Electric Field |
Li, Y. | Morphology Control of Anodic Porous Alumina Using Nanoimprinting |
Li, Yanqiu | Design of freeform illumination sources with arbitrary polarization for immersion lithography
Design of Off-axial Objective for High-NA EUVL Using Free-form Surface Mirrors |
Li, Z.Y. | Co-Fabrication of Liquid-core Micro/Nano Structures in Soft Materials for Stretchable Electronics, Photonics and Microfluidics |
Liang, X. | Fabrication of Multi-Bit Data Storage Memories Based on Multilayer MoS2
Plasma-Assisted Doping for Fabricating MoS2 Diodes and Ambipolar Transistors |
Liang, Xiaogan | Fabrication of Vertically-Stacked MoS2-Based Thin-Film Photovoltaic Devices |
Liao, K.-T. | Total Nanofluidic Confinement Devices Nanofabricated by Focused Ion Beam Milling |
Liddle, J. A. | Tracing the Kilohertz Rotation of Nanofabricated Nanomotors Propelled by Ultrasound |
Liddle, J. Alexander | Fabrication of a High Efficiency Multilayer Diffractive Phase Grating
DNA Origami-Gold Nanoparticle Constructs: DNA Origami-Gold Nanoparticle Constructs: Design for Nanomanufacturing
High-Resolution Imaging and Spectroscopy at High Pressure: A Novel Liquid Cell for the TEM |
Lifshin, Eric | Improvements in scanning electron microscope image resolution using reference image or a sample |
Lim, H.J. | Nanoimprint for Illumination Module to Control the Emitted Light using Flexibility Enhanced SCIL Mold |
Lima, L.P.B. | Focused Ion Beam milling for Si nanowire and Junctionless transistor prototype |
Lin, Peng | TiO2 Sol-Gel Based Memristor Crossbar Arrays with Triangular Top and Bottom Metal Electrodes |
Lin, Po-Kang | Focused Ion Beam for Biological Studies |
Lin, Shy-Jay | Towards 7-nm node and below technologies by MEBW |
Ling, T. | Optical Detection of Ultrasound by using Polymer Filled Silicon High Contrast Grating directly Integrated on Fiber Tip |
Lionnet, T. | Fabrication of a High Efficiency Multilayer Diffractive Phase Grating |
Lipson, M. | Silicon Photonics: The Optical Spice Rack |
Liu, Chi-Chun | Graphoeptiaxy directed self-assembly of lamellar phase symmetric block copolymers in the presence of two dimensional template patterns Design and Customization of Directed Self-Assembly Patterns |
Liu, F. | Electron impact gas ion source development: a miniaturized gas ionization chamber using polymer PMMA |
Liu, Fei | Design of Off-axial Objective for High-NA EUVL Using Free-form Surface Mirrors |
Liu, G. | Low Cost Fabrication of Ultra-Sensitive Micro Structures and Its Characterization |
Liu, H.Z. | Fabrication of Patterned TiO2 Nanorod Arrays by Laser Scanning Technology for Dye-sensitized Solar Cells |
Liu, He | Full color reflective display based on high contrast gratings |
Liu, Jianpeng | Multistep Aztec profile by an iteration process using 3D electron beam lithography for angle resolved micro-spectrometers
Effect of resist sensitivity difference on the T shape profile by Monte Carlo simulation
Monte Carlo simulation and developing study of resist profile for high aspect ratio Fresnel zone plates |
Liu, JP | Sub-wavelength gold nano pillars for high sensitive LSPR sensors |
Liu, Ke | Design of freeform illumination sources with arbitrary polarization for immersion lithography |
Liu, M. Z. | Block Copolymer Self Assembly for Design and Vapor-Phase Synthesis of Nanostructured Materials |
Liu, N. | Electron impact gas ion source development: a miniaturized gas ionization chamber using polymer PMMA |
Liu, P. Zhan,N. | Construction of 3D Plasmonic Chiral Nanostructures on DNA Template |
Liu, R | Sub-wavelength gold nano pillars for high sensitive LSPR sensors |
Liu, Ran | Reusable-template-assisted fabrication of rolled-up 3D hierarchical structures
Three dimensional arrangements of carbon nanotubes by dry release approach |
Liu, Shiyuan | Accurate dimensional monitoring of e-beam patterned grating structures by Mueller matrix polarimetry
Robust profile reconstruction in optical scatterometry |
Liu, Y. | Nanocavity Optomechanical Sensors and Signal Transducers |
Liu, Yan | Design of Off-axial Objective for High-NA EUVL Using Free-form Surface Mirrors |
Liu, Zhaoqian | Three dimensional arrangements of carbon nanotubes by dry release approach |
Livengood, Rick | Mechanism and Applications of Helium Transmission Milling in Thin Membranes |
Lockerman, S.L. | Understanding Focused Helium Ion Beam Nanomachining of Membranes and Bulk Substrates |
Lončar, M. | Quantum Nanophotonics and Nanomechanics with Diamond |
Lopez, G. | Temperature Dependent Effective Process Blur and Its Impact on Process Latitude and Lithographic Targets Using E-Beam Simulation and Proximity Effect Correction HSQ on SOI Proximity and Process Loading Effects Correction from a Single 1D Characterization Sample |
Losurdo, M. | Fabrication of graphene-based devices by Ultraviolet Nanoimprint Lithography |
Lovejoy, T.C. | Atom by Atom Analysis and Nanolithography |
Lowrey, S. | Ultra-high NA, High Aspect Ratio Interference Lithography with Resonant Dielectric Underlayers |
Loyola, B. R. | Modified Electronic Properties of Nanoscale Polymer Fibers via Nanoparticle Doping with Melt and Solvent Electrospinning |
Lu, M. | Block Copolymer Self Assembly for Design and Vapor-Phase Synthesis of Nanostructured Materials |
Lu, Ming | Insoluble residual in ZEP520 electron-beam resist development |
Lu, W. | Fabrication of 3D Nano-channel Electroporation Chip for High Throughput Cell Transfection |
Lu, Wu | Effect of resist sensitivity difference on the T shape profile by Monte Carlo simulation
High Electron Mobility Few Layer MoS2 Grown by Chemical Vapor Deposition |
Luciani, V. K. | Fabrication of a High Efficiency Multilayer Diffractive Phase Grating |
Luo, B. | Nanoimprint Mold with Integrated Heater for Synergistic Thermal and UV Nanoimprint |
Luo, Yu | White organic light emitting diodes with enhanced light extraction and self-cleaning property served by ZnO nanopillars |
Luo, Xinhang | High Electron Mobility Few Layer MoS2 Grown by Chemical Vapor Deposition |
Luttge, R. | Nanopatterned substrate stiffness affects primary cortical cell network formation |
Lyu, Siwei | Improvements in scanning electron microscope image resolution using reference image or a sample |
M |
Ma, Jiaoni | Distribution of diamond NV centers created by focused helium ion beam exposure and annealing |
Ma, Lu | High Electron Mobility Few Layer MoS2 Grown by Chemical Vapor Deposition |
Ma, Y.Q. | Sub-wavelength gold nano pillars for high sensitive LSPR sensors |
Ma, Yaqi | Multistep Aztec profile by an iteration process using 3D electron beam lithography for angle resolved micro-spectrometers
Effect of resist sensitivity difference on the T shape profile by Monte Carlo simulation
Monte Carlo simulation and developing study of resist profile for high aspect ratio Fresnel zone plates |
Maas, Diederik | Evaluation of EUV resist performance below 20-nm critical dimension using helium ion lithography |
Macintyre, D. S. | An Investigation of CSAR 62, a New Resist for Electron Beam Lithography |
Madden, John D. W. | Dimensionality, Heat Transfer and Light-activated Cathodes |
Maekawa, T. | Electron Beam Source using Wide Band Gap Semiconductor Photocathode with an NEA surface |
Mahmoudi, M. | Nanoscale Imaging of Plasmonic Structures with A Transmission Photoemission Electron Microscope |
Mair, L. O. | Tracing the Kilohertz Rotation of Nanofabricated Nanomotors Propelled by Ultrasound |
Majlis, B. Y. | A MEMS capacitive pressure sensor employing 3C-SiC diaphragm with operating temperature of 500C |
Mäkelä, T. | Thermal Roll-to-Roll Imprinted Nanogratings on Plastic Film |
Malainou, A. | Nanopatterned surfaces via colloidal lithography and plasma processing applied to selective protein immobilization and superhydrophobicity |
Malkoc, V. | Fabrication of 3D Nano-channel Electroporation Chip for High Throughput Cell Transfection |
Mallouk, T. E. | Tracing the Kilohertz Rotation of Nanofabricated Nanomotors Propelled by Ultrasound |
Manfrinato, Vitor R. | Measurement of the electron-beam-lithography point-spread function by chromatic-aberration-corrected transmission electron microscopy and spectroscopy |
Mangat, P. | Characterization of Telecentricity Errors in High-Numerical-Aperture Extreme Ultraviolet Mask Images |
Margot, J. | Determination of experimental spot sizes & acid diffusion lengths in CAR resist for e-beam lithography at 100 kV and 5 kV |
Marrows, C. | Fabrication and Measurement of Artificial Spin Ice |
Marsi, N. | A MEMS capacitive pressure sensor employing 3C-SiC diaphragm with operating temperature of 500C |
Maruca, S. | A Rapid Diagnostic Method to Detect Single Wall Carbon Nanotubes in Nanoscale Windows |
Marusic, JC. | Hydrocarbonaceous contamination growth induced by resists outgassing under e-beam radiation |
Maruyama, Ken | Revealing Beam-induced Chemistry using Modulus Mapping in Negative-tone EUV/E-beam resists with and without cross-linker additives |
Mathai, P. P. | Tracing the Kilohertz Rotation of Nanofabricated Nanomotors Propelled by Ultrasound |
Matsui, S. | Surface evaluation of HSQ with PDMS additive after room temperature nanoimprinting using hard and soft molds
Molecular orientation of imprinted nm-order pattern of photo-cross-linkable liquid crystalline polymer |
Matsui, Y. | Micron-Powder Blending, Transportation and Separation using Surface Acoustic Waves |
Mazarov, P. | Ion Column and Source technology employing Gallium and New Ion Species for Advanced FIB Nanofabrication |
McClelland, J. J. | Scanning Ion Microscopy with Low Energy Lithium Ions |
McClelland, J.J. | Bright ion beams from laser-cooled atoms
Low Temperature Ion Source for Focused Ion Beam Nanomachining Applications |
McMorran, B. | Creation of High Resolution Electron Diffraction Gratings using FIB and E-Beam Techniques |
Mebiene-Engohang, AP. | Hydrocarbonaceous contamination growth induced by resists outgassing under e-beam radiation |
Medina, Juan | Anti-wetting surfaces fabricated by Reverse Nanoimprint Lithography on Silicon and metal-coated substrates |
Meguro, T. | Electron Beam Source using Wide Band Gap Semiconductor Photocathode with an NEA surface |
Mei , Yongfeng | Three dimensional arrangements of carbon nanotubes by dry release approach |
Mei, Yongfeng | Reusable-template-assisted fabrication of rolled-up 3D hierarchical structures |
Melvin, Lawrence S., III | Optical proximity correction using diffraction holography technique |
Menon, R. | Nanopatterning via selective dissolution of one photoisomer |
Meyhofer, Edgar | Fabrication of Vertically-Stacked MoS2-Based Thin-Film Photovoltaic Devices |
Miao, H. | Cavity optical transducer for scanning probe microscopy |
Michels, T. | Nanocavity Optomechanical Sensors and Signal Transducers
Cavity optical transducer for scanning probe microscopy |
Michishita, K. | Molecular Dynamics Study of Line Edge Roughness in Electron Beam Lithography |
Miller, Dean J. | Measurement of the electron-beam-lithography point-spread function by chromatic-aberration-corrected transmission electron microscopy and spectroscopy |
Mills, E. | Silicon Nanopillar Anodes for Lithium-Ion Batteries Using Nanoimprint Lithography with Flexible Molds |
Min, J.-H. | Fabrication of Three-Dimensional Periodic Nanostructures in Negative Photoresist Using a Colloidal Phase Mask |
Minegishi, Shinya | A Novel sub-10 nm Half Pitch Pattern Transfer Process using Directed Self-Assembly Lithography |
Mirvakili, Seyed M. | Dimensionality, Heat Transfer and Light-activated Cathodes |
Miyagi, Ken | A Novel sub-10 nm Half Pitch Pattern Transfer Process using Directed Self-Assembly Lithography |
Miyake, A. | 5keV Ebeam lithography for 16nm half pitch resolution |
Miyazaki, S. | High-heat-transfer boiling surface with micropattern replicated by nanoimprinting |
Miyazoe, Hiroyuki | High Resolution Patterning with Electron-Beam Lithography and Sidewall Image Transfer
Graphoeptiaxy directed self-assembly of lamellar phase symmetric block copolymers in the presence of two dimensional template patterns |
ML.Pourteau | Hydrocarbonaceous contamination growth induced by resists outgassing under e-beam radiation |
Moghaddam, Mehran V. | Dimensionality, Heat Transfer and Light-activated Cathodes |
Mohd-Yasin, F. | A MEMS capacitive pressure sensor employing 3C-SiC diaphragm with operating temperature of 500C
RF sputtering of AlN on 3C-SiC (100) thin film: Parameters affecting the AlN crystal orientation and deposition rate |
Mojarad, N. | High-Throughput Fabrication of High-Aspect-Ratio Metal Nanostructures for Biosensing Applications
Beyond EUV Lithography for Reaching Future Technological Nodes |
Moore, A. S. | Modified Electronic Properties of Nanoscale Polymer Fibers via Nanoparticle Doping with Melt and Solvent Electrospinning |
Moore, Richard L. | Improvements in scanning electron microscope image resolution using reference image or a sample |
Moreland, J. | Micro- and Nanofabrication for Enhanced Magnetic Resonance Imaging and Sensing |
Morgan, J. | Fabrication and Measurement of Artificial Spin Ice |
Moriya, K. | Fabrication of the optomechanical resonator with U-shaped cavities for the wavelength detection |
Morley, S. | Fabrication and Measurement of Artificial Spin Ice |
Moro, M. | Fabrication of Anti-reflection Structure Film using RTR Ultraviolet Nanoimprint Lithography |
Muddiman, B. | Sub-10 nm silicon pattern transfer using PS-PDMS block-copolymer |
Mühlberger, M. | Cloning of a fully functional Si-based photonic integrated circuit by ultraviolet nanoimprint lithography
Large-area ultraviolet nanoimprint lithography for the detailed understanding of silicon-germanium island nucleation on pit-patterned silicon substrates Fabrication of graphene-based devices by Ultraviolet Nanoimprint Lithography |
Müller, T. | Fabrication of graphene-based devices by Ultraviolet Nanoimprint Lithography |
Murakami, K. | In situ visualization of local magnetic field using low-acceleration electron beam in scanning electron microscope
Synthesis of Graphene Nanoribbons from Amyloid Templates by Solid-Phase Graphitization using Gallium as Catalyst and Their Electrical Properties |
Muray, L. | High voltage EDS on a low Voltage FESEM
Matching Low Voltage Scanning Electron Microscopy with Energy Dispersive X-ray Spectroscopy of Positively Biased Samples |
Murday, J. | Challenges to Education Addressing the Nanoscale - K through Gray |
Mutunga, E.M. | Understanding Focused Helium Ion Beam Nanomachining of Membranes and Bulk Substrates |
Mutunga, Eva | Mechanism and Applications of Helium Transmission Milling in Thin Membranes |
N |
Nagato, K. | High-Speed and Low-Energy-Consumption Replication of Nanostructures with Laser-Assisted Roller Nanoimprinting
Morphology Control of Anodic Porous Alumina Using Nanoimprinting
High-heat-transfer boiling surface with micropattern replicated by nanoimprinting |
Najafi, F. | Selective nanowire fabrication in niobium nitride using sacrificial proximity-effect-correction features in HSQ |
Nakao, M. | High-Speed and Low-Energy-Consumption Replication of Nanostructures with Laser-Assisted Roller Nanoimprinting
High-heat-transfer boiling surface with micropattern replicated by nanoimprinting |
Nam, C. –Y. | Block Copolymer Self Assembly for Design and Vapor-Phase Synthesis of Nanostructured Materials |
Nam, Chang-Yong | Nanolithography Using Transferrable Membrane Mask For Unconventional Substrates |
Nam, H. | Fabrication of Multi-Bit Data Storage Memories Based on Multilayer MoS2
Plasma-Assisted Doping for Fabricating MoS2 Diodes and Ambipolar Transistors |
Nam, Hongsuk | Fabrication of Vertically-Stacked MoS2-Based Thin-Film Photovoltaic Devices |
Namboodiri, P. | Optimizing SiO2 Hard Etch Masks for Atomic Scale Patterning |
Nanda, Gaurav | Contacting and structuring graphene by helium ion beam processing |
Nano-Bionics | Fabrication of nano-patterned sapphire substrate by hybrid nano-imprint lithography in combination with nickel as etching mask |
Narcross, Hannah L. | Advancements in Cross-linked Resist Materials for High Resolution Patterning |
Nealey, P. | Thermodynamics and kinetics of block copolymer assembly on chemically patterned surfaces |
Nealey, P.F. | Readying Directed Self-Assembly for Patterning in Semi-Conductor Manufacturing |
Neisser, Mark | The Litho Roadmap: Is it a straight path? |
Ni, Mengyang | Fabrication of nano-patterned sapphire substrate by hybrid nano-imprint lithography in combination with nickel as etching mask |
Nicaise, S. M. | Using Self-Assembled Block Copolymer Patterns to Template Ultra–Fine Bilayer Mesh Structures |
Nicaise, S. | High-Resolution Templated Hydrothermal Growth of ZnO Nanowires |
Nicolet, C. | PMMA removal options for DSA contact shrink application |
Nielsen, Theodor | Anti-wetting surfaces fabricated by Reverse Nanoimprint Lithography on Silicon and metal-coated substrates |
Nieradka, K. | Invited: Scanning Probes for Nanomanufacturing |
Nihashi, Wataru | Negative tone imaging with EUV exposure |
Nijhuis, Christian A. | Reusable Silicon Templates for Fabricating Sub-10-nm Grooves In Metal Films |
Nikolov, N. | Invited: Scanning Probes for Nanomanufacturing |
Nishikawa, H. Hayashi,H. | Fabrication of PDMS micro-lens arrays on a PET film by proton beam writing |
Nishitani, T. | Electron Beam Source using Wide Band Gap Semiconductor Photocathode with an NEA surface |
Noh, Joo Hyon | Purification Strategies for Electron Beam Induced Deposition |
Nojeh, Alireza | Dimensionality, Heat Transfer and Light-activated Cathodes |
Notte, J. | The High Brightness Neon Beam: From Source to Sample |
O |
Ocola, L. E. | Ion Beam Micromachining of Surface Phonon-Polariton Metamaterials Structures in SiC and h-BN |
Ohashi, G. | Synthesis of Graphene Nanoribbons from Amyloid Templates by Solid-Phase Graphitization using Gallium as Catalyst and Their Electrical Properties |
Ohya, K. | Charging Simulation of Insulating Layers on a Conducting Substrate Irradiated by Ion and Electron beams |
Okada, I. | Micron-Powder Blending, Transportation and Separation using Surface Acoustic Waves |
Okada, M. | Surface evaluation of HSQ with PDMS additive after room temperature nanoimprinting using hard and soft molds
Molecular orientation of imprinted nm-order pattern of photo-cross-linkable liquid crystalline polymer |
Oldham, Christopher | Fabrication of ZnO Nano-Spring for Transparent Stretchable Conductor
Fabrication of ZnO Three-Dimensional Hierarchical Nanostructures for Wicking Applications |
Oleksak, R. | Temperature Programmed and Electron Stimulated Desorption From HafSOx Inorganic Resists |
Olynick, D. L. | Sub-10 nm silicon pattern transfer using PS-PDMS block-copolymer |
Olynick, D. | Step-and-Repeat Nanoimprinting on pre-spin coated film: from sub-15 nm metal patterning to the fabrication of a spectrometer-on-chip |
Olynick, Deirdre | Revealing Beam-induced Chemistry using Modulus Mapping in Negative-tone EUV/E-beam resists with and without cross-linker additives |
Olziersky, A. | Feature proximity effects on the roughness and size variability of electron beam contact patterns |
Ongayi, O. | Design Strategy for Improving EUV Contact Hole Resist Performance |
Ono, H. | Molecular orientation of imprinted nm-order pattern of photo-cross-linkable liquid crystalline polymer |
Ono, T. | Source gas distribution measurement in focused-ion-beam chemical vapor deposition using graphene sensing device |
Ormos, P. | Multi-focus two-photon polymerization with real time calculated holograms |
Oshidari, Y. | High Q factor graphene mechanical resonator fabrication using the clamp-bending method |
Owen, J. H. G. | Patterned Epitaxial Growth of Ge nanostructures |
Owen, James | Progress Towards NEMS Devices based on STM-fabricated Hydrogen Depassivation Patterns |
Owen, W. | Patterned Epitaxial Growth of Ge nanostructures |
Özaslan, M. | High-resolution and large-area nanoparticle arrays for model systems in catalysis using EUV lithography techniques |
Ozlem, Melih | Graphoeptiaxy directed self-assembly of lamellar phase symmetric block copolymers in the presence of two dimensional template patterns |
P |
Pain , L. | Roughness mitigation techniques for electron beam lithography |
Pain, L. | Electron Shot Noise Effects For 5keV EBDW
Present nanopatterning alternative and associated application opportunities
Determination of experimental spot sizes & acid diffusion lengths in CAR resist for e-beam lithography at 100 kV and 5 kV
Hydrocarbonaceous contamination growth induced by resists outgassing under e-beam radiation |
Palma, M. | Directed Biomolecular Assembly of Functional Nanostructures |
Pandey, Sunil | Coffee-ring Effect in Concentrating Analytes in 3D Cavity Array for Ultra-sensitive Detection |
Pang, L. | Single-Mode-Resonance interference in photoresist sub-micron waveguide for high exposure depth nanolithography |
Panga,b, S.W. | Cell Migration Directionality Control by Transitions on Patterned Substrates |
Papenheim, M. | Effect of residual stress on replication fidelity with nanoimprint
Impact of morphology on T-NIL with semi-crystalline P3HT
Guided wrinkling with nanoimprinted SU-8 surfaces |
Paraskevi, Aghia | Feature proximity effects on the roughness and size variability of electron beam contact patterns |
Pargon , E. | Roughness mitigation techniques for electron beam lithography |
Park, B. C. | Simulation of SEM Images by Using Monte-Carlo Technique for Quantitative Analysis of Semiconductor Devices |
Park, Byong Chon | Cross-sectional AFM in SEM |
Park, Kyung Jin | Fabrication of Au Nanopore on Pyramid using various electron beam and Ion Beam techniques |
Park, Myoung Jin | Fabrication of Au Nanopore on Pyramid using various electron beam and Ion Beam techniques |
Park, Nam Kyou | Fabrication of Au Nanopore on Pyramid using various electron beam and Ion Beam techniques |
Park, Seung-ha | Study on the correlation of Hole etch and Byproduct |
Parsons, Gregory | Fabrication of ZnO Nano-Spring for Transparent Stretchable Conductor
Fabrication of ZnO Three-Dimensional Hierarchical Nanostructures for Wicking Applications |
Patil, A. | Acid catalyst transport in chemically amplified resist films |
Patrone, Paul N. | DNA Origami-Gold Nanoparticle Constructs: DNA Origami-Gold Nanoparticle Constructs: Design for Nanomanufacturing |
Patsis, G.P. | Feature proximity effects on the roughness and size variability of electron beam contact patterns |
Paul, Philip | Thermal Probe Nanolithography: What You See is What You Get |
Pedulla, M. | Synthesis and Characterization of Apatite Nanoparticles for Bioengineering Applications |
Peng, Ruoming | Demonstration of High Transmittance Color Filter Using Plasmonic Nanocavity Array (D2PA) Fabricated by Nanoimprint
Nanoplasmonic Cavity Enhanced Microfluidic Immunoassay with 5-minutes Incubation Time, 7.8 pM Sensitivity and 100 nL Sample |
Penzo, E. | Lithographically directed DNA assembly of carbon nanotubes for nanoelectronic applications
Directed Biomolecular Assembly of Functional Nanostructures |
Peroz, C. | Combining Plasmonics and Nanofluidics for Single Molecule Detection
Step-and-Repeat Nanoimprinting on pre-spin coated film: from sub-15 nm metal patterning to the fabrication of a spectrometer-on-chip Direct Printing of Planar Photonic Circuits with High Refractive Index
Photonic integrated spectrometer-on-chip based on digital planar holograms |
Perrin, B. | Fabrication of free standing copper nanowires for vibrational response study through transient reflectivity |
Petkov, N. | Correlation of SML and ZEP Resists Lithographic Performance with the Resist Chemistry. |
Petrou, P.S. | Nanopatterned surfaces via colloidal lithography and plasma processing applied to selective protein immobilization and superhydrophobicity |
Philipsen, V. | Characterization of Telecentricity Errors in High-Numerical-Aperture Extreme Ultraviolet Mask Images |
Pi, Shuang | Nanoscale Resistance Switches for Radio Frequency Applications |
Picaud, F. | Influence of ionic organization on current blockade induced by translocation of small macromolecule through a single nanopore |
Pieczulewski, C. | PMMA removal options for DSA contact shrink application |
Pierce, J. | Creation of High Resolution Electron Diffraction Gratings using FIB and E-Beam Techniques |
Pina-Hernandez, C. | Direct Printing of Planar Photonic Circuits with High Refractive Index |
Piner, Richard D. | High-performance graphene electronics on standard SiO2/Si substrates enabled by new synthesis and transfer methods |
Pitera, Jed | Graphoeptiaxy directed self-assembly of lamellar phase symmetric block copolymers in the presence of two dimensional template patterns Design and Customization of Directed Self-Assembly Patterns |
Plank, Harald | Purification Strategies for Electron Beam Induced Deposition |
Plenat, T. | Fabrication of zero-mode waveguides with a high-resolution FIB nanowriter |
Pochat-Bohatier, C. | Influence of ionic organization on current blockade induced by translocation of small macromolecule through a single nanopore |
Polyakov, A. | Direct Printing of Planar Photonic Circuits with High Refractive Index |
Prakash, M. | Foldscope: Origami-Based Paper Microscope
Splash-Stop Lithography: Printed Aspherical Micro Lenses |
Prasad, Dinesh | Effect of embedding ErAs nanoparticles on thermal conductivity of In0.53Ga0.47As semiconductors: Phonon scattering mechanism |
Priessnitz, G. | Fabrication of Multi-Bit Data Storage Memories Based on Multilayer MoS2 |
Putnam, W. P. | Nanofabrication and Characterization of Ultrafast Plasmonic Au Nanorod Array Photocathodes |
Pyzyna, A. | Nanofabrication of gate-all-around silicon nanowire MOSFETs for the 10 nm node and beyond |
Pyzyna, Adam | High Resolution Patterning with Electron-Beam Lithography and Sidewall Image Transfer |
Q |
Qi, Ji | Coffee-ring Effect in Concentrating Analytes in 3D Cavity Array for Ultra-sensitive Detection |
Qiana,b,c, W.X. | Cell Migration Directionality Control by Transitions on Patterned Substrates |
Qiu, Zhijun | Three dimensional arrangements of carbon nanotubes by dry release approach |
Qu, Tuo | Fabrication of Large-area Nanopatterns by All-fiber Interference Lithography (AFIL) System |
Qu, XP | Sub-wavelength gold nano pillars for high sensitive LSPR sensors |
Quan, B.G. | Dynamic tuning SERS of silver interdigital nanogratings under external electric field |
Quan, Z.S.Hu,B.G. | Morphology inducing maskless plasma etching of AlN nanocone arrays with Tip-size dependent photoluminescence properties |
R |
Rack, P.D. | Focused Neon Ion Beam Induced Sputtering of Copper and Silicon Dioxide by Monte Carlo Simulations |
Rack, Philip D. | Purification Strategies for Electron Beam Induced Deposition |
Raghunathan, S. | Characterization of Telecentricity Errors in High-Numerical-Aperture Extreme Ultraviolet Mask Images |
Rahman, A. | Block Copolymer Self Assembly for Design and Vapor-Phase Synthesis of Nanostructured Materials |
Rajan, Siddharth | High Electron Mobility Few Layer MoS2 Grown by Chemical Vapor Deposition |
Ramasse, Q.M. | Atom by Atom Analysis and Nanolithography |
Randall, J. N. | Patterned Epitaxial Growth of Ge nanostructures |
Randall, John | Progress Towards NEMS Devices based on STM-fabricated Hydrogen Depassivation Patterns |
Rangelow, I. W. | Focused ion beam assisted fabrication and application of high speed scanning thermal microscopy selfactuated piezoresistive probe |
Rangelow, I.W. | Invited: Scanning Probes for Nanomanufacturing
Cavity optical transducer for scanning probe microscopy |
Rawlings, Colin | Thermal Probe Nanolithography: What You See is What You Get |
Ray, V. | Enhanced Removal of Material by FIB Etching at Glancing Angle of Incidence in Cross-Sectioning Application
Effects of Beam Raster Parameters and Up/Down Slope Direction on Multiple-Raster Etching of Material by Focused Ion Beam at Glancing Angle of Incidence |
Reche, J. | Determination of experimental spot sizes & acid diffusion lengths in CAR resist for e-beam lithography at 100 kV and 5 kV |
Reese, W. M. | Nanoscale Crater Interfaces Guide Cell Migration and Patterning |
Reid, H. E. | Synthesis and Characterization of Apatite Nanoparticles for Bioengineering Applications |
Ren, Y. | High Throughput Imaging in a Multibeam SEM |
Rettner, Charles T. | Design and Customization of Directed Self-Assembly Patterns |
Reuma, A. | Invited: Scanning Probes for Nanomanufacturing |
Ro, Hyun-Wook | The Effects of Thin Films Confinement on Polymer Viscosity and Thermal Nanoimprint Lithography Patterning |
Roberts, Nicholas A. | Purification Strategies for Electron Beam Induced Deposition |
Rockstroh, W. | Fabrication of graphene-based devices by Ultraviolet Nanoimprint Lithography |
Rogers, John | Recent Progress in Solid and Liquid Printing Techniques for Nanofabrication |
Rooks, M.J. | Vertical Directionality Controlled Metal Assisted Chemical Etching for Ultra-High Aspect Ratio Nanoscale Structures |
Rosa, A. M. | Spacer Lithography for 3D MOS Devices Using Amorphous Silicon Deposited by ECR-CVD |
Ross, B. M. | Modified Electronic Properties of Nanoscale Polymer Fibers via Nanoparticle Doping with Melt and Solvent Electrospinning |
Ross, C. A. | Using Self-Assembled Block Copolymer Patterns to Template Ultra–Fine Bilayer Mesh Structures |
Rudek, M. | Focused ion beam assisted fabrication and application of high speed scanning thermal microscopy selfactuated piezoresistive probe |
Ruoff, Rodney S. | High-performance graphene electronics on standard SiO2/Si substrates enabled by new synthesis and transfer methods |
Rupesinghe, N. | Fabrication of graphene-based devices by Ultraviolet Nanoimprint Lithography |
Ryu, Byung-Sul | Study on the correlation of Hole etch and Byproduct |
S |
Saffih, F. | Fabrication of silicon nanostructures with large taper angle by reactive ion etching |
Sahin, R. | Bessel-Beam Nano-patterning of Graphene |
Saiki, T. | Micron-Powder Blending, Transportation and Separation using Surface Acoustic Waves |
Saini, Rahul | Progress Towards NEMS Devices based on STM-fabricated Hydrogen Depassivation Patterns |
Sakdinawat, A. | Vertical Directionality Controlled Metal Assisted Chemical Etching for Ultra-High Aspect Ratio Nanoscale Structures |
Sanabia, J. E. | Ion Column and Source technology employing Gallium and New Ion Species for Advanced FIB Nanofabrication |
Sanders, Daniel P. | Design and Customization of Directed Self-Assembly Patterns |
Sarkar, Neil | Progress Towards NEMS Devices based on STM-fabricated Hydrogen Depassivation Patterns |
Sasago, M. | Built-in Lens Mask Lithography |
Sasaki, T. | Molecular orientation of imprinted nm-order pattern of photo-cross-linkable liquid crystalline polymer |
Sasorov, Pavel | Photonic integrated spectrometer-on-chip based on digital planar holograms |
Sassolini, Gu,# S. | Sub-10 nm silicon pattern transfer using PS-PDMS block-copolymer |
Sassolini, S. | Step-and-Repeat Nanoimprinting on pre-spin coated film: from sub-15 nm metal patterning to the fabrication of a spectrometer-on-chip Photonic integrated spectrometer-on-chip based on digital planar holograms |
Sato, Hironobu | A Novel sub-10 nm Half Pitch Pattern Transfer Process using Directed Self-Assembly Lithography |
Saupe, J. | Effect of residual stress on replication fidelity with nanoimprint |
Savari, S. | An Information Theoretic Perspective on E-beam Direct Write as Complementary Lithography |
Savu, V. | Microtechnology to Taste - Holographic chocolate for the supermarket |
Sayan, S. | Readying Directed Self-Assembly for Patterning in Semi-Conductor Manufacturing |
Schäffler, F. | Large-area ultraviolet nanoimprint lithography for the detailed understanding of silicon-germanium island nucleation on pit-patterned silicon substrates |
Scheer, H.-C. | Effect of residual stress on replication fidelity with nanoimprint
Impact of morphology on T-NIL with semi-crystalline P3HT
Guided wrinkling with nanoimprinted SU-8 surfaces |
Schift, H. | Energy-based geometry evolution and 3D simulation of thermal polymer reflow |
Schmidt, T. J. | High-resolution and large-area nanoparticle arrays for model systems in catalysis using EUV lithography techniques |
Schönfeld, M. | Effect of residual stress on replication fidelity with nanoimprint |
Schuh, A. | Invited: Scanning Probes for Nanomanufacturing |
Schumacher, J. | Total Nanofluidic Confinement Devices Nanofabricated by Focused Ion Beam Milling |
Schurink, B. | Nanopatterned substrate stiffness affects primary cortical cell network formation |
Seiad, M. Loucif | Effect of processing parameters on self-assembly of cylindrical phase PS-b-PMMA BCPs on 300 mm wafers |
Seino, Yuriko | A Novel sub-10 nm Half Pitch Pattern Transfer Process using Directed Self-Assembly Lithography |
Servin, I. | PMMA removal options for DSA contact shrink application |
Shao, JH | Sub-wavelength gold nano pillars for high sensitive LSPR sensors |
Shao, Jinhai | Multistep Aztec profile by an iteration process using 3D electron beam lithography for angle resolved micro-spectrometers
Effect of resist sensitivity difference on the T shape profile by Monte Carlo simulation
Monte Carlo simulation and developing study of resist profile for high aspect ratio Fresnel zone plates |
Shao, Jinyou | Dynamic modeling of Electrohydrodynamic Patterning by Moving Mesh Method
Electrohydrodynamic Analysis of L-DEP Force Driven Imprinting Process by Coupling L-DEP Theory and Two Phase Flow Method
Fabrication of Microlens Arrays with Controllable Numerical Aperture Based on Electrically Induced Dewetting by Spatially Modulcated Electric Field |
Sharma, Renu | High-Resolution Imaging and Spectroscopy at High Pressure: A Novel Liquid Cell for the TEM |
Sharp, Brandon | Advancements in Cross-linked Resist Materials for High Resolution Patterning |
Sheetz, M. | A versatile single-molecule nanoarray platform for T-cell activation |
Shen, Wenxin | Creation and transfer of gratings with spatially-varying periodicity |
Shen, X. | Construction of 3D Plasmonic Chiral Nanostructures on DNA Template |
Shi, D. | Fabrication and Measurement of Artificial Spin Ice |
Shi, R. | Single-Mode-Resonance interference in photoresist sub-micron waveguide for high exposure depth nanolithography |
Shiao, Ming-Hua | Metamaterials Constructed by Three Dimensional Split Ring Resonators |
Shikazono, N. | High-heat-transfer boiling surface with micropattern replicated by nanoimprinting |
Shima, Darryl | Mechanism and Applications of Helium Transmission Milling in Thin Membranes |
Shin, I.-K. | Minimization of Line Edge Roughness and CD Error in Electron-beam Lithography
Experimental Verification of Achieving Vertical Sidewall for Nanoscale Features in Electron-beam Lithography
Determination and Analysis of Minimal Dose for Achieving Vertical Sidewall in Electron-beam Lithography |
Shiotsu, Y. | Impact of Resist Shrinkage on De-molding Process in NIL |
Shiraki, K. | Synthesis of Graphene Nanoribbons from Amyloid Templates by Solid-Phase Graphitization using Gallium as Catalyst and Their Electrical Properties |
Shu, W.L. | Fabrication of plastic high-aspect-ratio microfluidic devices for rare cell isolation using a PDMS stamp |
Silver, R. | Optimizing SiO2 Hard Etch Masks for Atomic Scale Patterning |
Simsek, E. | Bessel-Beam Nano-patterning of Graphene |
Singh, A. |
Readying Directed Self-Assembly for Patterning in Semi-Conductor Manufacturing
Effect of processing parameters on self-assembly of cylindrical phase PS-b-PMMA BCPs on 300 mm wafers |
Singh, Gurpreet | Design and Customization of Directed Self-Assembly Patterns |
Sinica, Academia | Metamaterials Constructed by Three Dimensional Split Ring Resonators |
Skinner, J. L. | Synthesis and Characterization of Apatite Nanoparticles for Bioengineering Applications
Modified Electronic Properties of Nanoscale Polymer Fibers via Nanoparticle Doping with Melt and Solvent Electrospinning |
Sleight, J. W. | Nanofabrication of gate-all-around silicon nanowire MOSFETs for the 10 nm node and beyond |
Smits, M. | Hydrocarbonaceous contamination growth induced by resists outgassing under e-beam radiation |
Smyrnakis, A. | Ultra-High Aspect Ratio, Plasma Etched Silicon Nanowires: Optical Properties and Mechanical Stability |
SOITEC, MARUSIC Jean-Christophe | Hydrocarbonaceous contamination growth induced by resists outgassing under e-beam radiation |
Soles, Christopher L. | The Effects of Thin Films Confinement on Polymer Viscosity and Thermal Nanoimprint Lithography Patterning |
Song, M. C. | Simulation of SEM Images by Using Monte-Carlo Technique for Quantitative Analysis of Semiconductor Devices |
Song, Woon | Cross-sectional AFM in SEM |
Sotomayor, Clivia. | Fabrication of embedded silver grid electrodes for ITO-free organic solar cells applications |
Spallas, J. | High voltage EDS on a low Voltage FESEM
Matching Low Voltage Scanning Electron Microscopy with Energy Dispersive X-ray Spectroscopy of Positively Biased Samples |
Sperling, B. | Transfer-Free Wafer-Scale Growth of Graphene on Thin-Film Copper |
Srinivasan, K. | Nanocavity Optomechanical Sensors and Signal Transducers |
Stach, Eric A. | Measurement of the electron-beam-lithography point-spread function by chromatic-aberration-corrected transmission electron microscopy and spectroscopy |
Stan, G. | Optimizing SiO2 Hard Etch Masks for Atomic Scale Patterning |
Stanford, Michael G. | Purification Strategies for Electron Beam Induced Deposition |
Stavis, S. M. | Tracing the Kilohertz Rotation of Nanofabricated Nanomotors Propelled by Ultrasound
Total Nanofluidic Confinement Devices Nanofabricated by Focused Ion Beam Milling |
Stavis, Samuel M. | DNA Origami-Gold Nanoparticle Constructs: DNA Origami-Gold Nanoparticle Constructs: Design for Nanomanufacturing |
Steele, A.V. | Bright ion beams from laser-cooled atoms
Low Temperature Ion Source for Focused Ion Beam Nanomachining Applications |
Steigerwald, M. | A Mirror-Corrected Scanning Electron Microscope |
Stein, A. | Fabrication and Measurement of Artificial Spin Ice
Block Copolymer Self Assembly for Design and Vapor-Phase Synthesis of Nanostructured Materials |
Stein, G. | Acid catalyst transport in chemically amplified resist films |
Steinberg, C. | Effect of residual stress on replication fidelity with nanoimprint
Impact of morphology on T-NIL with semi-crystalline P3HT
Guided wrinkling with nanoimprinted SU-8 surfaces |
Steiner, Philip | Lithography Challenges and Opportunities in Bit Patterned Media at 1.5 Tdots/in2 and Beyond |
Stepanova, T. Olsen. M. | Programmed Self-assembly of Microscale Components Using Biomolecular Recognition |
Su, Dong | Measurement of the electron-beam-lithography point-spread function by chromatic-aberration-corrected transmission electron microscopy and spectroscopy |
Sugano, N. | Surface evaluation of HSQ with PDMS additive after room temperature nanoimprinting using hard and soft molds |
Sui, Mong-Jun | Focused Ion Beam for Biological Studies |
Sun, W. J. | Dynamic tuning SERS of silver interdigital nanogratings under external electric field
Morphology inducing maskless plasma etching of AlN nanocone arrays with Tip-size dependent photoluminescence properties |
Sun, Yan | Monte Carlo simulation and developing study of resist profile for high aspect ratio Fresnel zone plates |
T |
Tabuchi, M. | Electron Beam Source using Wide Band Gap Semiconductor Photocathode with an NEA surface |
Tada, K. | Computational Study of Electron Irradiation Effects on Vibrational Properties of Carbon Nanotube Cantilevers |
Tai, Renzhong | The soft X-ray Interference Lithography Beamline (BL08U1B) at SSRF |
Takahashi, K. | High-Speed and Low-Energy-Consumption Replication of Nanostructures with Laser-Assisted Roller Nanoimprinting
High-heat-transfer boiling surface with micropattern replicated by nanoimprinting |
Takahashi, M. | Durability of DLC Release Coating in Ultraviolet Nanoimprint Lithography |
Takahashi, T. | Synthesis of Graphene Nanoribbons from Amyloid Templates by Solid-Phase Graphitization using Gallium as Catalyst and Their Electrical Properties |
Takahata, Kenichi | Dimensionality, Heat Transfer and Light-activated Cathodes |
Takai, E. | Synthesis of Graphene Nanoribbons from Amyloid Templates by Solid-Phase Graphitization using Gallium as Catalyst and Their Electrical Properties |
Takai, R. | Molecular Dynamics Study on Demolding Process in Nanoimprint Lithography |
Tan, S. | Focused Neon Ion Beam Induced Sputtering of Copper and Silicon Dioxide by Monte Carlo Simulations |
Tan, Shida | Mechanism and Applications of Helium Transmission Milling in Thin Membranes |
Tanaka, Takuo | Metamaterials Constructed by Three Dimensional Split Ring Resonators |
Tanaka, Yusuke | Characterization of Small Phase Defect on EUV Mask Using Micro Coherent EUV Scatterometry Microscope |
Tanase, Mihaela | High-Resolution Imaging and Spectroscopy at High Pressure: A Novel Liquid Cell for the TEM |
Taneda, R. | Computational Study of Electron Irradiation Effects on Vibrational Properties of Carbon Nanotube Cantilevers |
Tang, Yu-Hsiang | Metamaterials Constructed by Three Dimensional Split Ring Resonators |
Tanga,b, Q.Y. | Cell Migration Directionality Control by Transitions on Patterned Substrates |
Taniguchi, J. | Durability of DLC Release Coating in Ultraviolet Nanoimprint Lithography
Surface Force Measurement of Nanoimprint Lithography Molds and Resin Materials |
Taniguchi, Jun | Thermal Roll-to-Roll Imprinted Nanogratings on Plastic Film |
Tao,1, Li | High-performance graphene electronics on standard SiO2/Si substrates enabled by new synthesis and transfer methods |
Tarutani, Shinji | Negative tone imaging with EUV exposure |
Tech, Montana | Modified Electronic Properties of Nanoscale Polymer Fibers via Nanoparticle Doping with Melt and Solvent Electrospinning |
Technolo, Georgia Institute of | Advancements in Cross-linked Resist Materials for High Resolution Patterning |
Thackeray, J. | Design Strategy for Improving EUV Contact Hole Resist Performance |
Thayne, I.G. | An anisotropic low power, low DC bias, SF6/C4F8 inductively coupled plasma etch process of molybdenum with critical dimension of 30nm suitable for compound semiconductor devices |
Thiam, N.A. | Electron Shot Noise Effects For 5keV EBDW |
Thomas, G. A. | A Rapid Diagnostic Method to Detect Single Wall Carbon Nanotubes in Nanoscale Windows |
Thomas, O. | Fabrication of free standing copper nanowires for vibrational response study through transient reflectivity |
Thoms, S. | Improved Alignment Algorithm for Electron Beam Lithography
An Investigation of CSAR 62, a New Resist for Electron Beam Lithography |
Tian, Hongmiao | Dynamic modeling of Electrohydrodynamic Patterning by Moving Mesh Method
Electrohydrodynamic Analysis of L-DEP Force Driven Imprinting Process by Coupling L-DEP Theory and Two Phase Flow Method
Fabrication of Microlens Arrays with Controllable Numerical Aperture Based on Electrically Induced Dewetting by Spatially Modulcated Electric Field |
Tian, S. B. | Dynamic tuning SERS of silver interdigital nanogratings under external electric field |
Tiberio, R.C. | Vertical Directionality Controlled Metal Assisted Chemical Etching for Ultra-High Aspect Ratio Nanoscale Structures |
Timilsina, R. | Nanoscale Focused Electron Beam Induced Etching: Monte Carlo Simulations
Focused Neon Ion Beam Induced Sputtering of Copper and Silicon Dioxide by Monte Carlo Simulations |
Tiron, R. | Present nanopatterning alternative and associated application opportunities
PMMA removal options for DSA contact shrink application |
Tjio, Melia | Design and Customization of Directed Self-Assembly Patterns |
Tochino, T. | Impact of Resist Shrinkage on De-molding Process in NIL |
Toimil-Molares, M.E. | Fabrication of free standing copper nanowires for vibrational response study through transient reflectivity |
Tolbert, Laren M. | Advancements in Cross-linked Resist Materials for High Resolution Patterning |
Tomikawa, C. | Fabrication of zero-mode waveguides with a high-resolution FIB nanowriter |
Torres, Andres | Directed Self Assembly: A practical perspective |
Torres, Clivia Sotomayor | Anti-wetting surfaces fabricated by Reverse Nanoimprint Lithography on Silicon and metal-coated substrates |
Torres, M. | Fabrication of embedded silver grid electrodes for ITO-free organic solar cells applications |
Truskett, T.M. | Computational Design Tools for Directed Self-Assembly of Particles on Surfaces |
Tsai, Din Ping | Metamaterials Constructed by Three Dimensional Split Ring Resonators |
Tsai, H. | Nanofabrication of gate-all-around silicon nanowire MOSFETs for the 10 nm node and beyond |
Tsai, Hsin-Yu | Graphoeptiaxy directed self-assembly of lamellar phase symmetric block copolymers in the presence of two dimensional template patterns Design and Customization of Directed Self-Assembly Patterns |
Tschupp, S. A. | High-resolution and large-area nanoparticle arrays for model systems in catalysis using EUV lithography techniques |
Tsikrikas, N. | Feature proximity effects on the roughness and size variability of electron beam contact patterns |
Tsubaki, Hideaki | Negative tone imaging with EUV exposure |
Tung, Maryann C. | Defect Reduction of Peanut-Shaped Direct Self-Assembly using Hompolymer |
Twedt, K. A. | Scanning Ion Microscopy with Low Energy Lithium Ions |
Twedt, K.A. | Bright ion beams from laser-cooled atoms |
U |
Ueda, N. | Built-in Lens Mask Lithography |
Uemura, K. | Impact of Resist Shrinkage on De-molding Process in NIL |
Unno, N. | Thermal Roll-to-Roll Imprinted Nanogratings on Plastic Film |
Usui, Youich | Characterization of Small Phase Defect on EUV Mask Using Micro Coherent EUV Scatterometry Microscope |
Utsumi, Y. | Micron-Powder Blending, Transportation and Separation using Surface Acoustic Waves |
V |
Valley, Castro | Step-and-Repeat Nanoimprinting on pre-spin coated film: from sub-15 nm metal patterning to the fabrication of a spectrometer-on-chip |
van Langen-Suurling, Anja | Evaluation of EUV resist performance below 20-nm critical dimension using helium ion lithography |
Van Look, L. | Readying Directed Self-Assembly for Patterning in Semi-Conductor Manufacturing |
Van, H. H. | Solid-state fabrication of ultrathin carbon nanotube – graphene hybrid cathodes for electron field emission |
Vandenberghe, G. | Characterization of Telecentricity Errors in High-Numerical-Aperture Extreme Ultraviolet Mask Images |
Vanero, D.A. | Fabrication and Measurement of Artificial Spin Ice |
Vannufel | 5keV Ebeam lithography for 16nm half pitch resolution |
Vargas-Lara, Fernando | DNA Origami-Gold Nanoparticle Constructs: DNA Origami-Gold Nanoparticle Constructs: Design for Nanomanufacturing |
Vaz, A. R. | Spacer Lithography for 3D MOS Devices Using Amorphous Silicon Deposited by ECR-CVD |
Vecchioni, S. | Directed Biomolecular Assembly of Functional Nanostructures |
Veldhoven, Emile van | Evaluation of EUV resist performance below 20-nm critical dimension using helium ion lithography |
Verduijn, E. | Characterization of Telecentricity Errors in High-Numerical-Aperture Extreme Ultraviolet Mask Images |
Verduin, T. | LER Measurement in Low Dose CD-SEM Images |
Verspaget, Coen | Evaluation of EUV resist performance below 20-nm critical dimension using helium ion lithography |
Veyan, J.-F. | Patterned Epitaxial Growth of Ge nanostructures |
Viswanathan, V. | Nanoscale Imaging of Plasmonic Structures with A Transmission Photoemission Electron Microscope |
Vizsnyiczai, G. | Multi-focus two-photon polymerization with real time calculated holograms |
Vladár, A.E. | Understanding Focused Helium Ion Beam Nanomachining of Membranes and Bulk Substrates |
Vladár, András | Mechanism and Applications of Helium Transmission Milling in Thin Membranes |
Vockenhuber, M. | Beyond EUV Lithography for Reaching Future Technological Nodes |
Volger, M. | Step-and-Repeat Nanoimprinting on pre-spin coated film: from sub-15 nm metal patterning to the fabrication of a spectrometer-on-chip |
Vuckovic, Jelena | From optical interconnects to intra-cellular sensors and quantum technologies |
W |
W.Hagen, C. | High Throughput Imaging in a Multibeam SEM |
Wagner, M. | Design Strategy for Improving EUV Contact Hole Resist Performance |
Wago, Koichi | Lithography Challenges and Opportunities in Bit Patterned Media at 1.5 Tdots/in2 and Beyond |
Walker, A.R. Hight | Transfer-Free Wafer-Scale Growth of Graphene on Thin-Film Copper |
Wallow,O.Wood, T. | Characterization of Telecentricity Errors in High-Numerical-Aperture Extreme Ultraviolet Mask Images |
Wang, C. | Varying gate layout nanowire single-electron defined by electron beam lithography |
Wang, J. | High-Speed and Low-Energy-Consumption Replication of Nanostructures with Laser-Assisted Roller Nanoimprinting |
Wang, L. | Morphology Control of Anodic Porous Alumina Using Nanoimprinting |
Wang, Li | White organic light emitting diodes with enhanced light extraction and self-cleaning property served by ZnO nanopillars
Accurate dimensional monitoring of e-beam patterned grating structures by Mueller matrix polarimetry |
Wang, Liansheng | The soft X-ray Interference Lithography Beamline (BL08U1B) at SSRF |
Wang, Lu | Reusable-template-assisted fabrication of rolled-up 3D hierarchical structures |
Wang, R. | Directed Biomolecular Assembly of Functional Nanostructures |
Wang, S. | Effect of residual stress on replication fidelity with nanoimprint
Impact of morphology on T-NIL with semi-crystalline P3HT
Guided wrinkling with nanoimprinted SU-8 surfaces |
Wang, W. | Tracing the Kilohertz Rotation of Nanofabricated Nanomotors Propelled by Ultrasound |
Wang, X. S. | Metal-containing Polymer as Electron Beam Resist with High Resolution and High Etching Resistivity |
Wang, X.Q. | Optimizing SiO2 Hard Etch Masks for Atomic Scale Patterning |
Wang, Xiaohan | High-performance graphene electronics on standard SiO2/Si substrates enabled by new synthesis and transfer methods |
Wang, Y. | New Plasmonic Nanocavity Organic Light-Emitting Diode with Significantly Enhanced Light Extraction, Contrast, Viewing Angle, Brightness and Low-Glare |
Wang, Y.M. | ELift-off Free Fabrication of Nano-apertures Using Templated Dewetting |
Wang, Yifei | Full color reflective display based on high contrast gratings
Spectrum splitting using multi-layer sub-wavelength high-index-contrast grating for solar energy harvesting efficiency improvement |
Wang, Yuxuan | Demonstration of High Transmittance Color Filter Using Plasmonic Nanocavity Array (D2PA) Fabricated by Nanoimprint |
Wang, Zhiting | Fabrication of ZnO Three-Dimensional Hierarchical Nanostructures for Wicking Applications |
Warisawa, S. | High Q factor graphene mechanical resonator fabrication using the clamp-bending method
Fabrication of the optomechanical resonator with U-shaped cavities for the wavelength detection
Source gas distribution measurement in focused-ion-beam chemical vapor deposition using graphene sensing device |
Watanabe, Takeo | Characterization of Small Phase Defect on EUV Mask Using Micro Coherent EUV Scatterometry Microscope |
Watanabe, Y. | High-heat-transfer boiling surface with micropattern replicated by nanoimprinting |
Wathuthanthri, I. | Patterning of Large-Area Graphene Nanostructures via Holographic Lithography and O2 Plasma Etching
Nanoparticles-Decorated Nanocone Array of Gold for Anti-Reflective Enhancement of SERS Sensing
Patterning of High-Aspect-Ratio Nanostructures on Microtrenches using Stencil Lithography of Free-Standing Tri-Layer Membrane |
Watkins, J. | Electronic and Optical Devices via Additive Driven Self-Assembly and Nanoimprint Lithography: Towards Solution-Based Roll-to-Roll Nanomanufacturing |
Weaver, J. M. R. | Improved Alignment Algorithm for Electron Beam Lithography |
Wegener, Martin | 3D Optical Laser Lithography: No Limits? |
Wei, Lidong | Design of freeform illumination sources with arbitrary polarization for immersion lithography |
Weker, J.N. | Vertical Directionality Controlled Metal Assisted Chemical Etching for Ultra-High Aspect Ratio Nanoscale Structures |
Wen, Jianguo | Measurement of the electron-beam-lithography point-spread function by chromatic-aberration-corrected transmission electron microscopy and spectroscopy |
Wen, Liangdong | Application of Metrological Scanning Electron Microscopy in micron and nanometer structure measurement |
West, M. | Combining Plasmonics and Nanofluidics for Single Molecule Detection |
Wi, S. | Fabrication of Multi-Bit Data Storage Memories Based on Multilayer MoS2
Plasma-Assisted Doping for Fabricating MoS2 Diodes and Ambipolar Transistors |
Wi, Sungjin | Fabrication of Vertically-Stacked MoS2-Based Thin-Film Photovoltaic Devices |
Wieland, M. | Electron Shot Noise Effects For 5keV EBDW
Hydrocarbonaceous contamination growth induced by resists outgassing under e-beam radiation |
Wilson, T.M. | Bright ion beams from laser-cooled atoms |
Wind, S. J. | Lithographically directed DNA assembly of carbon nanotubes for nanoelectronic applications
A versatile single-molecule nanoarray platform for T-cell activation |
Wind, S.J. | Directed Biomolecular Assembly of Functional Nanostructures |
Wolbers, F. | Nanopatterned substrate stiffness affects primary cortical cell network formation |
Wolff, P. D. Rack,K. | Nanoscale Focused Electron Beam Induced Etching: Monte Carlo Simulations |
Wong, H.-S. Philip | Defect Reduction of Peanut-Shaped Direct Self-Assembly using Hompolymer |
Wright, C. | Creation of High Resolution Electron Diffraction Gratings using FIB and E-Beam Techniques |
Wu, C. Y. | Apertureless Beam Pen Lithography Based on Fully Metal-coated Polyurethane-acrylate (PUA) Micro-pyramids Array |
Wu, H. | The High Brightness Neon Beam: From Source to Sample |
Wu, Wei | Full color reflective display based on high contrast gratings
Spectrum splitting using multi-layer sub-wavelength high-index-contrast grating for solar energy harvesting efficiency improvement |
Wu, Y. | High voltage EDS on a low Voltage FESEM
Matching Low Voltage Scanning Electron Microscopy with Energy Dispersive X-ray Spectroscopy of Positively Biased Samples |
Wu, Yanqing | The soft X-ray Interference Lithography Beamline (BL08U1B) at SSRF |
Wu, Yiying | High Electron Mobility Few Layer MoS2 Grown by Chemical Vapor Deposition |
Wuister, Sander | Evaluation of EUV resist performance below 20-nm critical dimension using helium ion lithography |
Wuppertal, University of | Impact of morphology on T-NIL with semi-crystalline P3HT
Guided wrinkling with nanoimprinted SU-8 surfaces |
X |
Xi, Peng | Distribution of diamond NV centers created by focused helium ion beam exposure and annealing |
Xia, Q. | Cross point Si/SiOx/Si resistance switches fabricated by stacking single-crystalline fluid-supported Si membranes
TiO2-x/TaOy Bilayer Memrsitive Devices with High Endurance and High ON/OFF Ratios
Reactive Sputtering Deposition of TiOx for Memristive Devices |
Xia, Qiangfei | Nanoscale Resistance Switches for Radio Frequency Applications
TiO2 Sol-Gel Based Memristor Crossbar Arrays with Triangular Top and Bottom Metal Electrodes |
Xia, Rui | Application of Metrological Scanning Electron Microscopy in micron and nanometer structure measurement |
Xia, X.X. | Morphology inducing maskless plasma etching of AlN nanocone arrays with Tip-size dependent photoluminescence properties |
Xiao, Shuaigang | Lithography Challenges and Opportunities in Bit Patterned Media at 1.5 Tdots/in2 and Beyond |
Xie, S. | Nanopatterned substrate stiffness affects primary cortical cell network formation |
Xu, H. | Fabrication of plastic high-aspect-ratio microfluidic devices for rare cell isolation using a PDMS stamp |
Xu, Z. | Three-Dimensional Nanolithography Using Colloidal Nanospheres with Oblique and Multiple Exposures
Design of Hierarchical Three-Dimensional Porous Nanostructures using Template-Directed Colloidal Assembly |
Xua,b, Y.H. | Cell Migration Directionality Control by Transitions on Patterned Substrates |
Xue, Chaofan | The soft X-ray Interference Lithography Beamline (BL08U1B) at SSRF |
Xue, Hong | Application of Metrological Scanning Electron Microscopy in micron and nanometer structure measurement |
Y |
Yamada, I. | Source gas distribution measurement in focused-ion-beam chemical vapor deposition using graphene sensing device |
Yamada, Y. | Synthesis of Graphene Nanoribbons from Amyloid Templates by Solid-Phase Graphitization using Gallium as Catalyst and Their Electrical Properties |
Yamaguchi, A. | Micron-Powder Blending, Transportation and Separation using Surface Acoustic Waves |
Yamaguchi, Tokutaro | Fabrication of Au Nanopore on Pyramid using various electron beam and Ion Beam techniques |
Yamamoto, M. | Structural Change of Graphene during Electron Beam Process: Molecular Dynamics Study |
Yang, E.-H. | Patterning of Large-Area Graphene Nanostructures via Holographic Lithography and O2 Plasma Etching |
Yang, J.K.W. | ELift-off Free Fabrication of Nano-apertures Using Templated Dewetting |
Yang, Jing | Reusable-template-assisted fabrication of rolled-up 3D hierarchical structures
Three dimensional arrangements of carbon nanotubes by dry release approach |
Yang, Joel K. W. | Reusable Silicon Templates for Fabricating Sub-10-nm Grooves In Metal Films |
Yang, Qingzhen | Dynamic modeling of Electrohydrodynamic Patterning by Moving Mesh Method
Electrohydrodynamic Analysis of L-DEP Force Driven Imprinting Process by Coupling L-DEP Theory and Two Phase Flow Method
Fabrication of Microlens Arrays with Controllable Numerical Aperture Based on Electrically Induced Dewetting by Spatially Modulcated Electric Field |
Yang, Shumin | The soft X-ray Interference Lithography Beamline (BL08U1B) at SSRF |
Yang, Tao | Fabrication of Large-area Nanopatterns by All-fiber Interference Lithography (AFIL) System |
Yang, XiaoMin | Lithography Challenges and Opportunities in Bit Patterned Media at 1.5 Tdots/in2 and Beyond |
Yang, Xusan | Distribution of diamond NV centers created by focused helium ion beam exposure and annealing |
Yang, Y. | Nanofabrication and Characterization of Ultrafast Plasmonic Au Nanorod Array Photocathodes
Metal Adhesion Layer Induced Damping of Surface Plasmons Probed by Photoelectron Emission |
Yang, Yujia | Measurement of the electron-beam-lithography point-spread function by chromatic-aberration-corrected transmission electron microscopy and spectroscopy |
Yang, Z. | Single-Mode-Resonance interference in photoresist sub-micron waveguide for high exposure depth nanolithography |
Yankov, Vladimir | Photonic integrated spectrometer-on-chip based on digital planar holograms |
Yao, Yuhan | Full color reflective display based on high contrast gratings
Spectrum splitting using multi-layer sub-wavelength high-index-contrast grating for solar energy harvesting efficiency improvement |
Yasuda, M. | Structural Change of Graphene during Electron Beam Process: Molecular Dynamics Study
Molecular Dynamics Study of Line Edge Roughness in Electron Beam Lithography
Impact of Resist Shrinkage on De-molding Process in NIL
Molecular Dynamics Study on Demolding Process in Nanoimprint Lithography
Computational Study of Electron Irradiation Effects on Vibrational Properties of Carbon Nanotube Cantilevers |
Yatsuda, Koichi | A Novel sub-10 nm Half Pitch Pattern Transfer Process using Directed Self-Assembly Lithography |
Yavuz, M. | Water soluble and developable e-beam resist sodium PSS
Dry liftoff of metal and organic materials
Improved anti-adhesion FOTS coating for imprint mold
Smooth Au Film by Annealing for Enhanced Extraordinary Optical Transmission
Fabrication of silicon nanostructures with large taper angle by reactive ion etching
Benchtop fabrication method on non-planar surface using SAM as e-beam resist |
Yavuz, Mustafa | Numerical Investigation of Optical Behavior of Nano-hole Array with Non-vertical Sidewall Profile |
Yi, He | Defect Reduction of Peanut-Shaped Direct Self-Assembly using Hompolymer |
Yin, Bohua | Application of Metrological Scanning Electron Microscopy in micron and nanometer structure measurement |
Yin, L. | Fabrication of Patterned TiO2 Nanorod Arrays by Laser Scanning Technology for Dye-sensitized Solar Cells |
Yoo, Jung Ho | Fabrication of Au Nanopore on Pyramid using various electron beam and Ion Beam techniques |
Yoshida, K. | In situ visualization of local magnetic field using low-acceleration electron beam in scanning electron microscope |
Yoshizawa, S. | Fabrication of zero-mode waveguides with a high-resolution FIB nanowriter |
Yu, L. | Fabrication of a High Efficiency Multilayer Diffractive Phase Grating |
Yu, Zhaoning | Lithography Challenges and Opportunities in Bit Patterned Media at 1.5 Tdots/in2 and Beyond |
Yuan, Changsheng | Fabrication of nano-patterned sapphire substrate by hybrid nano-imprint lithography in combination with nickel as etching mask
A Thiol-ene Degradable Resist for Hybrid Nanoimprint-soft Lithography |
Yusuf, A.S. | Nanoscale Imaging of Plasmonic Structures with A Transmission Photoemission Electron Microscope |
Z |
Zabow, G. | Micro- and Nanofabrication for Enhanced Magnetic Resonance Imaging and Sensing |
Zadeh, Y. Hamidi | Fabricating Nanoscale Tunnel Junction for IETS Measurements |
Zaitsev, A. | Enhanced Removal of Material by FIB Etching at Glancing Angle of Incidence in Cross-Sectioning Application |
Zaitsev, K. | Enhanced Removal of Material by FIB Etching at Glancing Angle of Incidence in Cross-Sectioning Application |
Zakharov, Dmitri | Measurement of the electron-beam-lithography point-spread function by chromatic-aberration-corrected transmission electron microscopy and spectroscopy |
Zaluzec, Nestor J. | Measurement of the electron-beam-lithography point-spread function by chromatic-aberration-corrected transmission electron microscopy and spectroscopy |
Zeniou, A. | Ultra-High Aspect Ratio, Plasma Etched Silicon Nanowires: Optical Properties and Mechanical Stability |
Zhang, B.Y. | Fabrication of plastic high-aspect-ratio microfluidic devices for rare cell isolation using a PDMS stamp |
Zhang, C. | Optical Detection of Ultrasound by using Polymer Filled Silicon High Contrast Grating directly Integrated on Fiber Tip |
Zhang, Chuanwei | Accurate dimensional monitoring of e-beam patterned grating structures by Mueller matrix polarimetry
Robust profile reconstruction in optical scatterometry |
Zhang, Cuiping | Creation and transfer of gratings with spatially-varying periodicity |
Zhang, Haidong | High Electron Mobility Few Layer MoS2 Grown by Chemical Vapor Deposition |
Zhang, J. | Metal-containing Polymer as Electron Beam Resist with High Resolution and High Etching Resistivity
Smooth Au Film by Annealing for Enhanced Extraordinary Optical Transmission |
Zhang, Jian | Numerical Investigation of Optical Behavior of Nano-hole Array with Non-vertical Sidewall Profile |
Zhang, Lihua | Measurement of the electron-beam-lithography point-spread function by chromatic-aberration-corrected transmission electron microscopy and spectroscopy |
Zhang, M. | Solid-state fabrication of ultrathin carbon nanotube – graphene hybrid cathodes for electron field emission |
Zhang, Q. | Silicon Nanopillar Anodes for Lithium-Ion Batteries Using Nanoimprint Lithography with Flexible Molds |
Zhang, Qi | Demonstration of High Transmittance Color Filter Using Plasmonic Nanocavity Array (D2PA) Fabricated by Nanoimprint
Nanoplasmonic Cavity Enhanced Microfluidic Immunoassay with 5-minutes Incubation Time, 7.8 pM Sensitivity and 100 nL Sample |
Zhang, SC | Sub-wavelength gold nano pillars for high sensitive LSPR sensors |
Zhang, Sichao | Multistep Aztec profile by an iteration process using 3D electron beam lithography for angle resolved micro-spectrometers
Effect of resist sensitivity difference on the T shape profile by Monte Carlo simulation
Monte Carlo simulation and developing study of resist profile for high aspect ratio Fresnel zone plates |
Zhang, X. A. | Fabrication of Three-Dimensional Periodic Nanostructures in Negative Photoresist Using a Colloidal Phase Mask
Design of Hierarchical Three-Dimensional Porous Nanostructures using Template-Directed Colloidal Assembly |
Zhang, X. | Three-Dimensional Nanolithography Using Colloidal Nanospheres with Oblique and Multiple Exposures |
Zhang, Y. | Improved Alignment Algorithm for Electron Beam Lithography |
Zhang, Y | Sub-wavelength gold nano pillars for high sensitive LSPR sensors |
Zhang, Yun | Monte Carlo simulation and developing study of resist profile for high aspect ratio Fresnel zone plates |
Zhang, Z. | Nanoimprint Mold with Integrated Heater for Synergistic Thermal and UV Nanoimprint
Single-Mode-Resonance interference in photoresist sub-micron waveguide for high exposure depth nanolithography |
Zhao, G. | Low Cost Fabrication of Ultra-Sensitive Micro Structures and Its Characterization |
Zhao, Jun | The soft X-ray Interference Lithography Beamline (BL08U1B) at SSRF |
Zhao, Junjie | Fabrication of ZnO Nano-Spring for Transparent Stretchable Conductor
Fabrication of ZnO Three-Dimensional Hierarchical Nanostructures for Wicking Applications |
Zhao, O. | Enhanced Removal of Material by FIB Etching at Glancing Angle of Incidence in Cross-Sectioning Application
Effects of Beam Raster Parameters and Up/Down Slope Direction on Multiple-Raster Etching of Material by Focused Ion Beam at Glancing Angle of Incidence |
Zhao, X. | Minimization of Line Edge Roughness and CD Error in Electron-beam Lithography
Determination and Analysis of Minimal Dose for Achieving Vertical Sidewall in Electron-beam Lithography |
Zhou, Liangcheng | Nanoplasmonic Cavity Enhanced Microfluidic Immunoassay with 5-minutes Incubation Time, 7.8 pM Sensitivity and 100 nL Sample |
Zhou, W. | Atom by Atom Analysis and Nanolithography |
Zhu, D. | ELift-off Free Fabrication of Nano-apertures Using Templated Dewetting |
Zhu, Di | Reusable Silicon Templates for Fabricating Sub-10-nm Grooves In Metal Films |
Zhu, Jinlong | Robust profile reconstruction in optical scatterometry |
Zhu, Shou-En | Contacting and structuring graphene by helium ion beam processing |
Zhu, Zhouyang | Distribution of diamond NV centers created by focused helium ion beam exposure and annealing |
Ziegler, Dominik | Revealing Beam-induced Chemistry using Modulus Mapping in Negative-tone EUV/E-beam resists with and without cross-linker additives |
Zientek, Michal | Thermal Probe Nanolithography: What You See is What You Get |
Zöllner, J-P. | Invited: Scanning Probes for Nanomanufacturing |
Zonnevylle, A.C. | Reference markers for e-beam lithography with Electron Beam Induced Deposition |
Zou, J. | Nanocavity Optomechanical Sensors and Signal Transducers
Cavity optical transducer for scanning probe microscopy |