EIPBN

EIPBN 2014 Authors Index


A | B | C | D | E | F | G | H | I | J | K | L | M | N | O | P | Q | R | S | T | U | V | W | X | Y | Z
Name Abstract

A

A., Tavakkoli K. G.Using Self-Assembled Block Copolymer Patterns to Template Ultra–Fine Bilayer Mesh Structures

Abbas, A. S.Water soluble and developable e-beam resist sodium PSS
Dry liftoff of metal and organic materials
Abou-Chaaya, A.Influence of ionic organization on current blockade induced by translocation of small macromolecule through a single nanopore
Abrahamsson, S.Fabrication of a High Efficiency Multilayer Diffractive Phase Grating
Ahmad, A.Invited: Scanning Probes for Nanomanufacturing
Ahmed, S.Tracing the Kilohertz Rotation of Nanofabricated Nanomotors Propelled by Ultrasound
AI, Z.K.Nanoscale Imaging of Plasmonic Structures with A Transmission Photoemission Electron Microscope
Akinwande, DejiHigh-performance graphene electronics on standard SiO2/Si substrates enabled by new synthesis and transfer methods
Aksyuk, V.Nanocavity Optomechanical Sensors and Signal Transducers
Cavity optical transducer for scanning probe microscopy
Aksyuk, VladimirHigh-Resolution Imaging and Spectroscopy at High Pressure: A Novel Liquid Cell for the TEM
Akturk, S.Bessel-Beam Nano-patterning of Graphene
Alkemade, P.F.A.Contacting and structuring graphene by helium ion beam processing
Alkemade, PaulEvaluation of EUV resist performance below 20-nm critical dimension using helium ion lithography
Allen, RobertThermal Probe Nanolithography: What You See is What You Get
Alqarni, S.Water soluble and developable e-beam resist sodium PSS
Dry liftoff of metal and organic materials
Alshammari, A.Improved anti-adhesion FOTS coating for imprint mold
Fabrication of silicon nanostructures with large taper angle by reactive ion etching
Benchtop fabrication method on non-planar surface using SAM as e-beam resist
Amano, H.Electron Beam Source using Wide Band Gap Semiconductor Photocathode with an NEA surface
Amano, TsuyoshiCharacterization of Small Phase Defect on EUV Mask Using Micro Coherent EUV Scatterometry Microscope
Amemiya, H.Surface Force Measurement of Nanoimprint Lithography Molds and Resin Materials
Andrew, T.L.Nanopatterning via selective dissolution of one photoisomer
Andriolo, J. M.Synthesis and Characterization of Apatite Nanoparticles for Bioengineering Applications
Angelov, T.Invited: Scanning Probes for Nanomanufacturing
Argoud, M.PMMA removal options for DSA contact shrink application
Arisue, Y.Micron-Powder Blending, Transportation and Separation using Surface Acoustic Waves
Arnold, C. B.Silicon Nanopillar Anodes for Lithium-Ion Batteries Using Nanoimprint Lithography with Flexible Molds
Asai, C. Navarro,M.PMMA removal options for DSA contact shrink application
Asayama, Y.Structural Change of Graphene during Electron Beam Process: Molecular Dynamics Study
Asbahi, M.ELift-off Free Fabrication of Nano-apertures Using Templated Dewetting
Asbahi, MohamedReusable Silicon Templates for Fabricating Sub-10-nm Grooves In Metal Films
Ashby, Paul D.Revealing Beam-induced Chemistry using Modulus Mapping in Negative-tone EUV/E-beam resists with and without cross-linker additives
Auzelyte, V.Microtechnology to Taste - Holographic chocolate for the supermarket
Azuma, TsukasaA Novel sub-10 nm Half Pitch Pattern Transfer Process using Directed Self-Assembly Lithography

B

Babin, S.Direct Printing of Planar Photonic Circuits with High Refractive Index
Bagal, AbhijeetFabrication of ZnO Nano-Spring for Transparent Stretchable Conductor
Fabrication of ZnO Three-Dimensional Hierarchical Nanostructures for Wicking Applications
Bai, W.Using Self-Assembled Block Copolymer Patterns to Template Ultra–Fine Bilayer Mesh Structures
Baker, BowenMeasurement of the electron-beam-lithography point-spread function by chromatic-aberration-corrected transmission electron microscopy and spectroscopy
Balakrishnan, K.Nanofabrication of gate-all-around silicon nanowire MOSFETs for the 10 nm node and beyond
Balakrishnan, SrinivasanDesign and Customization of Directed Self-Assembly Patterns
Balanzat, E.Hybrid biological-artificial nanopore based on biological channel confinement inside a track-etched nanopore
Influence of ionic organization on current blockade induced by translocation of small macromolecule through a single nanopore
Balk, A. L.Tracing the Kilohertz Rotation of Nanofabricated Nanomotors Propelled by Ultrasound
Ballard, J.Patterned Epitaxial Growth of Ge nanostructures
Ballard, JoshProgress Towards NEMS Devices based on STM-fabricated Hydrogen Depassivation Patterns
Balme, S.Hybrid biological-artificial nanopore based on biological channel confinement inside a track-etched nanopore
Influence of ionic organization on current blockade induced by translocation of small macromolecule through a single nanopore
Balram, K.C.Nanocavity Optomechanical Sensors and Signal Transducers
Bangsaruntip, S.Nanofabrication of gate-all-around silicon nanowire MOSFETs for the 10 nm node and beyond
Barbara, SantaDefect Reduction of Peanut-Shaped Direct Self-Assembly using Hompolymer
Bardin, JosephNanoscale Resistance Switches for Radio Frequency Applications
Barros, P. PimentaPMMA removal options for DSA contact shrink application
Bassim, N. D.Ion Beam Micromachining of Surface Phonon-Polariton Metamaterials Structures in SiC and h-BN
Bauerdick, S.Ion Column and Source technology employing Gallium and New Ion Species for Advanced FIB Nanofabrication
Bayn, IgalNanolithography Using Transferrable Membrane Mask For Unconventional Substrates
Becerra, L.Fabrication of free standing copper nanowires for vibrational response study through transient reflectivity
Bechelany, M.Hybrid biological-artificial nanopore based on biological channel confinement inside a track-etched nanopore
Influence of ionic organization on current blockade induced by translocation of small macromolecule through a single nanopore
Beisel, J. D.Modified Electronic Properties of Nanoscale Polymer Fibers via Nanoparticle Doping with Melt and Solvent Electrospinning
Bekaert, J.Readying Directed Self-Assembly for Patterning in Semi-Conductor Manufacturing
Belliard, L.Fabrication of free standing copper nanowires for vibrational response study through transient reflectivity
Benk, M.Characterization of Telecentricity Errors in High-Numerical-Aperture Extreme Ultraviolet Mask Images
Benkel, CristianAnti-wetting surfaces fabricated by Reverse Nanoimprint Lithography on Silicon and metal-coated substrates
Berggren, K. K.Using Self-Assembled Block Copolymer Patterns to Template Ultra–Fine Bilayer Mesh Structures
Nanofabrication and Characterization of Ultrafast Plasmonic Au Nanorod Array Photocathodes
Selective nanowire fabrication in niobium nitride using sacrificial proximity-effect-correction features in HSQ
Berggren, K.High-Resolution Templated Hydrothermal Growth of ZnO Nanowires
Metal Adhesion Layer Induced Damping of Surface Plasmons Probed by Photoelectron Emission
Three-Dimensional Nanofabrication Using HSQ/PMMA Bilayer Resists
Berggren, Karl K.Measurement of the electron-beam-lithography point-spread function by chromatic-aberration-corrected transmission electron microscopy and spectroscopy
Bergmair, I.Cloning of a fully functional Si-based photonic integrated circuit by ultraviolet nanoimprint lithography
Large-area ultraviolet nanoimprint lithography for the detailed understanding of silicon-germanium island nucleation on pit-patterned silicon substrates
Fabrication of graphene-based devices by Ultraviolet Nanoimprint Lithography
Bertani, P.Fabrication of 3D Nano-channel Electroporation Chip for High Throughput Cell Transfection
Biafore, J.Design Strategy for Improving EUV Contact Hole Resist Performance
Bickford, J.HSQ on SOI Proximity and Process Loading Effects Correction from a Single 1D Characterization Sample
Bilenberg, BrianAnti-wetting surfaces fabricated by Reverse Nanoimprint Lithography on Silicon and metal-coated substrates
Black, C. T.Block Copolymer Self Assembly for Design and Vapor-Phase Synthesis of Nanostructured Materials
Blackwell, JamesRevealing Beam-induced Chemistry using Modulus Mapping in Negative-tone EUV/E-beam resists with and without cross-linker additives
Blaikie, R.Ultra-high NA, High Aspect Ratio Interference Lithography with Resonant Dielectric Underlayers
Blakborn, I.A.Reference markers for e-beam lithography with Electron Beam Induced Deposition
Blenskens, D.Guided wrinkling with nanoimprinted SU-8 surfaces
Boese, M.A Mirror-Corrected Scanning Electron Microscope
Bokhoven, J. A. vanHigh-resolution and large-area nanoparticle arrays for model systems in catalysis using EUV lithography techniques
Bonnaud, X.Interactions of Higher Order Tip Effects in CD-AFM Linewidth Metrology
Bonnecaze, R.T.Computational Design Tools for Directed Self-Assembly of Particles on Surfaces
Bourhis, E.Fabrication of zero-mode waveguides with a high-resolution FIB nanowriter
Focused Ion Beam Direct Patterning of Suspended Two-Dimensional Electron Gases
Bourke, L.Ultra-high NA, High Aspect Ratio Interference Lithography with Resonant Dielectric Underlayers
Brandt, P.Electron Shot Noise Effects For 5keV EBDW
Bresin, M.Etching of copper using liquid reactants and a focused electron beam
Breslin, C. M.Nanofabrication of gate-all-around silicon nanowire MOSFETs for the 10 nm node and beyond
Brink, M.Nanofabrication of gate-all-around silicon nanowire MOSFETs for the 10 nm node and beyond
Brink, MarkusHigh Resolution Patterning with Electron-Beam Lithography and Sidewall Image Transfer
Design and Customization of Directed Self-Assembly Patterns
Brintlinger, T.Focused Gold Ion Implantation Into Insulators For Conducting Wires
Bruce, R. L.Nanofabrication of gate-all-around silicon nanowire MOSFETs for the 10 nm node and beyond
Bruchhaus, L.Ion Column and Source technology employing Gallium and New Ion Species for Advanced FIB Nanofabrication
Brue, DanielGraphoeptiaxy directed self-assembly of lamellar phase symmetric block copolymers in the presence of two dimensional template patterns
Brugger, J.Microtechnology to Taste - Holographic chocolate for the supermarket
Bruno, G.Fabrication of graphene-based devices by Ultraviolet Nanoimprint Lithography
Budach, M.Nanoscale Focused Electron Beam Induced Etching: Monte Carlo Simulations
Budden, M.Invited: Scanning Probes for Nanomanufacturing
Bugrov, A.Direct Printing of Planar Photonic Circuits with High Refractive Index
Burgués-Ceballos, IgnasiFabrication of embedded silver grid electrodes for ITO-free organic solar cells applications
Burnell, G.Fabrication and Measurement of Artificial Spin Ice
Bustos, J.Roughness mitigation techniques for electron beam lithography

C

Cabello-Aguilar, S.Hybrid biological-artificial nanopore based on biological channel confinement inside a track-etched nanopore
Influence of ionic organization on current blockade induced by translocation of small macromolecule through a single nanopore
Cabrini, S.Combining Plasmonics and Nanofluidics for Single Molecule Detection
Step-and-Repeat Nanoimprinting on pre-spin coated film: from sub-15 nm metal patterning to the fabrication of a spectrometer-on-chip
Direct Printing of Planar Photonic Circuits with High Refractive Index
Photonic integrated spectrometer-on-chip based on digital planar holograms
Cadarso, V. J.Microtechnology to Taste - Holographic chocolate for the supermarket
Cai, H.A versatile single-molecule nanoarray platform for T-cell activation
Calafiore, G.Step-and-Repeat Nanoimprinting on pre-spin coated film: from sub-15 nm metal patterning to the fabrication of a spectrometer-on-chip
Direct Printing of Planar Photonic Circuits with High Refractive Index
Photonic integrated spectrometer-on-chip based on digital planar holograms
Caldwell, J. D.Ion Beam Micromachining of Surface Phonon-Polariton Metamaterials Structures in SiC and h-BN
Callahan, RyanNegative tone imaging with EUV exposure
CambridgeSelective nanowire fabrication in niobium nitride using sacrificial proximity-effect-correction features in HSQ
Cameron, J.Design Strategy for Improving EUV Contact Hole Resist Performance
Campoy-Quiles, MarianoFabrication of embedded silver grid electrodes for ITO-free organic solar cells applications
Canesqui, M. A.Spacer Lithography for 3D MOS Devices Using Amorphous Silicon Deposited by ECR-CVD
Cannarella, J.Silicon Nanopillar Anodes for Lithium-Ion Batteries Using Nanoimprint Lithography with Flexible Molds
Cantu, P.Nanopatterning via selective dissolution of one photoisomer
Cao, K.Metal-containing Polymer as Electron Beam Resist with High Resolution and High Etching Resistivity
Cao, M.An anisotropic low power, low DC bias, SF6/C4F8 inductively coupled plasma etch process of molybdenum with critical dimension of 30nm suitable for compound semiconductor devices
Cao, Y.Readying Directed Self-Assembly for Patterning in Semi-Conductor Manufacturing
Cao, ZhenDesign of Off-axial Objective for High-NA EUVL Using Free-form Surface Mirrors
Cassinelli, M.Fabrication of free standing copper nanowires for vibrational response study through transient reflectivity
Chabal, Y. J.Patterned Epitaxial Growth of Ge nanostructures
Chabal, YvesProgress Towards NEMS Devices based on STM-fabricated Hydrogen Depassivation Patterns
Chaik, K.RF sputtering of AlN on 3C-SiC (100) thin film: Parameters affecting the AlN crystal orientation and deposition rate
Chaker, M.Determination of experimental spot sizes & acid diffusion lengths in CAR resist for e-beam lithography at 100 kV and 5 kV
Chan, B.T.Readying Directed Self-Assembly for Patterning in Semi-Conductor Manufacturing
Chan, Barbara P.Distribution of diamond NV centers created by focused helium ion beam exposure and annealing
Chang, C.-H.Three-Dimensional Nanolithography Using Colloidal Nanospheres with Oblique and Multiple Exposures
Fabrication of Three-Dimensional Periodic Nanostructures in Negative Photoresist Using a Colloidal Phase Mask
Design of Hierarchical Three-Dimensional Porous Nanostructures using Template-Directed Colloidal Assembly
Chang, C.Vertical Directionality Controlled Metal Assisted Chemical Etching for Ultra-High Aspect Ratio Nanoscale Structures
Chang, Chih-HaoFabrication of ZnO Nano-Spring for Transparent Stretchable Conductor
Fabrication of ZnO Three-Dimensional Hierarchical Nanostructures for Wicking Applications
Chang, J.Three-Dimensional Nanofabrication Using HSQ/PMMA Bilayer Resists
Chang, L.Q.Fabrication of 3D Nano-channel Electroporation Chip for High Throughput Cell Transfection
Chang, MikeDimensionality, Heat Transfer and Light-activated Cathodes
Chang, S.High-Resolution Templated Hydrothermal Growth of ZnO Nanowires
Chayaa, A. AbouHybrid biological-artificial nanopore based on biological channel confinement inside a track-etched nanopore
Chen, Che-ChinMetamaterials Constructed by Three Dimensional Split Ring Resonators
Chen, DaixieApplication of Metrological Scanning Electron Microscopy in micron and nanometer structure measurement
Chen, H.New Plasmonic Nanocavity Organic Light-Emitting Diode with Significantly Enhanced Light Extraction, Contrast, Viewing Angle, Brightness and Low-Glare
Chen, Hong-MingFocused Ion Beam for Biological Studies
Chen, J.Low Cost Fabrication of Ultra-Sensitive Micro Structures and Its Characterization
Chen, L.Fabrication of a High Efficiency Multilayer Diffractive Phase Grating
Scanning Ion Microscopy with Low Energy Lithium Ions
Optimizing SiO2 Hard Etch Masks for Atomic Scale Patterning
Chen, LeePlasma Properties for the etching of Fine Lines and High Aspect Ratio holes
Chen, M.Fabrication of Multi-Bit Data Storage Memories Based on Multilayer MoS2
Plasma-Assisted Doping for Fabricating MoS2 Diodes and Ambipolar Transistors
Chen, MikaiFabrication of Vertically-Stacked MoS2-Based Thin-Film Photovoltaic Devices
Chen, S.Source gas distribution measurement in focused-ion-beam chemical vapor deposition using graphene sensing device
Chen, XiuguoAccurate dimensional monitoring of e-beam patterned grating structures by Mueller matrix polarimetry
Robust profile reconstruction in optical scatterometry
Chen, Y.Fabrication of plastic high-aspect-ratio microfluidic devices for rare cell isolation using a PDMS stamp
Chen, YanfengFabrication of nano-patterned sapphire substrate by hybrid nano-imprint lithography in combination with nickel as etching mask
A Thiol-ene Degradable Resist for Hybrid Nanoimprint-soft Lithography
Chen, YFSub-wavelength gold nano pillars for high sensitive LSPR sensors
Chen, YifangMultistep Aztec profile by an iteration process using 3D electron beam lithography for angle resolved micro-spectrometers
Effect of resist sensitivity difference on the T shape profile by Monte Carlo simulation
Monte Carlo simulation and developing study of resist profile for high aspect ratio Fresnel zone plates
Chen, ZhihongSelf-aligned Edge Contacts for 2D Layered Systems
Cheng, G.Transfer-Free Wafer-Scale Growth of Graphene on Thin-Film Copper
Cheng, J.High-Resolution Templated Hydrothermal Growth of ZnO Nanowires
Cheng, JoyGraphoeptiaxy directed self-assembly of lamellar phase symmetric block copolymers in the presence of two dimensional template patterns
Design and Customization of Directed Self-Assembly Patterns
Cheng, S.L.Nanofabrication of gate-all-around silicon nanowire MOSFETs for the 10 nm node and beyond
Cheng, X.Nanoimprint Mold with Integrated Heater for Synergistic Thermal and UV Nanoimprint
Cheong, Lin LeeThermal Probe Nanolithography: What You See is What You Get
Chevalier, X.PMMA removal options for DSA contact shrink application
Chiou, W. A.Enhanced Removal of Material by FIB Etching at Glancing Angle of Incidence in Cross-Sectioning Application
Chiou, W.Effects of Beam Raster Parameters and Up/Down Slope Direction on Multiple-Raster Etching of Material by Focused Ion Beam at Glancing Angle of Incidence
Chisholm, M.F.Atom by Atom Analysis and Nanolithography
Choi, C.-H.Patterning of Large-Area Graphene Nanostructures via Holographic Lithography and O2 Plasma Etching
Nanoparticles-Decorated Nanocone Array of Gold for Anti-Reflective Enhancement of SERS Sensing
Patterning of High-Aspect-Ratio Nanostructures on Microtrenches using Stencil Lithography of Free-Standing Tri-Layer Membrane
Choi, J.Experimental Verification of Achieving Vertical Sidewall for Nanoscale Features in Electron-beam Lithography
Determination and Analysis of Minimal Dose for Achieving Vertical Sidewall in Electron-beam Lithography
Choi, K.B>Nanoimprint for Illumination Module to Control the Emitted Light using Flexibility Enhanced SCIL Mold
Choi, Seong SooFabrication of Au Nanopore on Pyramid using various electron beam and Ion Beam techniques
Chou , Stephen Y.Coffee-ring Effect in Concentrating Analytes in 3D Cavity Array for Ultra-sensitive Detection
Chou, S. Y.New Plasmonic Nanocavity Organic Light-Emitting Diode with Significantly Enhanced Light Extraction, Contrast, Viewing Angle, Brightness and Low-Glare
Chou, S.Y.Silicon Nanopillar Anodes for Lithium-Ion Batteries Using Nanoimprint Lithography with Flexible Molds
Chou, Stephen Y.Demonstration of High Transmittance Color Filter Using Plasmonic Nanocavity Array (D2PA) Fabricated by Nanoimprint
Nanoplasmonic Cavity Enhanced Microfluidic Immunoassay with 5-minutes Incubation Time, 7.8 pM Sensitivity and 100 nL Sample
Chu, J.Low Cost Fabrication of Ultra-Sensitive Micro Structures and Its Characterization
Chu, MingzhangApplication of Metrological Scanning Electron Microscopy in micron and nanometer structure measurement
Chu, TaoSelf-aligned Edge Contacts for 2D Layered Systems
Chun, J.S.Design Strategy for Improving EUV Contact Hole Resist Performance
Coady, Daniel J.Thermal Probe Nanolithography: What You See is What You Get
Cohen, G. M.Nanofabrication of gate-all-around silicon nanowire MOSFETs for the 10 nm node and beyond
Cohen, R.A Rapid Diagnostic Method to Detect Single Wall Carbon Nanotubes in Nanoscale Windows
Coley, S.Design Strategy for Improving EUV Contact Hole Resist Performance
Con, C.Benchtop fabrication method on non-planar surface using SAM as e-beam resist
Constancias, C.Determination of experimental spot sizes & acid diffusion lengths in CAR resist for e-beam lithography at 100 kV and 5 kV
5keV Ebeam lithography for 16nm half pitch resolution
Constantoudis, V.Ultra-High Aspect Ratio, Plasma Etched Silicon Nanowires: Optical Properties and Mechanical Stability
Nanopatterned surfaces via colloidal lithography and plasma processing applied to selective protein immobilization and superhydrophobicity
Feature proximity effects on the roughness and size variability of electron beam contact patterns
Cornelius, T.W.Fabrication of free standing copper nanowires for vibrational response study through transient reflectivity
Cui, B.Lift-off using solvent for negative electron beam resist by ultra-low energy exposure
Water soluble and developable e-beam resist sodium PSS
Metal-containing Polymer as Electron Beam Resist with High Resolution and High Etching Resistivity
Dry liftoff of metal and organic materials
Improved anti-adhesion FOTS coating for imprint mold
Smooth Au Film by Annealing for Enhanced Extraordinary Optical Transmission
Fabrication of silicon nanostructures with large taper angle by reactive ion etching
Benchtop fabrication method on non-planar surface using SAM as e-beam resist
Cui, BoNumerical Investigation of Optical Behavior of Nano-hole Array with Non-vertical Sidewall Profile
Cui, YushuangFabrication of nano-patterned sapphire substrate by hybrid nano-imprint lithography in combination with nickel as etching mask
A Thiol-ene Degradable Resist for Hybrid Nanoimprint-soft Lithography
Cullinan, M.A.Transfer-Free Wafer-Scale Growth of Graphene on Thin-Film Copper
Cummings, KevinThe Litho Roadmap: Is it a straight path?
Cybulski, J.Foldscope: Origami-Based Paper Microscope

D

D.S.PickardNanoscale Imaging of Plasmonic Structures with A Transmission Photoemission Electron Microscope
Dahmardeh, MasoudDimensionality, Heat Transfer and Light-activated Cathodes
Dai, B.Three-Dimensional Nanolithography Using Colloidal Nanospheres with Oblique and Multiple Exposures
Design of Hierarchical Three-Dimensional Porous Nanostructures using Template-Directed Colloidal Assembly
Dai, Q.Determination and Analysis of Minimal Dose for Achieving Vertical Sidewall in Electron-beam Lithography
Dal’Zotto , B.Determination of experimental spot sizes & acid diffusion lengths in CAR resist for e-beam lithography at 100 kV and 5 kV
Dal’Zotto, B.5keV Ebeam lithography for 16nm half pitch resolution
Dandley, ErinnFabrication of ZnO Nano-Spring for Transparent Stretchable Conductor
Fabrication of ZnO Three-Dimensional Hierarchical Nanostructures for Wicking Applications
Daniels-Race, T.A Clinical Probe Utilizing Surface Enhanced Raman Scattering
Danzberger, J.Cloning of a fully functional Si-based photonic integrated circuit by ultraviolet nanoimprint lithography
Large-area ultraviolet nanoimprint lithography for the detailed understanding of silicon-germanium island nucleation on pit-patterned silicon substrates
Davanco, M.Fabrication of a High Efficiency Multilayer Diffractive Phase Grating
Nanocavity Optomechanical Sensors and Signal Transducers
David, S.Hydrocarbonaceous contamination growth induced by resists outgassing under e-beam radiation
Davilla, S.High voltage EDS on a low Voltage FESEM
Davydov, A.Transfer-Free Wafer-Scale Growth of Graphene on Thin-Film Copper
De Gendt, S.Focused Ion Beam milling for Si nanowire and Junctionless transistor prototype
de Koning, A.L.G.C.Reference markers for e-beam lithography with Electron Beam Induced Deposition
Déjardin, P.Hybrid biological-artificial nanopore based on biological channel confinement inside a track-etched nanopore
Dejardin, P.Influence of ionic organization on current blockade induced by translocation of small macromolecule through a single nanopore
Dekkers, H. F. W.Focused Ion Beam milling for Si nanowire and Junctionless transistor prototype
Delachat, F.Determination of experimental spot sizes & acid diffusion lengths in CAR resist for e-beam lithography at 100 kV and 5 kV
Delaney, Kris T.Defect Reduction of Peanut-Shaped Direct Self-Assembly using Hompolymer
Delaunary, J-J.Fabrication of the optomechanical resonator with U-shaped cavities for the wavelength detection
Delaunay, J. J.Morphology Control of Anodic Porous Alumina Using Nanoimprinting
Delft, TUContacting and structuring graphene by helium ion beam processing
Contacting and structuring graphene by helium ion beam processing
Delgadillo, P. RinconReadying Directed Self-Assembly for Patterning in Semi-Conductor Manufacturing
Dellby, N.Atom by Atom Analysis and Nanolithography
Deng, MengmengFabrication of nano-patterned sapphire substrate by hybrid nano-imprint lithography in combination with nickel as etching mask
Depoil, D.A versatile single-molecule nanoarray platform for T-cell activation
Dew, S.Programmed Self-assembly of Microscale Components Using Biomolecular Recognition
Dey, R.Lift-off using solvent for negative electron beam resist by ultra-low energy exposure
Dhima, K.Effect of residual stress on replication fidelity with nanoimprint
Impact of morphology on T-NIL with semi-crystalline P3HT
Guided wrinkling with nanoimprinted SU-8 surfaces
Dhuey, S.Combining Plasmonics and Nanofluidics for Single Molecule Detection
Step-and-Repeat Nanoimprinting on pre-spin coated film: from sub-15 nm metal patterning to the fabrication of a spectrometer-on-chip
Direct Printing of Planar Photonic Circuits with High Refractive Index
Photonic integrated spectrometer-on-chip based on digital planar holograms
Dhuey, ScottRevealing Beam-induced Chemistry using Modulus Mapping in Negative-tone EUV/E-beam resists with and without cross-linker additives
Dick, D.Patterned Epitaxial Growth of Ge nanostructures
Dick, DonProgress Towards NEMS Devices based on STM-fabricated Hydrogen Depassivation Patterns
Digianantonio, L.Direct Printing of Planar Photonic Circuits with High Refractive Index
Dimitrijev, S.RF sputtering of AlN on 3C-SiC (100) thin film: Parameters affecting the AlN crystal orientation and deposition rate
Ding, B.Ultra-high NA, High Aspect Ratio Interference Lithography with Resonant Dielectric Underlayers
Construction of 3D Plasmonic Chiral Nanostructures on DNA Template
Ding, FeiCoffee-ring Effect in Concentrating Analytes in 3D Cavity Array for Ultra-sensitive Detection
Ding, J.Patterning of Large-Area Graphene Nanostructures via Holographic Lithography and O2 Plasma Etching
Patterning of High-Aspect-Ratio Nanostructures on Microtrenches using Stencil Lithography of Free-Standing Tri-Layer Membrane
Ding, W.New Plasmonic Nanocavity Organic Light-Emitting Diode with Significantly Enhanced Light Extraction, Contrast, Viewing Angle, Brightness and Low-Glare
Ding, Y.Electrowetting on Microbowl-Array Patterned SU-8 Surfaces Generated by Electron-Beam Lithography
Ding, Y.C.Fabrication of Patterned TiO2 Nanorod Arrays by Laser Scanning Technology for Dye-sensitized Solar Cells
Ding, YuchengWhite organic light emitting diodes with enhanced light extraction and self-cleaning property served by ZnO nanopillars
Dynamic modeling of Electrohydrodynamic Patterning by Moving Mesh Method
Electrohydrodynamic Analysis of L-DEP Force Driven Imprinting Process by Coupling L-DEP Theory and Two Phase Flow Method
Fabrication of Microlens Arrays with Controllable Numerical Aperture Based on Electrically Induced Dewetting by Spatially Modulcated Electric Field
Diniz, J. A.Focused Ion Beam milling for Si nanowire and Junctionless transistor prototype
Spacer Lithography for 3D MOS Devices Using Amorphous Silicon Deposited by ECR-CVD
Division, Tech.Atom by Atom Analysis and Nanolithography
Dixson, R.Interactions of Higher Order Tip Effects in CD-AFM Linewidth Metrology
Do, H.Three-Dimensional Nanofabrication Using HSQ/PMMA Bilayer Resists
Dodd, S.Micro- and Nanofabrication for Enhanced Magnetic Resonance Imaging and Sensing
Doerk, GregoryDesign and Customization of Directed Self-Assembly Patterns
Doi, I.Spacer Lithography for 3D MOS Devices Using Amorphous Silicon Deposited by ECR-CVD
Dong, T.Synthesis of Graphene Nanoribbons from Amyloid Templates by Solid-Phase Graphitization using Gallium as Catalyst and Their Electrical Properties
Dong, ZhaogangReusable Silicon Templates for Fabricating Sub-10-nm Grooves In Metal Films
Donovan, Brian F.Generation and detection of surface acoustic wave decay on an electron-beam patterned substrate with a conformal metal coating
dos Santos, M.V. Puydinger Focused Ion Beam milling for Si nanowire and Junctionless transistor prototype
dos Santos, M.V.P.Spacer Lithography for 3D MOS Devices Using Amorphous Silicon Deposited by ECR-CVD
Douglas, Jack F.DNA Origami-Gold Nanoparticle Constructs: DNA Origami-Gold Nanoparticle Constructs: Design for Nanomanufacturing
Doxastakis, M.Acid catalyst transport in chemically amplified resist films
Drndic, MarijaNanosculpting devices with electrons in the transmission electron microscope and applications
Drogoff, B. LeDetermination of experimental spot sizes & acid diffusion lengths in CAR resist for e-beam lithography at 100 kV and 5 kV
Du, C.Single-Mode-Resonance interference in photoresist sub-micron waveguide for high exposure depth nanolithography
Du, J.Single-Mode-Resonance interference in photoresist sub-micron waveguide for high exposure depth nanolithography
Du, K.Patterning of Large-Area Graphene Nanostructures via Holographic Lithography and O2 Plasma Etching
Nanoparticles-Decorated Nanocone Array of Gold for Anti-Reflective Enhancement of SERS Sensing
Patterning of High-Aspect-Ratio Nanostructures on Microtrenches using Stencil Lithography of Free-Standing Tri-Layer Membrane
Du, W.Low Cost Fabrication of Ultra-Sensitive Micro Structures and Its Characterization
Du, WeiReusable Silicon Templates for Fabricating Sub-10-nm Grooves In Metal Films
Duerig, UrsThermal Probe Nanolithography: What You See is What You Get
Durrani, Z. A. K.Fabricating Nanoscale Tunnel Junction for IETS Measurements
Durrani, Z.Varying gate layout nanowire single-electron defined by electron beam lithography
Dustin, M.A versatile single-molecule nanoarray platform for T-cell activation

E

Edinger, K.Nanoscale Focused Electron Beam Induced Etching: Monte Carlo Simulations
Ehr, J. R. VonPatterned Epitaxial Growth of Ge nanostructures
Ehr, Jim VonProgress Towards NEMS Devices based on STM-fabricated Hydrogen Depassivation Patterns
Eichfeld, C. M.Temperature Dependent Effective Process Blur and Its Impact on Process Latitude and Lithographic Targets Using E-Beam Simulation and Proximity Effect Correction
Einwögerer, B.Fabrication of graphene-based devices by Ultraviolet Nanoimprint Lithography
Einwögerer, B.Cloning of a fully functional Si-based photonic integrated circuit by ultraviolet nanoimprint lithography
Ekinci , Y.High-Throughput Fabrication of High-Aspect-Ratio Metal Nanostructures for Biosensing Applications
Ekinci, Y.High-resolution and large-area nanoparticle arrays for model systems in catalysis using EUV lithography techniques
Beyond EUV Lithography for Reaching Future Technological Nodes
Ekinci, YasinAccurate dimensional monitoring of e-beam patterned grating structures by Mueller matrix polarimetry
Eldredge, D. A.Modified Electronic Properties of Nanoscale Polymer Fibers via Nanoparticle Doping with Melt and Solvent Electrospinning
Elek, J. E.Design of Hierarchical Three-Dimensional Porous Nanostructures using Template-Directed Colloidal Assembly
Ellinas, K.Nanopatterned surfaces via colloidal lithography and plasma processing applied to selective protein immobilization and superhydrophobicity
Engelmann, S. U.Nanofabrication of gate-all-around silicon nanowire MOSFETs for the 10 nm node and beyond
Engelmann, Sebastian U.High Resolution Patterning with Electron-Beam Lithography and Sidewall Image Transfer
Englund, D.Selective nanowire fabrication in niobium nitride using sacrificial proximity-effect-correction features in HSQ
Englund, DirkNanolithography Using Transferrable Membrane Mask For Unconventional Substrates
Erickson, A. H.Modified Electronic Properties of Nanoscale Polymer Fibers via Nanoparticle Doping with Melt and Solvent Electrospinning

F

Fabrizio, Enzo DiNanostructures for interdisciplinary studies
Fang, TiegangFabrication of ZnO Three-Dimensional Hierarchical Nanostructures for Wicking Applications
Farrow, R. C.A Rapid Diagnostic Method to Detect Single Wall Carbon Nanotubes in Nanoscale Windows
Feldbaum, MichaelLithography Challenges and Opportunities in Bit Patterned Media at 1.5 Tdots/in2 and Beyond
Feldman, M.A Clinical Probe Utilizing Surface Enhanced Raman Scattering
Ferhatd, M.Effect of processing parameters on self-assembly of cylindrical phase PS-b-PMMA BCPs on 300 mm wafers
Fernandez-Cuesta, I.Combining Plasmonics and Nanofluidics for Single Molecule Detection
Fernández, AriadnaAnti-wetting surfaces fabricated by Reverse Nanoimprint Lithography on Silicon and metal-coated substrates
Ferranti, D.The High Brightness Neon Beam: From Source to Sample
Ferraro, M.Computational Design Tools for Directed Self-Assembly of Particles on Surfaces
Filho, J. GodoyFocused Ion Beam milling for Si nanowire and Junctionless transistor prototype
Spacer Lithography for 3D MOS Devices Using Amorphous Silicon Deposited by ECR-CVD
Fisher, F. T.Patterning of Large-Area Graphene Nanostructures via Holographic Lithography and O2 Plasma Etching
Fliervoet , TimonEvaluation of EUV resist performance below 20-nm critical dimension using helium ion lithography
Flynn, B.Temperature Programmed and Electron Stimulated Desorption From HafSOx Inorganic Resists
Fourmy, D.Fabrication of zero-mode waveguides with a high-resolution FIB nanowriter
Fowlkes, Jason D.Purification Strategies for Electron Beam Induced Deposition
Fredrickson, Glenn H.Defect Reduction of Peanut-Shaped Direct Self-Assembly using Hompolymer
Fridmann, J.Ion Column and Source technology employing Gallium and New Ion Species for Advanced FIB Nanofabrication
Non-gallium Focused Ion Beam Nanofabrication of III-V Materials
Friz, AlexanderDesign and Customization of Directed Self-Assembly Patterns
Fromherz, T.Cloning of a fully functional Si-based photonic integrated circuit by ultraviolet nanoimprint lithography
Large-area ultraviolet nanoimprint lithography for the detailed understanding of silicon-germanium island nucleation on pit-patterned silicon substrates
Fabrication of graphene-based devices by Ultraviolet Nanoimprint Lithography
Fu, J.Optimizing SiO2 Hard Etch Masks for Atomic Scale Patterning
Fu, JosephProgress Towards NEMS Devices based on STM-fabricated Hydrogen Depassivation Patterns
Fujimori, ToruNegative tone imaging with EUV exposure
Fujino, TakahiroCharacterization of Small Phase Defect on EUV Mask Using Micro Coherent EUV Scatterometry Microscope
Fujita, J.In situ visualization of local magnetic field using low-acceleration electron beam in scanning electron microscope
Synthesis of Graphene Nanoribbons from Amyloid Templates by Solid-Phase Graphitization using Gallium as Catalyst and Their Electrical Properties
Fujiwara, TomoharuA Novel sub-10 nm Half Pitch Pattern Transfer Process using Directed Self-Assembly Lithography

G

Gallego-Perez, D.Fabrication of 3D Nano-channel Electroporation Chip for High Throughput Cell Transfection
Gao, F.Single-Mode-Resonance interference in photoresist sub-micron waveguide for high exposure depth nanolithography
Gaskins, John T.Generation and detection of surface acoustic wave decay on an electron-beam patterned substrate with a conformal metal coating
Gawalt, David P.Generation and detection of surface acoustic wave decay on an electron-beam patterned substrate with a conformal metal coating
Ge, HaixiongFabrication of nano-patterned sapphire substrate by hybrid nano-imprint lithography in combination with nickel as etching mask
A Thiol-ene Degradable Resist for Hybrid Nanoimprint-soft Lithography
Creation and transfer of gratings with spatially-varying periodicity
Fabrication of Large-area Nanopatterns by All-fiber Interference Lithography (AFIL) System
Gennser, U.Focused Ion Beam Direct Patterning of Suspended Two-Dimensional Electron Gases
Georgiev, Y. M.Correlation of SML and ZEP Resists Lithographic Performance with the Resist Chemistry.
Gharbi, A.PMMA removal options for DSA contact shrink application
Gierak, J.Focused Ion Beam Direct Patterning of Suspended Two-Dimensional Electron Gases
Giesen, C.Fabrication of graphene-based devices by Ultraviolet Nanoimprint Lithography
Gignac, L. M.Nanofabrication of gate-all-around silicon nanowire MOSFETs for the 10 nm node and beyond
Gila, B.P.Non-gallium Focused Ion Beam Nanofabrication of III-V Materials
Giles, A.Ion Beam Micromachining of Surface Phonon-Polariton Metamaterials Structures in SiC and h-BN
Glaser, M.Large-area ultraviolet nanoimprint lithography for the detailed understanding of silicon-germanium island nucleation on pit-patterned silicon substrates
Gnauck, P.A Mirror-Corrected Scanning Electron Microscope
Gobrecht, J.High-Throughput Fabrication of High-Aspect-Ratio Metal Nanostructures for Biosensing Applications
High-resolution and large-area nanoparticle arrays for model systems in catalysis using EUV lithography techniques
Beyond EUV Lithography for Reaching Future Technological Nodes
Gogolides, E.Ultra-High Aspect Ratio, Plasma Etched Silicon Nanowires: Optical Properties and Mechanical Stability
Nanopatterned surfaces via colloidal lithography and plasma processing applied to selective protein immobilization and superhydrophobicity
Feature proximity effects on the roughness and size variability of electron beam contact patterns
Goh, Wei PengReusable Silicon Templates for Fabricating Sub-10-nm Grooves In Metal Films
Goldberg, K.Characterization of Telecentricity Errors in High-Numerical-Aperture Extreme Ultraviolet Mask Images
Goltsov, A.Direct Printing of Planar Photonic Circuits with High Refractive Index
Goltsov, AlexanderPhotonic integrated spectrometer-on-chip based on digital planar holograms
Gopalakrishnanb, S.Cell Migration Directionality Control by Transitions on Patterned Substrates
Gorman, J.J.Transfer-Free Wafer-Scale Growth of Graphene on Thin-Film Copper
Gorman, JasonProgress Towards NEMS Devices based on STM-fabricated Hydrogen Depassivation Patterns
Goto, TakahiroNegative tone imaging with EUV exposure
Gotszalk, T.Fabrication, metrology and application of electromagnetically actuated cantilever arrays
Gradecak, S.High-Resolution Templated Hydrothermal Growth of ZnO Nanowires
Graves, W. S.Nanofabrication and Characterization of Ultrafast Plasmonic Au Nanorod Array Photocathodes
Grigoropoulos, C. P.Nanoscale Crater Interfaces Guide Cell Migration and Patterning
Grimm, J.Effect of residual stress on replication fidelity with nanoimprint
Grondheid, R.Readying Directed Self-Assembly for Patterning in Semi-Conductor Manufacturing
Gronheid, R.Effect of processing parameters on self-assembly of cylindrical phase PS-b-PMMA BCPs on 300 mm wafers
Grutter, K.Nanocavity Optomechanical Sensors and Signal Transducers
Gu, C. Z.Dynamic tuning SERS of silver interdigital nanogratings under external electric field
Morphology inducing maskless plasma etching of AlN nanocone arrays with Tip-size dependent photoluminescence properties
Guider, R.Cloning of a fully functional Si-based photonic integrated circuit by ultraviolet nanoimprint lithography
Guillorn, M. A.Nanofabrication of gate-all-around silicon nanowire MOSFETs for the 10 nm node and beyond
Guillorn, Michael A.High Resolution Patterning with Electron-Beam Lithography and Sidewall Image Transfer
Guillorn, MichaelGraphoeptiaxy directed self-assembly of lamellar phase symmetric block copolymers in the presence of two dimensional template patterns
Design and Customization of Directed Self-Assembly Patterns
GujaratEffect of embedding ErAs nanoparticles on thermal conductivity of In0.53Ga0.47As semiconductors: Phonon scattering mechanism
Guliyev, E.Invited: Scanning Probes for Nanomanufacturing
Focused ion beam assisted fabrication and application of high speed scanning thermal microscopy selfactuated piezoresistive probe
Gunawan, I.Fabrication of Multi-Bit Data Storage Memories Based on Multilayer MoS2
Guo, Jay L.Fabrication of Vertically-Stacked MoS2-Based Thin-Film Photovoltaic Devices
Guo, L. JayOptical Detection of Ultrasound by using Polymer Filled Silicon High Contrast Grating directly Integrated on Fiber Tip
Guo, XuFabrication of nano-patterned sapphire substrate by hybrid nano-imprint lithography in combination with nickel as etching mask
Guttmann, MarkusAnti-wetting surfaces fabricated by Reverse Nanoimprint Lithography on Silicon and metal-coated substrates

H

Hackl, W.Fabrication of graphene-based devices by Ultraviolet Nanoimprint Lithography
Hagen, C.W.Reference markers for e-beam lithography with Electron Beam Induced Deposition
LER Measurement in Low Dose CD-SEM Images
Hah, D.A Clinical Probe Utilizing Surface Enhanced Raman Scattering
Hailer, K.Synthesis and Characterization of Apatite Nanoparticles for Bioengineering Applications
Hamaguchi, T.High-Speed and Low-Energy-Consumption Replication of Nanostructures with Laser-Assisted Roller Nanoimprinting
Hamzah, A. A.A MEMS capacitive pressure sensor employing 3C-SiC diaphragm with operating temperature of 500C
Han, Sok-HyungStudy on the correlation of Hole etch and Byproduct
Han, Y.D.Fabrication of plastic high-aspect-ratio microfluidic devices for rare cell isolation using a PDMS stamp
Hao, H.F.Nanoscale Imaging of Plasmonic Structures with A Transmission Photoemission Electron Microscope
Harada, TetsuoCharacterization of Small Phase Defect on EUV Mask Using Micro Coherent EUV Scatterometry Microscope
Harriott, Lloyd R.Generation and detection of surface acoustic wave decay on an electron-beam patterned substrate with a conformal metal coating
Haruyama, Y.Surface evaluation of HSQ with PDMS additive after room temperature nanoimprinting using hard and soft molds
Molecular orientation of imprinted nm-order pattern of photo-cross-linkable liquid crystalline polymer
Harvey, T.Creation of High Resolution Electron Diffraction Gratings using FIB and E-Beam Techniques
Hasegawa, M.Surface Force Measurement of Nanoimprint Lithography Molds and Resin Materials
Hassink, G.Nanopatterned substrate stiffness affects primary cortical cell network formation
Hastings, J.T.Etching of copper using liquid reactants and a focused electron beam
Häusler, L.Cloning of a fully functional Si-based photonic integrated circuit by ultraviolet nanoimprint lithography
Large-area ultraviolet nanoimprint lithography for the detailed understanding of silicon-germanium island nucleation on pit-patterned silicon substrates
Fabrication of graphene-based devices by Ultraviolet Nanoimprint Lithography
Hayakawa, TeruakiA Novel sub-10 nm Half Pitch Pattern Transfer Process using Directed Self-Assembly Lithography
Healy, K. E.Nanoscale Crater Interfaces Guide Cell Migration and Patterning
Hedrick, James L.Thermal Probe Nanolithography: What You See is What You Get
Henderson, Clifford L.Advancements in Cross-linked Resist Materials for High Resolution Patterning
Hendrickx, E.Characterization of Telecentricity Errors in High-Numerical-Aperture Extreme Ultraviolet Mask Images
Hensleigh, R. M.Synthesis and Characterization of Apatite Nanoparticles for Bioengineering Applications
Her, Y.Readying Directed Self-Assembly for Patterning in Semi-Conductor Manufacturing
Herman, G.S.Temperature Programmed and Electron Stimulated Desorption From HafSOx Inorganic Resists
Herring, G.Splash-Stop Lithography: Printed Aspherical Micro Lenses
Heuken, M.Fabrication of graphene-based devices by Ultraviolet Nanoimprint Lithography
Hirai, Y.Structural Change of Graphene during Electron Beam Process: Molecular Dynamics Study
Molecular Dynamics Study of Line Edge Roughness in Electron Beam Lithography
Built-in Lens Mask Lithography
Impact of Resist Shrinkage on De-molding Process in NIL
Molecular Dynamics Study on Demolding Process in Nanoimprint Lithography
Hirayanagi, NoriyukiA Novel sub-10 nm Half Pitch Pattern Transfer Process using Directed Self-Assembly Lithography
Hiwasa, S.Fabrication of Anti-reflection Structure Film using RTR Ultraviolet Nanoimprint Lithography
Surface Force Measurement of Nanoimprint Lithography Molds and Resin Materials
Hiyama, T.Synthesis of Graphene Nanoribbons from Amyloid Templates by Solid-Phase Graphitization using Gallium as Catalyst and Their Electrical Properties
Hobbs, R. G.Nanofabrication and Characterization of Ultrafast Plasmonic Au Nanorod Array Photocathodes
Hobbs, R.Metal Adhesion Layer Induced Damping of Surface Plasmons Probed by Photoelectron Emission
Hobbs, RichardMeasurement of the electron-beam-lithography point-spread function by chromatic-aberration-corrected transmission electron microscopy and spectroscopy
Hoefnagels, RikEvaluation of EUV resist performance below 20-nm critical dimension using helium ion lithography
Hofer, T. Gotszalk,M.Focused ion beam assisted fabrication and application of high speed scanning thermal microscopy selfactuated piezoresistive probe
Hofera, M.Invited: Scanning Probes for Nanomanufacturing
Holland, GlennHigh-Resolution Imaging and Spectroscopy at High Pressure: A Novel Liquid Cell for the TEM
Holmes, J. D.Correlation of SML and ZEP Resists Lithographic Performance with the Resist Chemistry.
Holza, M.Invited: Scanning Probes for Nanomanufacturing
Holzner, FelixThermal Probe Nanolithography: What You See is What You Get
Hong, JaewanCross-sectional AFM in SEM
Hopkins, Patrick E.Generation and detection of surface acoustic wave decay on an electron-beam patterned substrate with a conformal metal coating
Hosoda, R.Molecular orientation of imprinted nm-order pattern of photo-cross-linkable liquid crystalline polymer
Hsu, YautzongLithography Challenges and Opportunities in Bit Patterned Media at 1.5 Tdots/in2 and Beyond
Hu, D.Single-Mode-Resonance interference in photoresist sub-micron waveguide for high exposure depth nanolithography
Hu, LiangbingNanostructures for Energy and Flexible Electronics
Hu, XinA Thiol-ene Degradable Resist for Hybrid Nanoimprint-soft Lithography
Huang, GaoshanReusable-template-assisted fabrication of rolled-up 3D hierarchical structures
Three dimensional arrangements of carbon nanotubes by dry release approach
Huang, HaiyuHigh-performance graphene electronics on standard SiO2/Si substrates enabled by new synthesis and transfer methods
Huang, He Liu,ShujinSpectrum splitting using multi-layer sub-wavelength high-index-contrast grating for solar energy harvesting efficiency improvement
Huang, J.The High Brightness Neon Beam: From Source to Sample
Huang, ShujinFull color reflective display based on high contrast gratings
Huang, TaoReusable-template-assisted fabrication of rolled-up 3D hierarchical structures
Huang, ZhihongDistribution of diamond NV centers created by focused helium ion beam exposure and annealing
Humer, M.Cloning of a fully functional Si-based photonic integrated circuit by ultraviolet nanoimprint lithography
Hwang, Tae-HoStudy on the correlation of Hole etch and Byproduct
Hwu, JustinLithography Challenges and Opportunities in Bit Patterned Media at 1.5 Tdots/in2 and Beyond
Hwu,b , J. J.Sub-10 nm silicon pattern transfer using PS-PDMS block-copolymer

I

Iacopi, A.RF sputtering of AlN on 3C-SiC (100) thin film: Parameters affecting the AlN crystal orientation and deposition rate
Icard ,B.Roughness mitigation techniques for electron beam lithography
Icard,Electron Shot Noise Effects For 5keV EBDW
Indermuehle, S.High voltage EDS on a low Voltage FESEM
Matching Low Voltage Scanning Electron Microscopy with Energy Dispersive X-ray Spectroscopy of Positively Biased Samples
Iqbal, A.RF sputtering of AlN on 3C-SiC (100) thin film: Parameters affecting the AlN crystal orientation and deposition rate
Irannejad, M.Smooth Au Film by Annealing for Enhanced Extraordinary Optical Transmission
Irannejad, MehrdadNumerical Investigation of Optical Behavior of Nano-hole Array with Non-vertical Sidewall Profile
Ishihara, S.High Q factor graphene mechanical resonator fabrication using the clamp-bending method
Fabrication of the optomechanical resonator with U-shaped cavities for the wavelength detection
Source gas distribution measurement in focused-ion-beam chemical vapor deposition using graphene sensing device
Ishikawa, AtsushiMetamaterials Constructed by Three Dimensional Split Ring Resonators
Isoyan, ArtakOptical proximity correction using diffraction holography technique
Ivanov, Tzv.Invited: Scanning Probes for Nanomanufacturing
Ivanow, Tzv.Focused ion beam assisted fabrication and application of high speed scanning thermal microscopy selfactuated piezoresistive probe
Iwama, TatsuhiroDefect Reduction of Peanut-Shaped Direct Self-Assembly using Hompolymer
Iwasaki, S.Morphology Control of Anodic Porous Alumina Using Nanoimprinting
Iwata, N.Molecular Dynamics Study on Demolding Process in Nanoimprint Lithography

J

J.BousseyHydrocarbonaceous contamination growth induced by resists outgassing under e-beam radiation
J.ChoiMinimization of Line Edge Roughness and CD Error in Electron-beam Lithography
J.ShaoElectrowetting on Microbowl-Array Patterned SU-8 Surfaces Generated by Electron-Beam Lithography
J.TaniguchiFabrication of Anti-reflection Structure Film using RTR Ultraviolet Nanoimprint Lithography
Jain, V.Design Strategy for Improving EUV Contact Hole Resist Performance
Janot, JM.Hybrid biological-artificial nanopore based on biological channel confinement inside a track-etched nanopore
Janssen, G.C.A.M.Contacting and structuring graphene by helium ion beam processing
Jede, R.Ion Column and Source technology employing Gallium and New Ion Species for Advanced FIB Nanofabrication
Jeon, C-U.Experimental Verification of Achieving Vertical Sidewall for Nanoscale Features in Electron-beam Lithography
Jeon, C.-U.Minimization of Line Edge Roughness and CD Error in Electron-beam Lithography
Determination and Analysis of Minimal Dose for Achieving Vertical Sidewall in Electron-beam Lithography
Jeon, H.Nanoscale Crater Interfaces Guide Cell Migration and Patterning
Jeon, S.-C.Experimental Verification of Achieving Vertical Sidewall for Nanoscale Features in Electron-beam Lithography
Ji, MinCreation and transfer of gratings with spatially-varying periodicity
Ji, XinmingReusable-template-assisted fabrication of rolled-up 3D hierarchical structures
Jiang, H.TiO2-x/TaOy Bilayer Memrsitive Devices with High Endurance and High ON/OFF Ratios
Reactive Sputtering Deposition of TiOx for Memristive Devices
Jiang, W.Fabrication of Patterned TiO2 Nanorod Arrays by Laser Scanning Technology for Dye-sensitized Solar Cells
Jiruse, J.Electron, Ion and Photon Beams in a New Analytical and Prototyping Instrument
Jonckheere, R.Characterization of Telecentricity Errors in High-Numerical-Aperture Extreme Ultraviolet Mask Images
Jones, M.Varying gate layout nanowire single-electron defined by electron beam lithography
Jussot , J.Roughness mitigation techniques for electron beam lithography

K

Kaars, P.Reference markers for e-beam lithography with Electron Beam Induced Deposition
Kacemi, N.Fabrication of free standing copper nanowires for vibrational response study through transient reflectivity
Kaehr, B.Free-Form Design of Autonomous Microenvironments
Kajiwara, Y.Synthesis of Graphene Nanoribbons from Amyloid Templates by Solid-Phase Graphitization using Gallium as Catalyst and Their Electrical Properties
Kakabakos, S.E.Nanopatterned surfaces via colloidal lithography and plasma processing applied to selective protein immobilization and superhydrophobicity
Kan, J.A. vanElectron impact gas ion source development: a miniaturized gas ionization chamber using polymer PMMA
Kanai, HidekiA Novel sub-10 nm Half Pitch Pattern Transfer Process using Directed Self-Assembly Lithography
Kandel, Yudhishthir P.Improvements in scanning electron microscope image resolution using reference image or a sample
Kannappan, SanthakumarHigh Electron Mobility Few Layer MoS2 Grown by Chemical Vapor Deposition
Kanwal, A.A Rapid Diagnostic Method to Detect Single Wall Carbon Nanotubes in Nanoscale Windows
Karim, W.High-resolution and large-area nanoparticle arrays for model systems in catalysis using EUV lithography techniques
Kärtner, F. X.Nanofabrication and Characterization of Ultrafast Plasmonic Au Nanorod Array Photocathodes
Kasahara, YusukeA Novel sub-10 nm Half Pitch Pattern Transfer Process using Directed Self-Assembly Lithography
Kasinath, R.Synthesis and Characterization of Apatite Nanoparticles for Bioengineering Applications
Kästner, M.Invited: Scanning Probes for Nanomanufacturing
Kato, H.Fabrication of PDMS micro-lens arrays on a PET film by proton beam writing
Kawamonzen, YoshiakiA Novel sub-10 nm Half Pitch Pattern Transfer Process using Directed Self-Assembly Lithography
Kawamoto, L. Pain,T.5keV Ebeam lithography for 16nm half pitch resolution
Kawata, H.Structural Change of Graphene during Electron Beam Process: Molecular Dynamics Study
Molecular Dynamics Study of Line Edge Roughness in Electron Beam Lithography
Built-in Lens Mask Lithography
Impact of Resist Shrinkage on De-molding Process in NIL
Molecular Dynamics Study on Demolding Process in Nanoimprint Lithography
Kawatsuki, N.Molecular orientation of imprinted nm-order pattern of photo-cross-linkable liquid crystalline polymer
Kazazis, D.Focused Ion Beam Direct Patterning of Suspended Two-Dimensional Electron Gases
Kearney, P.Characterization of Telecentricity Errors in High-Numerical-Aperture Extreme Ultraviolet Mask Images
Keathley, P. D.Nanofabrication and Characterization of Ultrafast Plasmonic Au Nanorod Array Photocathodes
Kehagias, NikolaosAnti-wetting surfaces fabricated by Reverse Nanoimprint Lithography on Silicon and metal-coated substrates
Fabrication of embedded silver grid electrodes for ITO-free organic solar cells applications
Kelemen, L.Multi-focus two-photon polymerization with real time calculated holograms
Ketelaars, B.S.M.M.Reference markers for e-beam lithography with Electron Beam Induced Deposition
Khan, ArshadFabrication and Testing of Flexible Cyclic Olefin Copolymer Stamps by Nanoimprint Lithography
Kiani, A.High-Resolution Templated Hydrothermal Growth of ZnO Nanowires
Kihara, NaokoA Novel sub-10 nm Half Pitch Pattern Transfer Process using Directed Self-Assembly Lithography
Kikuta, H.Built-in Lens Mask Lithography
Kim, Dal HyunCross-sectional AFM in SEM
Kim, G.H.Nanoimprint for Illumination Module to Control the Emitted Light using Flexibility Enhanced SCIL Mold
Kim, HyunsooFabrication of Vertically-Stacked MoS2-Based Thin-Film Photovoltaic Devices
Kim, J. S.Simulation of SEM Images by Using Monte-Carlo Technique for Quantitative Analysis of Semiconductor Devices
Kim, J.A Clinical Probe Utilizing Surface Enhanced Raman Scattering
Kim, Jin SeungCross-sectional AFM in SEM
Kim, S. K.Effect of process parameters on block-copolymer patterns by electrohydrodynamic printing and self-assembly
Kim, Sung InFabrication of Au Nanopore on Pyramid using various electron beam and Ion Beam techniques
Kinoshita, HirooCharacterization of Small Phase Defect on EUV Mask Using Micro Coherent EUV Scatterometry Microscope
Kirchner, R.Energy-based geometry evolution and 3D simulation of thermal polymer reflow
Klaus, D. P.Nanofabrication of gate-all-around silicon nanowire MOSFETs for the 10 nm node and beyond
Klein, K.L.Understanding Focused Helium Ion Beam Nanomachining of Membranes and Bulk Substrates
Klein, KateMechanism and Applications of Helium Transmission Milling in Thin Membranes
Kley, B.Fabrication of graphene-based devices by Ultraviolet Nanoimprint Lithography
Klyachko, D.High voltage EDS on a low Voltage FESEM
Matching Low Voltage Scanning Electron Microscopy with Energy Dispersive X-ray Spectroscopy of Positively Biased Samples
Knoll, Armin W.Thermal Probe Nanolithography: What You See is What You Get
Knuffman, B.Bright ion beams from laser-cooled atoms
Low Temperature Ion Source for Focused Ion Beam Nanomachining Applications
Ko, Seung HyeonDNA Origami-Gold Nanoparticle Constructs: DNA Origami-Gold Nanoparticle Constructs: Design for Nanomanufacturing
Kobayashi, H.Surface Force Measurement of Nanoimprint Lithography Molds and Resin Materials
Kobayashi, KatsutoshiA Novel sub-10 nm Half Pitch Pattern Transfer Process using Directed Self-Assembly Lithography
Kodera, KatsuyoshiA Novel sub-10 nm Half Pitch Pattern Transfer Process using Directed Self-Assembly Lithography
Kogo, Y.Durability of DLC Release Coating in Ultraviolet Nanoimprint Lithography
Kometani, R.High Q factor graphene mechanical resonator fabrication using the clamp-bending method
Fabrication of the optomechanical resonator with U-shaped cavities for the wavelength detection
Source gas distribution measurement in focused-ion-beam chemical vapor deposition using graphene sensing device
Kondo, M.Molecular orientation of imprinted nm-order pattern of photo-cross-linkable liquid crystalline polymer
Koo, S.Nanoscale Crater Interfaces Guide Cell Migration and Patterning
Kopiec, D.Focused ion beam assisted fabrication and application of high speed scanning thermal microscopy selfactuated piezoresistive probe
KoreaSimulation of SEM Images by Using Monte-Carlo Technique for Quantitative Analysis of Semiconductor Devices
Koretsky, A.Micro- and Nanofabrication for Enhanced Magnetic Resonance Imaging and Sensing
Koshelev, A.Direct Printing of Planar Photonic Circuits with High Refractive Index
Koshelev, AlexanderPhotonic integrated spectrometer-on-chip based on digital planar holograms
Kraznoperova, AzaliaGraphoeptiaxy directed self-assembly of lamellar phase symmetric block copolymers in the presence of two dimensional template patterns
Krivanek, O.L.Atom by Atom Analysis and Nanolithography
Krivoshapkina, Y.Invited: Scanning Probes for Nanomanufacturing
Kroo, L.Splash-Stop Lithography: Printed Aspherical Micro Lenses
Kruit, P.High Throughput Imaging in a Multibeam SEM
LER Measurement in Low Dose CD-SEM Images
Kuan, Chieh-HsiungFocused Ion Beam for Biological Studies
Kulshreshtha, Prashant K.Revealing Beam-induced Chemistry using Modulus Mapping in Negative-tone EUV/E-beam resists with and without cross-linker additives
Kuo, DavidLithography Challenges and Opportunities in Bit Patterned Media at 1.5 Tdots/in2 and Beyond
Kuo, I-PengThe Effects of Thin Films Confinement on Polymer Viscosity and Thermal Nanoimprint Lithography Patterning
Kuppuswamy, V. K. M.Effect of processing parameters on self-assembly of cylindrical phase PS-b-PMMA BCPs on 300 mm wafers
Kuramoto, K.Micron-Powder Blending, Transportation and Separation using Surface Acoustic Waves
Kyeremateng, J. B.Modified Electronic Properties of Nanoscale Polymer Fibers via Nanoparticle Doping with Melt and Solvent Electrospinning

L

Laachi, NabilDefect Reduction of Peanut-Shaped Direct Self-Assembly using Hompolymer
Labau, S.Hydrocarbonaceous contamination growth induced by resists outgassing under e-beam radiation
LaBeaume, P.Design Strategy for Improving EUV Contact Hole Resist Performance
Lacharmoise, Paul D. Fabrication of embedded silver grid electrodes for ITO-free organic solar cells applications
Lai, KafaiGraphoeptiaxy directed self-assembly of lamellar phase symmetric block copolymers in the presence of two dimensional template patterns
Design and Customization of Directed Self-Assembly Patterns
Lam, T.Scanning Ion Microscopy with Low Energy Lithium Ions
Lam, Y.H.Cell Migration Directionality Control by Transitions on Patterned Substrates
Landis, S.Present nanopatterning alternative and associated application opportunities
Langridge, S.Fabrication and Measurement of Artificial Spin Ice
Laskar, Masihhur R.High Electron Mobility Few Layer MoS2 Grown by Chemical Vapor Deposition
Lattard, L.Present nanopatterning alternative and associated application opportunities
Lauer, I.Nanofabrication of gate-all-around silicon nanowire MOSFETs for the 10 nm node and beyond
Lausecker, E.Cloning of a fully functional Si-based photonic integrated circuit by ultraviolet nanoimprint lithography
Large-area ultraviolet nanoimprint lithography for the detailed understanding of silicon-germanium island nucleation on pit-patterned silicon substrates
Lawson, Richard A.Advancements in Cross-linked Resist Materials for High Resolution Patterning
Lee, J.J.Nanoimprint for Illumination Module to Control the Emitted Light using Flexibility Enhanced SCIL Mold
Lee, L.J.Fabrication of 3D Nano-channel Electroporation Chip for High Throughput Cell Transfection
Lee, Ju-YeopCross-sectional AFM in SEM
Lee, KimLithography Challenges and Opportunities in Bit Patterned Media at 1.5 Tdots/in2 and Beyond
Lee, S.-H.Minimization of Line Edge Roughness and CD Error in Electron-beam Lithography
Experimental Verification of Achieving Vertical Sidewall for Nanoscale Features in Electron-beam Lithography
Determination and Analysis of Minimal Dose for Achieving Vertical Sidewall in Electron-beam Lithography
Lee, S.-Y.Minimization of Line Edge Roughness and CD Error in Electron-beam Lithography
Experimental Verification of Achieving Vertical Sidewall for Nanoscale Features in Electron-beam Lithography
Determination and Analysis of Minimal Dose for Achieving Vertical Sidewall in Electron-beam Lithography
Lee, S.H.Nanoimprint for Illumination Module to Control the Emitted Light using Flexibility Enhanced SCIL Mold
Lee, Y. C.Apertureless Beam Pen Lithography Based on Fully Metal-coated Polyurethane-acrylate (PUA) Micro-pyramids Array
Lenk, S.Invited: Scanning Probes for Nanomanufacturing
Leo, E. DeNanofabrication and Characterization of Ultrafast Plasmonic Au Nanorod Array Photocathodes
Metal Adhesion Layer Induced Damping of Surface Plasmons Probed by Photoelectron Emission
Lepoitevin, M.Hybrid biological-artificial nanopore based on biological channel confinement inside a track-etched nanopore
Lercel, MichaelThe Litho Roadmap: Is it a straight path?
Leung, R.Vertical Directionality Controlled Metal Assisted Chemical Etching for Ultra-High Aspect Ratio Nanoscale Structures
Lewis, Brett B.Purification Strategies for Electron Beam Induced Deposition
Li, ,K.Optimizing SiO2 Hard Etch Masks for Atomic Scale Patterning
Li, Ben Q.Dynamic modeling of Electrohydrodynamic Patterning by Moving Mesh Method
Li, C.Cross point Si/SiOx/Si resistance switches fabricated by stacking single-crystalline fluid-supported Si membranes
Li, FengFabrication of nano-patterned sapphire substrate by hybrid nano-imprint lithography in combination with nickel as etching mask
Li, HanApplication of Metrological Scanning Electron Microscopy in micron and nanometer structure measurement
Li, HuiThree dimensional arrangements of carbon nanotubes by dry release approach
Li, HuifengHigh-performance graphene electronics on standard SiO2/Si substrates enabled by new synthesis and transfer methods
Li, J. J.Dynamic tuning SERS of silver interdigital nanogratings under external electric field
Morphology inducing maskless plasma etching of AlN nanocone arrays with Tip-size dependent photoluminescence properties
Li, JuanMultistep Aztec profile by an iteration process using 3D electron beam lithography for angle resolved micro-spectrometers
Effect of resist sensitivity difference on the T shape profile by Monte Carlo simulation
Monte Carlo simulation and developing study of resist profile for high aspect ratio Fresnel zone plates
Li, L.Dynamic tuning SERS of silver interdigital nanogratings under external electric field
Li, LongWhite organic light emitting diodes with enhanced light extraction and self-cleaning property served by ZnO nanopillars
Li, LuozhouNanolithography Using Transferrable Membrane Mask For Unconventional Substrates
Li, S.Single-Mode-Resonance interference in photoresist sub-micron waveguide for high exposure depth nanolithography
Li, Wen-DiDistribution of diamond NV centers created by focused helium ion beam exposure and annealing
Fabrication and Testing of Flexible Cyclic Olefin Copolymer Stamps by Nanoimprint Lithography
Creation and transfer of gratings with spatially-varying periodicity
Fabrication of Large-area Nanopatterns by All-fiber Interference Lithography (AFIL) System
Li, X.An anisotropic low power, low DC bias, SF6/C4F8 inductively coupled plasma etch process of molybdenum with critical dimension of 30nm suitable for compound semiconductor devices
Electrowetting on Microbowl-Array Patterned SU-8 Surfaces Generated by Electron-Beam Lithography
Li, XiangmingDynamic modeling of Electrohydrodynamic Patterning by Moving Mesh Method
Electrohydrodynamic Analysis of L-DEP Force Driven Imprinting Process by Coupling L-DEP Theory and Two Phase Flow Method
Fabrication of Microlens Arrays with Controllable Numerical Aperture Based on Electrically Induced Dewetting by Spatially Modulcated Electric Field
Li, Y.Morphology Control of Anodic Porous Alumina Using Nanoimprinting
Li, YanqiuDesign of freeform illumination sources with arbitrary polarization for immersion lithography
Design of Off-axial Objective for High-NA EUVL Using Free-form Surface Mirrors
Li, Z.Y.Co-Fabrication of Liquid-core Micro/Nano Structures in Soft Materials for Stretchable Electronics, Photonics and Microfluidics
Liang, X.Fabrication of Multi-Bit Data Storage Memories Based on Multilayer MoS2
Plasma-Assisted Doping for Fabricating MoS2 Diodes and Ambipolar Transistors
Liang, XiaoganFabrication of Vertically-Stacked MoS2-Based Thin-Film Photovoltaic Devices
Liao, K.-T.Total Nanofluidic Confinement Devices Nanofabricated by Focused Ion Beam Milling
Liddle, J. A.Tracing the Kilohertz Rotation of Nanofabricated Nanomotors Propelled by Ultrasound
Liddle, J. AlexanderFabrication of a High Efficiency Multilayer Diffractive Phase Grating
DNA Origami-Gold Nanoparticle Constructs: DNA Origami-Gold Nanoparticle Constructs: Design for Nanomanufacturing
High-Resolution Imaging and Spectroscopy at High Pressure: A Novel Liquid Cell for the TEM
Lifshin, EricImprovements in scanning electron microscope image resolution using reference image or a sample
Lim, H.J.Nanoimprint for Illumination Module to Control the Emitted Light using Flexibility Enhanced SCIL Mold
Lima, L.P.B.Focused Ion Beam milling for Si nanowire and Junctionless transistor prototype
Lin, PengTiO2 Sol-Gel Based Memristor Crossbar Arrays with Triangular Top and Bottom Metal Electrodes
Lin, Po-KangFocused Ion Beam for Biological Studies
Lin, Shy-JayTowards 7-nm node and below technologies by MEBW
Ling, T.Optical Detection of Ultrasound by using Polymer Filled Silicon High Contrast Grating directly Integrated on Fiber Tip
Lionnet, T.Fabrication of a High Efficiency Multilayer Diffractive Phase Grating
Lipson, M.Silicon Photonics: The Optical Spice Rack
Liu, Chi-ChunGraphoeptiaxy directed self-assembly of lamellar phase symmetric block copolymers in the presence of two dimensional template patterns
Design and Customization of Directed Self-Assembly Patterns
Liu, F.Electron impact gas ion source development: a miniaturized gas ionization chamber using polymer PMMA
Liu, FeiDesign of Off-axial Objective for High-NA EUVL Using Free-form Surface Mirrors
Liu, G.Low Cost Fabrication of Ultra-Sensitive Micro Structures and Its Characterization
Liu, H.Z.Fabrication of Patterned TiO2 Nanorod Arrays by Laser Scanning Technology for Dye-sensitized Solar Cells
Liu, HeFull color reflective display based on high contrast gratings
Liu, JianpengMultistep Aztec profile by an iteration process using 3D electron beam lithography for angle resolved micro-spectrometers
Effect of resist sensitivity difference on the T shape profile by Monte Carlo simulation
Monte Carlo simulation and developing study of resist profile for high aspect ratio Fresnel zone plates
Liu, JPSub-wavelength gold nano pillars for high sensitive LSPR sensors
Liu, KeDesign of freeform illumination sources with arbitrary polarization for immersion lithography
Liu, M. Z.Block Copolymer Self Assembly for Design and Vapor-Phase Synthesis of Nanostructured Materials
Liu, N.Electron impact gas ion source development: a miniaturized gas ionization chamber using polymer PMMA
Liu, P. Zhan,N.Construction of 3D Plasmonic Chiral Nanostructures on DNA Template
Liu, RSub-wavelength gold nano pillars for high sensitive LSPR sensors
Liu, RanReusable-template-assisted fabrication of rolled-up 3D hierarchical structures
Three dimensional arrangements of carbon nanotubes by dry release approach
Liu, ShiyuanAccurate dimensional monitoring of e-beam patterned grating structures by Mueller matrix polarimetry
Robust profile reconstruction in optical scatterometry
Liu, Y.Nanocavity Optomechanical Sensors and Signal Transducers
Liu, YanDesign of Off-axial Objective for High-NA EUVL Using Free-form Surface Mirrors
Liu, ZhaoqianThree dimensional arrangements of carbon nanotubes by dry release approach
Livengood, RickMechanism and Applications of Helium Transmission Milling in Thin Membranes
Lockerman, S.L.Understanding Focused Helium Ion Beam Nanomachining of Membranes and Bulk Substrates
Lončar, M.Quantum Nanophotonics and Nanomechanics with Diamond
Lopez, G.Temperature Dependent Effective Process Blur and Its Impact on Process Latitude and Lithographic Targets Using E-Beam Simulation and Proximity Effect Correction
HSQ on SOI Proximity and Process Loading Effects Correction from a Single 1D Characterization Sample
Losurdo, M.Fabrication of graphene-based devices by Ultraviolet Nanoimprint Lithography
Lovejoy, T.C.Atom by Atom Analysis and Nanolithography
Lowrey, S.Ultra-high NA, High Aspect Ratio Interference Lithography with Resonant Dielectric Underlayers
Loyola, B. R.Modified Electronic Properties of Nanoscale Polymer Fibers via Nanoparticle Doping with Melt and Solvent Electrospinning
Lu, M.Block Copolymer Self Assembly for Design and Vapor-Phase Synthesis of Nanostructured Materials
Lu, MingInsoluble residual in ZEP520 electron-beam resist development
Lu, W.Fabrication of 3D Nano-channel Electroporation Chip for High Throughput Cell Transfection
Lu, WuEffect of resist sensitivity difference on the T shape profile by Monte Carlo simulation
High Electron Mobility Few Layer MoS2 Grown by Chemical Vapor Deposition
Luciani, V. K.Fabrication of a High Efficiency Multilayer Diffractive Phase Grating
Luo, B.Nanoimprint Mold with Integrated Heater for Synergistic Thermal and UV Nanoimprint
Luo, YuWhite organic light emitting diodes with enhanced light extraction and self-cleaning property served by ZnO nanopillars
Luo, XinhangHigh Electron Mobility Few Layer MoS2 Grown by Chemical Vapor Deposition
Luttge, R.Nanopatterned substrate stiffness affects primary cortical cell network formation
Lyu, SiweiImprovements in scanning electron microscope image resolution using reference image or a sample

M

Ma, JiaoniDistribution of diamond NV centers created by focused helium ion beam exposure and annealing
Ma, LuHigh Electron Mobility Few Layer MoS2 Grown by Chemical Vapor Deposition
Ma, Y.Q.Sub-wavelength gold nano pillars for high sensitive LSPR sensors
Ma, YaqiMultistep Aztec profile by an iteration process using 3D electron beam lithography for angle resolved micro-spectrometers
Effect of resist sensitivity difference on the T shape profile by Monte Carlo simulation
Monte Carlo simulation and developing study of resist profile for high aspect ratio Fresnel zone plates
Maas, DiederikEvaluation of EUV resist performance below 20-nm critical dimension using helium ion lithography
Macintyre, D. S.An Investigation of CSAR 62, a New Resist for Electron Beam Lithography
Madden, John D. W.Dimensionality, Heat Transfer and Light-activated Cathodes
Maekawa, T.Electron Beam Source using Wide Band Gap Semiconductor Photocathode with an NEA surface
Mahmoudi, M.Nanoscale Imaging of Plasmonic Structures with A Transmission Photoemission Electron Microscope
Mair, L. O.Tracing the Kilohertz Rotation of Nanofabricated Nanomotors Propelled by Ultrasound
Majlis, B. Y.A MEMS capacitive pressure sensor employing 3C-SiC diaphragm with operating temperature of 500C
Mäkelä, T.Thermal Roll-to-Roll Imprinted Nanogratings on Plastic Film
Malainou, A.Nanopatterned surfaces via colloidal lithography and plasma processing applied to selective protein immobilization and superhydrophobicity
Malkoc, V.Fabrication of 3D Nano-channel Electroporation Chip for High Throughput Cell Transfection
Mallouk, T. E.Tracing the Kilohertz Rotation of Nanofabricated Nanomotors Propelled by Ultrasound
Manfrinato, Vitor R.Measurement of the electron-beam-lithography point-spread function by chromatic-aberration-corrected transmission electron microscopy and spectroscopy
Mangat, P.Characterization of Telecentricity Errors in High-Numerical-Aperture Extreme Ultraviolet Mask Images
Margot, J.Determination of experimental spot sizes & acid diffusion lengths in CAR resist for e-beam lithography at 100 kV and 5 kV
Marrows, C.Fabrication and Measurement of Artificial Spin Ice
Marsi, N.A MEMS capacitive pressure sensor employing 3C-SiC diaphragm with operating temperature of 500C
Maruca, S.A Rapid Diagnostic Method to Detect Single Wall Carbon Nanotubes in Nanoscale Windows
Marusic, JC.Hydrocarbonaceous contamination growth induced by resists outgassing under e-beam radiation
Maruyama, KenRevealing Beam-induced Chemistry using Modulus Mapping in Negative-tone EUV/E-beam resists with and without cross-linker additives
Mathai, P. P.Tracing the Kilohertz Rotation of Nanofabricated Nanomotors Propelled by Ultrasound
Matsui, S.Surface evaluation of HSQ with PDMS additive after room temperature nanoimprinting using hard and soft molds
Molecular orientation of imprinted nm-order pattern of photo-cross-linkable liquid crystalline polymer
Matsui, Y.Micron-Powder Blending, Transportation and Separation using Surface Acoustic Waves
Mazarov, P.Ion Column and Source technology employing Gallium and New Ion Species for Advanced FIB Nanofabrication
McClelland, J. J.Scanning Ion Microscopy with Low Energy Lithium Ions
McClelland, J.J.Bright ion beams from laser-cooled atoms
Low Temperature Ion Source for Focused Ion Beam Nanomachining Applications
McMorran, B.Creation of High Resolution Electron Diffraction Gratings using FIB and E-Beam Techniques
Mebiene-Engohang, AP.Hydrocarbonaceous contamination growth induced by resists outgassing under e-beam radiation
Medina, JuanAnti-wetting surfaces fabricated by Reverse Nanoimprint Lithography on Silicon and metal-coated substrates
Meguro, T.Electron Beam Source using Wide Band Gap Semiconductor Photocathode with an NEA surface
Mei , YongfengThree dimensional arrangements of carbon nanotubes by dry release approach
Mei, YongfengReusable-template-assisted fabrication of rolled-up 3D hierarchical structures
Melvin, Lawrence S., IIIOptical proximity correction using diffraction holography technique
Menon, R.Nanopatterning via selective dissolution of one photoisomer
Meyhofer, EdgarFabrication of Vertically-Stacked MoS2-Based Thin-Film Photovoltaic Devices
Miao, H.Cavity optical transducer for scanning probe microscopy
Michels, T.Nanocavity Optomechanical Sensors and Signal Transducers
Cavity optical transducer for scanning probe microscopy
Michishita, K.Molecular Dynamics Study of Line Edge Roughness in Electron Beam Lithography
Miller, Dean J.Measurement of the electron-beam-lithography point-spread function by chromatic-aberration-corrected transmission electron microscopy and spectroscopy
Mills, E.Silicon Nanopillar Anodes for Lithium-Ion Batteries Using Nanoimprint Lithography with Flexible Molds
Min, J.-H.Fabrication of Three-Dimensional Periodic Nanostructures in Negative Photoresist Using a Colloidal Phase Mask
Minegishi, ShinyaA Novel sub-10 nm Half Pitch Pattern Transfer Process using Directed Self-Assembly Lithography
Mirvakili, Seyed M.Dimensionality, Heat Transfer and Light-activated Cathodes
Miyagi, KenA Novel sub-10 nm Half Pitch Pattern Transfer Process using Directed Self-Assembly Lithography
Miyake, A.5keV Ebeam lithography for 16nm half pitch resolution
Miyazaki, S.High-heat-transfer boiling surface with micropattern replicated by nanoimprinting
Miyazoe, HiroyukiHigh Resolution Patterning with Electron-Beam Lithography and Sidewall Image Transfer
Graphoeptiaxy directed self-assembly of lamellar phase symmetric block copolymers in the presence of two dimensional template patterns
ML.PourteauHydrocarbonaceous contamination growth induced by resists outgassing under e-beam radiation
Moghaddam, Mehran V.Dimensionality, Heat Transfer and Light-activated Cathodes
Mohd-Yasin, F.A MEMS capacitive pressure sensor employing 3C-SiC diaphragm with operating temperature of 500C
RF sputtering of AlN on 3C-SiC (100) thin film: Parameters affecting the AlN crystal orientation and deposition rate
Mojarad, N.High-Throughput Fabrication of High-Aspect-Ratio Metal Nanostructures for Biosensing Applications
Beyond EUV Lithography for Reaching Future Technological Nodes
Moore, A. S.Modified Electronic Properties of Nanoscale Polymer Fibers via Nanoparticle Doping with Melt and Solvent Electrospinning
Moore, Richard L.Improvements in scanning electron microscope image resolution using reference image or a sample
Moreland, J.Micro- and Nanofabrication for Enhanced Magnetic Resonance Imaging and Sensing
Morgan, J.Fabrication and Measurement of Artificial Spin Ice
Moriya, K.Fabrication of the optomechanical resonator with U-shaped cavities for the wavelength detection
Morley, S.Fabrication and Measurement of Artificial Spin Ice
Moro, M.Fabrication of Anti-reflection Structure Film using RTR Ultraviolet Nanoimprint Lithography
Muddiman, B.Sub-10 nm silicon pattern transfer using PS-PDMS block-copolymer
Mühlberger, M.Cloning of a fully functional Si-based photonic integrated circuit by ultraviolet nanoimprint lithography
Large-area ultraviolet nanoimprint lithography for the detailed understanding of silicon-germanium island nucleation on pit-patterned silicon substrates
Fabrication of graphene-based devices by Ultraviolet Nanoimprint Lithography
Müller, T.Fabrication of graphene-based devices by Ultraviolet Nanoimprint Lithography
Murakami, K.In situ visualization of local magnetic field using low-acceleration electron beam in scanning electron microscope
Synthesis of Graphene Nanoribbons from Amyloid Templates by Solid-Phase Graphitization using Gallium as Catalyst and Their Electrical Properties
Muray, L.High voltage EDS on a low Voltage FESEM
Matching Low Voltage Scanning Electron Microscopy with Energy Dispersive X-ray Spectroscopy of Positively Biased Samples
Murday, J.Challenges to Education Addressing the Nanoscale - K through Gray
Mutunga, E.M.Understanding Focused Helium Ion Beam Nanomachining of Membranes and Bulk Substrates
Mutunga, EvaMechanism and Applications of Helium Transmission Milling in Thin Membranes

N

Nagato, K.High-Speed and Low-Energy-Consumption Replication of Nanostructures with Laser-Assisted Roller Nanoimprinting
Morphology Control of Anodic Porous Alumina Using Nanoimprinting
High-heat-transfer boiling surface with micropattern replicated by nanoimprinting
Najafi, F.Selective nanowire fabrication in niobium nitride using sacrificial proximity-effect-correction features in HSQ
Nakao, M.High-Speed and Low-Energy-Consumption Replication of Nanostructures with Laser-Assisted Roller Nanoimprinting
High-heat-transfer boiling surface with micropattern replicated by nanoimprinting
Nam, C. –Y.Block Copolymer Self Assembly for Design and Vapor-Phase Synthesis of Nanostructured Materials
Nam, Chang-YongNanolithography Using Transferrable Membrane Mask For Unconventional Substrates
Nam, H.Fabrication of Multi-Bit Data Storage Memories Based on Multilayer MoS2
Plasma-Assisted Doping for Fabricating MoS2 Diodes and Ambipolar Transistors
Nam, HongsukFabrication of Vertically-Stacked MoS2-Based Thin-Film Photovoltaic Devices
Namboodiri, P.Optimizing SiO2 Hard Etch Masks for Atomic Scale Patterning
Nanda, GauravContacting and structuring graphene by helium ion beam processing
Nano-BionicsFabrication of nano-patterned sapphire substrate by hybrid nano-imprint lithography in combination with nickel as etching mask
Narcross, Hannah L.Advancements in Cross-linked Resist Materials for High Resolution Patterning
Nealey, P.Thermodynamics and kinetics of block copolymer assembly on chemically patterned surfaces
Nealey, P.F.Readying Directed Self-Assembly for Patterning in Semi-Conductor Manufacturing
Neisser, MarkThe Litho Roadmap: Is it a straight path?
Ni, MengyangFabrication of nano-patterned sapphire substrate by hybrid nano-imprint lithography in combination with nickel as etching mask
Nicaise, S. M.Using Self-Assembled Block Copolymer Patterns to Template Ultra–Fine Bilayer Mesh Structures
Nicaise, S.High-Resolution Templated Hydrothermal Growth of ZnO Nanowires
Nicolet, C.PMMA removal options for DSA contact shrink application
Nielsen, TheodorAnti-wetting surfaces fabricated by Reverse Nanoimprint Lithography on Silicon and metal-coated substrates
Nieradka, K.Invited: Scanning Probes for Nanomanufacturing
Nihashi, WataruNegative tone imaging with EUV exposure
Nijhuis, Christian A.Reusable Silicon Templates for Fabricating Sub-10-nm Grooves In Metal Films
Nikolov, N.Invited: Scanning Probes for Nanomanufacturing
Nishikawa, H. Hayashi,H.Fabrication of PDMS micro-lens arrays on a PET film by proton beam writing
Nishitani, T.Electron Beam Source using Wide Band Gap Semiconductor Photocathode with an NEA surface
Noh, Joo HyonPurification Strategies for Electron Beam Induced Deposition
Nojeh, AlirezaDimensionality, Heat Transfer and Light-activated Cathodes
Notte, J.The High Brightness Neon Beam: From Source to Sample

O

Ocola, L. E.Ion Beam Micromachining of Surface Phonon-Polariton Metamaterials Structures in SiC and h-BN
Ohashi, G.Synthesis of Graphene Nanoribbons from Amyloid Templates by Solid-Phase Graphitization using Gallium as Catalyst and Their Electrical Properties
Ohya, K.Charging Simulation of Insulating Layers on a Conducting Substrate Irradiated by Ion and Electron beams
Okada, I.Micron-Powder Blending, Transportation and Separation using Surface Acoustic Waves
Okada, M.Surface evaluation of HSQ with PDMS additive after room temperature nanoimprinting using hard and soft molds
Molecular orientation of imprinted nm-order pattern of photo-cross-linkable liquid crystalline polymer
Oldham, ChristopherFabrication of ZnO Nano-Spring for Transparent Stretchable Conductor
Fabrication of ZnO Three-Dimensional Hierarchical Nanostructures for Wicking Applications
Oleksak, R.Temperature Programmed and Electron Stimulated Desorption From HafSOx Inorganic Resists
Olynick, D. L.Sub-10 nm silicon pattern transfer using PS-PDMS block-copolymer
Olynick, D.Step-and-Repeat Nanoimprinting on pre-spin coated film: from sub-15 nm metal patterning to the fabrication of a spectrometer-on-chip
Olynick, DeirdreRevealing Beam-induced Chemistry using Modulus Mapping in Negative-tone EUV/E-beam resists with and without cross-linker additives
Olziersky, A.Feature proximity effects on the roughness and size variability of electron beam contact patterns
Ongayi, O.Design Strategy for Improving EUV Contact Hole Resist Performance
Ono, H.Molecular orientation of imprinted nm-order pattern of photo-cross-linkable liquid crystalline polymer
Ono, T.Source gas distribution measurement in focused-ion-beam chemical vapor deposition using graphene sensing device
Ormos, P.Multi-focus two-photon polymerization with real time calculated holograms
Oshidari, Y.High Q factor graphene mechanical resonator fabrication using the clamp-bending method
Owen, J. H. G.Patterned Epitaxial Growth of Ge nanostructures
Owen, JamesProgress Towards NEMS Devices based on STM-fabricated Hydrogen Depassivation Patterns
Owen, W.Patterned Epitaxial Growth of Ge nanostructures
Özaslan, M.High-resolution and large-area nanoparticle arrays for model systems in catalysis using EUV lithography techniques
Ozlem, MelihGraphoeptiaxy directed self-assembly of lamellar phase symmetric block copolymers in the presence of two dimensional template patterns

P

Pain , L.Roughness mitigation techniques for electron beam lithography
Pain, L.Electron Shot Noise Effects For 5keV EBDW
Present nanopatterning alternative and associated application opportunities
Determination of experimental spot sizes & acid diffusion lengths in CAR resist for e-beam lithography at 100 kV and 5 kV
Hydrocarbonaceous contamination growth induced by resists outgassing under e-beam radiation
Palma, M.Directed Biomolecular Assembly of Functional Nanostructures
Pandey, SunilCoffee-ring Effect in Concentrating Analytes in 3D Cavity Array for Ultra-sensitive Detection
Pang, L.Single-Mode-Resonance interference in photoresist sub-micron waveguide for high exposure depth nanolithography
Panga,b, S.W.Cell Migration Directionality Control by Transitions on Patterned Substrates
Papenheim, M.Effect of residual stress on replication fidelity with nanoimprint
Impact of morphology on T-NIL with semi-crystalline P3HT
Guided wrinkling with nanoimprinted SU-8 surfaces
Paraskevi, AghiaFeature proximity effects on the roughness and size variability of electron beam contact patterns
Pargon , E.Roughness mitigation techniques for electron beam lithography
Park, B. C.Simulation of SEM Images by Using Monte-Carlo Technique for Quantitative Analysis of Semiconductor Devices
Park, Byong ChonCross-sectional AFM in SEM
Park, Kyung JinFabrication of Au Nanopore on Pyramid using various electron beam and Ion Beam techniques
Park, Myoung JinFabrication of Au Nanopore on Pyramid using various electron beam and Ion Beam techniques
Park, Nam KyouFabrication of Au Nanopore on Pyramid using various electron beam and Ion Beam techniques
Park, Seung-haStudy on the correlation of Hole etch and Byproduct
Parsons, GregoryFabrication of ZnO Nano-Spring for Transparent Stretchable Conductor
Fabrication of ZnO Three-Dimensional Hierarchical Nanostructures for Wicking Applications
Patil, A.Acid catalyst transport in chemically amplified resist films
Patrone, Paul N.DNA Origami-Gold Nanoparticle Constructs: DNA Origami-Gold Nanoparticle Constructs: Design for Nanomanufacturing
Patsis, G.P.Feature proximity effects on the roughness and size variability of electron beam contact patterns
Paul, PhilipThermal Probe Nanolithography: What You See is What You Get
Pedulla, M.Synthesis and Characterization of Apatite Nanoparticles for Bioengineering Applications
Peng, RuomingDemonstration of High Transmittance Color Filter Using Plasmonic Nanocavity Array (D2PA) Fabricated by Nanoimprint
Nanoplasmonic Cavity Enhanced Microfluidic Immunoassay with 5-minutes Incubation Time, 7.8 pM Sensitivity and 100 nL Sample
Penzo, E.Lithographically directed DNA assembly of carbon nanotubes for nanoelectronic applications
Directed Biomolecular Assembly of Functional Nanostructures
Peroz, C.Combining Plasmonics and Nanofluidics for Single Molecule Detection
Step-and-Repeat Nanoimprinting on pre-spin coated film: from sub-15 nm metal patterning to the fabrication of a spectrometer-on-chip
Direct Printing of Planar Photonic Circuits with High Refractive Index
Photonic integrated spectrometer-on-chip based on digital planar holograms
Perrin, B.Fabrication of free standing copper nanowires for vibrational response study through transient reflectivity
Petkov, N.Correlation of SML and ZEP Resists Lithographic Performance with the Resist Chemistry.
Petrou, P.S.Nanopatterned surfaces via colloidal lithography and plasma processing applied to selective protein immobilization and superhydrophobicity
Philipsen, V.Characterization of Telecentricity Errors in High-Numerical-Aperture Extreme Ultraviolet Mask Images
Pi, ShuangNanoscale Resistance Switches for Radio Frequency Applications
Picaud, F.Influence of ionic organization on current blockade induced by translocation of small macromolecule through a single nanopore
Pieczulewski, C.PMMA removal options for DSA contact shrink application
Pierce, J.Creation of High Resolution Electron Diffraction Gratings using FIB and E-Beam Techniques
Pina-Hernandez, C.Direct Printing of Planar Photonic Circuits with High Refractive Index
Piner,  Richard D.High-performance graphene electronics on standard SiO2/Si substrates enabled by new synthesis and transfer methods
Pitera, JedGraphoeptiaxy directed self-assembly of lamellar phase symmetric block copolymers in the presence of two dimensional template patterns
Design and Customization of Directed Self-Assembly Patterns
Plank, HaraldPurification Strategies for Electron Beam Induced Deposition
Plenat, T.Fabrication of zero-mode waveguides with a high-resolution FIB nanowriter
Pochat-Bohatier, C.Influence of ionic organization on current blockade induced by translocation of small macromolecule through a single nanopore
Polyakov, A.Direct Printing of Planar Photonic Circuits with High Refractive Index
Prakash, M.Foldscope: Origami-Based Paper Microscope
Splash-Stop Lithography: Printed Aspherical Micro Lenses
Prasad, DineshEffect of embedding ErAs nanoparticles on thermal conductivity of In0.53Ga0.47As semiconductors: Phonon scattering mechanism
Priessnitz, G.Fabrication of Multi-Bit Data Storage Memories Based on Multilayer MoS2
Putnam, W. P.Nanofabrication and Characterization of Ultrafast Plasmonic Au Nanorod Array Photocathodes
Pyzyna, A.Nanofabrication of gate-all-around silicon nanowire MOSFETs for the 10 nm node and beyond
Pyzyna, AdamHigh Resolution Patterning with Electron-Beam Lithography and Sidewall Image Transfer

Q

Qi, JiCoffee-ring Effect in Concentrating Analytes in 3D Cavity Array for Ultra-sensitive Detection
Qiana,b,c, W.X.Cell Migration Directionality Control by Transitions on Patterned Substrates
Qiu, ZhijunThree dimensional arrangements of carbon nanotubes by dry release approach
Qu, TuoFabrication of Large-area Nanopatterns by All-fiber Interference Lithography (AFIL) System
Qu, XPSub-wavelength gold nano pillars for high sensitive LSPR sensors
Quan, B.G.Dynamic tuning SERS of silver interdigital nanogratings under external electric field
Quan, Z.S.Hu,B.G.Morphology inducing maskless plasma etching of AlN nanocone arrays with Tip-size dependent photoluminescence properties

R

Rack, P.D.Focused Neon Ion Beam Induced Sputtering of Copper and Silicon Dioxide by Monte Carlo Simulations
Rack, Philip D.Purification Strategies for Electron Beam Induced Deposition
Raghunathan, S.Characterization of Telecentricity Errors in High-Numerical-Aperture Extreme Ultraviolet Mask Images
Rahman, A.Block Copolymer Self Assembly for Design and Vapor-Phase Synthesis of Nanostructured Materials
Rajan, SiddharthHigh Electron Mobility Few Layer MoS2 Grown by Chemical Vapor Deposition
Ramasse, Q.M.Atom by Atom Analysis and Nanolithography
Randall, J. N.Patterned Epitaxial Growth of Ge nanostructures
Randall, JohnProgress Towards NEMS Devices based on STM-fabricated Hydrogen Depassivation Patterns
Rangelow, I. W.Focused ion beam assisted fabrication and application of high speed scanning thermal microscopy selfactuated piezoresistive probe
Rangelow, I.W.Invited: Scanning Probes for Nanomanufacturing
Cavity optical transducer for scanning probe microscopy
Rawlings, ColinThermal Probe Nanolithography: What You See is What You Get
Ray, V.Enhanced Removal of Material by FIB Etching at Glancing Angle of Incidence in Cross-Sectioning Application
Effects of Beam Raster Parameters and Up/Down Slope Direction on Multiple-Raster Etching of Material by Focused Ion Beam at Glancing Angle of Incidence
Reche, J.Determination of experimental spot sizes & acid diffusion lengths in CAR resist for e-beam lithography at 100 kV and 5 kV
Reese, W. M.Nanoscale Crater Interfaces Guide Cell Migration and Patterning
Reid, H. E.Synthesis and Characterization of Apatite Nanoparticles for Bioengineering Applications
Ren, Y.High Throughput Imaging in a Multibeam SEM
Rettner, Charles T.Design and Customization of Directed Self-Assembly Patterns
Reuma, A.Invited: Scanning Probes for Nanomanufacturing
Ro, Hyun-WookThe Effects of Thin Films Confinement on Polymer Viscosity and Thermal Nanoimprint Lithography Patterning
Roberts, Nicholas A.Purification Strategies for Electron Beam Induced Deposition
Rockstroh, W.Fabrication of graphene-based devices by Ultraviolet Nanoimprint Lithography
Rogers, JohnRecent Progress in Solid and Liquid Printing Techniques for Nanofabrication
Rooks, M.J.Vertical Directionality Controlled Metal Assisted Chemical Etching for Ultra-High Aspect Ratio Nanoscale Structures
Rosa, A. M.Spacer Lithography for 3D MOS Devices Using Amorphous Silicon Deposited by ECR-CVD
Ross, B. M.Modified Electronic Properties of Nanoscale Polymer Fibers via Nanoparticle Doping with Melt and Solvent Electrospinning
Ross, C. A.Using Self-Assembled Block Copolymer Patterns to Template Ultra–Fine Bilayer Mesh Structures
Rudek, M.Focused ion beam assisted fabrication and application of high speed scanning thermal microscopy selfactuated piezoresistive probe
Ruoff, Rodney S.High-performance graphene electronics on standard SiO2/Si substrates enabled by new synthesis and transfer methods
Rupesinghe, N.Fabrication of graphene-based devices by Ultraviolet Nanoimprint Lithography
Ryu, Byung-SulStudy on the correlation of Hole etch and Byproduct

S

Saffih, F.Fabrication of silicon nanostructures with large taper angle by reactive ion etching
Sahin, R.Bessel-Beam Nano-patterning of Graphene
Saiki, T.Micron-Powder Blending, Transportation and Separation using Surface Acoustic Waves
Saini, RahulProgress Towards NEMS Devices based on STM-fabricated Hydrogen Depassivation Patterns
Sakdinawat, A.Vertical Directionality Controlled Metal Assisted Chemical Etching for Ultra-High Aspect Ratio Nanoscale Structures
Sanabia, J. E.Ion Column and Source technology employing Gallium and New Ion Species for Advanced FIB Nanofabrication
Sanders, Daniel P.Design and Customization of Directed Self-Assembly Patterns
Sarkar, NeilProgress Towards NEMS Devices based on STM-fabricated Hydrogen Depassivation Patterns
Sasago, M.Built-in Lens Mask Lithography
Sasaki, T.Molecular orientation of imprinted nm-order pattern of photo-cross-linkable liquid crystalline polymer
Sasorov, PavelPhotonic integrated spectrometer-on-chip based on digital planar holograms
Sassolini, Gu,# S.Sub-10 nm silicon pattern transfer using PS-PDMS block-copolymer
Sassolini, S.Step-and-Repeat Nanoimprinting on pre-spin coated film: from sub-15 nm metal patterning to the fabrication of a spectrometer-on-chip
Photonic integrated spectrometer-on-chip based on digital planar holograms
Sato, HironobuA Novel sub-10 nm Half Pitch Pattern Transfer Process using Directed Self-Assembly Lithography
Saupe, J.Effect of residual stress on replication fidelity with nanoimprint
Savari, S.An Information Theoretic Perspective on E-beam Direct Write as Complementary Lithography
Savu, V.Microtechnology to Taste - Holographic chocolate for the supermarket
Sayan, S.Readying Directed Self-Assembly for Patterning in Semi-Conductor Manufacturing
Schäffler, F.Large-area ultraviolet nanoimprint lithography for the detailed understanding of silicon-germanium island nucleation on pit-patterned silicon substrates
Scheer, H.-C.Effect of residual stress on replication fidelity with nanoimprint
Impact of morphology on T-NIL with semi-crystalline P3HT
Guided wrinkling with nanoimprinted SU-8 surfaces
Schift, H.Energy-based geometry evolution and 3D simulation of thermal polymer reflow
Schmidt, T. J.High-resolution and large-area nanoparticle arrays for model systems in catalysis using EUV lithography techniques
Schönfeld, M.Effect of residual stress on replication fidelity with nanoimprint
Schuh, A.Invited: Scanning Probes for Nanomanufacturing
Schumacher, J.Total Nanofluidic Confinement Devices Nanofabricated by Focused Ion Beam Milling
Schurink, B.Nanopatterned substrate stiffness affects primary cortical cell network formation
Seiad, M. LoucifEffect of processing parameters on self-assembly of cylindrical phase PS-b-PMMA BCPs on 300 mm wafers
Seino, YurikoA Novel sub-10 nm Half Pitch Pattern Transfer Process using Directed Self-Assembly Lithography
Servin, I.PMMA removal options for DSA contact shrink application
Shao, JHSub-wavelength gold nano pillars for high sensitive LSPR sensors
Shao, JinhaiMultistep Aztec profile by an iteration process using 3D electron beam lithography for angle resolved micro-spectrometers
Effect of resist sensitivity difference on the T shape profile by Monte Carlo simulation
Monte Carlo simulation and developing study of resist profile for high aspect ratio Fresnel zone plates
Shao, JinyouDynamic modeling of Electrohydrodynamic Patterning by Moving Mesh Method
Electrohydrodynamic Analysis of L-DEP Force Driven Imprinting Process by Coupling L-DEP Theory and Two Phase Flow Method
Fabrication of Microlens Arrays with Controllable Numerical Aperture Based on Electrically Induced Dewetting by Spatially Modulcated Electric Field
Sharma, RenuHigh-Resolution Imaging and Spectroscopy at High Pressure: A Novel Liquid Cell for the TEM
Sharp, BrandonAdvancements in Cross-linked Resist Materials for High Resolution Patterning
Sheetz, M.A versatile single-molecule nanoarray platform for T-cell activation
Shen, WenxinCreation and transfer of gratings with spatially-varying periodicity
Shen, X.Construction of 3D Plasmonic Chiral Nanostructures on DNA Template
Shi, D.Fabrication and Measurement of Artificial Spin Ice
Shi, R.Single-Mode-Resonance interference in photoresist sub-micron waveguide for high exposure depth nanolithography
Shiao, Ming-HuaMetamaterials Constructed by Three Dimensional Split Ring Resonators
Shikazono, N.High-heat-transfer boiling surface with micropattern replicated by nanoimprinting
Shima, DarrylMechanism and Applications of Helium Transmission Milling in Thin Membranes
Shin, I.-K.Minimization of Line Edge Roughness and CD Error in Electron-beam Lithography
Experimental Verification of Achieving Vertical Sidewall for Nanoscale Features in Electron-beam Lithography
Determination and Analysis of Minimal Dose for Achieving Vertical Sidewall in Electron-beam Lithography
Shiotsu, Y.Impact of Resist Shrinkage on De-molding Process in NIL
Shiraki, K.Synthesis of Graphene Nanoribbons from Amyloid Templates by Solid-Phase Graphitization using Gallium as Catalyst and Their Electrical Properties
Shu, W.L.Fabrication of plastic high-aspect-ratio microfluidic devices for rare cell isolation using a PDMS stamp
Silver, R.Optimizing SiO2 Hard Etch Masks for Atomic Scale Patterning
Simsek, E.Bessel-Beam Nano-patterning of Graphene
Singh, A. Readying Directed Self-Assembly for Patterning in Semi-Conductor Manufacturing
Effect of processing parameters on self-assembly of cylindrical phase PS-b-PMMA BCPs on 300 mm wafers
Singh, GurpreetDesign and Customization of Directed Self-Assembly Patterns
Sinica, AcademiaMetamaterials Constructed by Three Dimensional Split Ring Resonators
Skinner, J. L.Synthesis and Characterization of Apatite Nanoparticles for Bioengineering Applications
Modified Electronic Properties of Nanoscale Polymer Fibers via Nanoparticle Doping with Melt and Solvent Electrospinning
Sleight, J. W.Nanofabrication of gate-all-around silicon nanowire MOSFETs for the 10 nm node and beyond
Smits, M.Hydrocarbonaceous contamination growth induced by resists outgassing under e-beam radiation
Smyrnakis, A.Ultra-High Aspect Ratio, Plasma Etched Silicon Nanowires: Optical Properties and Mechanical Stability
SOITEC, MARUSIC Jean-ChristopheHydrocarbonaceous contamination growth induced by resists outgassing under e-beam radiation
Soles, Christopher L.The Effects of Thin Films Confinement on Polymer Viscosity and Thermal Nanoimprint Lithography Patterning
Song, M. C.Simulation of SEM Images by Using Monte-Carlo Technique for Quantitative Analysis of Semiconductor Devices
Song, WoonCross-sectional AFM in SEM
Sotomayor, Clivia.Fabrication of embedded silver grid electrodes for ITO-free organic solar cells applications
Spallas, J.High voltage EDS on a low Voltage FESEM
Matching Low Voltage Scanning Electron Microscopy with Energy Dispersive X-ray Spectroscopy of Positively Biased Samples
Sperling, B.Transfer-Free Wafer-Scale Growth of Graphene on Thin-Film Copper
Srinivasan, K.Nanocavity Optomechanical Sensors and Signal Transducers
Stach, Eric A.Measurement of the electron-beam-lithography point-spread function by chromatic-aberration-corrected transmission electron microscopy and spectroscopy
Stan, G.Optimizing SiO2 Hard Etch Masks for Atomic Scale Patterning
Stanford, Michael G.Purification Strategies for Electron Beam Induced Deposition
Stavis, S. M.Tracing the Kilohertz Rotation of Nanofabricated Nanomotors Propelled by Ultrasound
Total Nanofluidic Confinement Devices Nanofabricated by Focused Ion Beam Milling
Stavis, Samuel M.DNA Origami-Gold Nanoparticle Constructs: DNA Origami-Gold Nanoparticle Constructs: Design for Nanomanufacturing
Steele, A.V.Bright ion beams from laser-cooled atoms
Low Temperature Ion Source for Focused Ion Beam Nanomachining Applications
Steigerwald, M.A Mirror-Corrected Scanning Electron Microscope
Stein, A.Fabrication and Measurement of Artificial Spin Ice
Block Copolymer Self Assembly for Design and Vapor-Phase Synthesis of Nanostructured Materials
Stein, G.Acid catalyst transport in chemically amplified resist films
Steinberg, C.Effect of residual stress on replication fidelity with nanoimprint
Impact of morphology on T-NIL with semi-crystalline P3HT
Guided wrinkling with nanoimprinted SU-8 surfaces
Steiner, PhilipLithography Challenges and Opportunities in Bit Patterned Media at 1.5 Tdots/in2 and Beyond
Stepanova, T. Olsen. M.Programmed Self-assembly of Microscale Components Using Biomolecular Recognition
Su, DongMeasurement of the electron-beam-lithography point-spread function by chromatic-aberration-corrected transmission electron microscopy and spectroscopy
Sugano, N.Surface evaluation of HSQ with PDMS additive after room temperature nanoimprinting using hard and soft molds
Sui, Mong-JunFocused Ion Beam for Biological Studies
Sun, W. J.Dynamic tuning SERS of silver interdigital nanogratings under external electric field
Morphology inducing maskless plasma etching of AlN nanocone arrays with Tip-size dependent photoluminescence properties
Sun, YanMonte Carlo simulation and developing study of resist profile for high aspect ratio Fresnel zone plates

T

Tabuchi, M.Electron Beam Source using Wide Band Gap Semiconductor Photocathode with an NEA surface
Tada, K.Computational Study of Electron Irradiation Effects on Vibrational Properties of Carbon Nanotube Cantilevers
Tai, RenzhongThe soft X-ray Interference Lithography Beamline (BL08U1B) at SSRF
Takahashi, K.High-Speed and Low-Energy-Consumption Replication of Nanostructures with Laser-Assisted Roller Nanoimprinting
High-heat-transfer boiling surface with micropattern replicated by nanoimprinting
Takahashi, M.Durability of DLC Release Coating in Ultraviolet Nanoimprint Lithography
Takahashi, T.Synthesis of Graphene Nanoribbons from Amyloid Templates by Solid-Phase Graphitization using Gallium as Catalyst and Their Electrical Properties
Takahata, KenichiDimensionality, Heat Transfer and Light-activated Cathodes
Takai, E.Synthesis of Graphene Nanoribbons from Amyloid Templates by Solid-Phase Graphitization using Gallium as Catalyst and Their Electrical Properties
Takai, R.Molecular Dynamics Study on Demolding Process in Nanoimprint Lithography
Tan, S.Focused Neon Ion Beam Induced Sputtering of Copper and Silicon Dioxide by Monte Carlo Simulations
Tan, ShidaMechanism and Applications of Helium Transmission Milling in Thin Membranes
Tanaka, TakuoMetamaterials Constructed by Three Dimensional Split Ring Resonators
Tanaka, YusukeCharacterization of Small Phase Defect on EUV Mask Using Micro Coherent EUV Scatterometry Microscope
Tanase, MihaelaHigh-Resolution Imaging and Spectroscopy at High Pressure: A Novel Liquid Cell for the TEM
Taneda, R.Computational Study of Electron Irradiation Effects on Vibrational Properties of Carbon Nanotube Cantilevers
Tang, Yu-HsiangMetamaterials Constructed by Three Dimensional Split Ring Resonators
Tanga,b, Q.Y.Cell Migration Directionality Control by Transitions on Patterned Substrates
Taniguchi, J.Durability of DLC Release Coating in Ultraviolet Nanoimprint Lithography
Surface Force Measurement of Nanoimprint Lithography Molds and Resin Materials
Taniguchi, JunThermal Roll-to-Roll Imprinted Nanogratings on Plastic Film
Tao,1, LiHigh-performance graphene electronics on standard SiO2/Si substrates enabled by new synthesis and transfer methods
Tarutani, ShinjiNegative tone imaging with EUV exposure
Tech, MontanaModified Electronic Properties of Nanoscale Polymer Fibers via Nanoparticle Doping with Melt and Solvent Electrospinning
Technolo, Georgia Institute ofAdvancements in Cross-linked Resist Materials for High Resolution Patterning
Thackeray, J.Design Strategy for Improving EUV Contact Hole Resist Performance
Thayne, I.G.An anisotropic low power, low DC bias, SF6/C4F8 inductively coupled plasma etch process of molybdenum with critical dimension of 30nm suitable for compound semiconductor devices
Thiam, N.A.Electron Shot Noise Effects For 5keV EBDW
Thomas, G. A.A Rapid Diagnostic Method to Detect Single Wall Carbon Nanotubes in Nanoscale Windows
Thomas, O.Fabrication of free standing copper nanowires for vibrational response study through transient reflectivity
Thoms, S.Improved Alignment Algorithm for Electron Beam Lithography
An Investigation of CSAR 62, a New Resist for Electron Beam Lithography
Tian, HongmiaoDynamic modeling of Electrohydrodynamic Patterning by Moving Mesh Method
Electrohydrodynamic Analysis of L-DEP Force Driven Imprinting Process by Coupling L-DEP Theory and Two Phase Flow Method
Fabrication of Microlens Arrays with Controllable Numerical Aperture Based on Electrically Induced Dewetting by Spatially Modulcated Electric Field
Tian, S. B.Dynamic tuning SERS of silver interdigital nanogratings under external electric field
Tiberio, R.C.Vertical Directionality Controlled Metal Assisted Chemical Etching for Ultra-High Aspect Ratio Nanoscale Structures
Timilsina, R.Nanoscale Focused Electron Beam Induced Etching: Monte Carlo Simulations
Focused Neon Ion Beam Induced Sputtering of Copper and Silicon Dioxide by Monte Carlo Simulations
Tiron, R.Present nanopatterning alternative and associated application opportunities
PMMA removal options for DSA contact shrink application
Tjio, MeliaDesign and Customization of Directed Self-Assembly Patterns
Tochino, T.Impact of Resist Shrinkage on De-molding Process in NIL
Toimil-Molares, M.E.Fabrication of free standing copper nanowires for vibrational response study through transient reflectivity
Tolbert, Laren M.Advancements in Cross-linked Resist Materials for High Resolution Patterning
Tomikawa, C.Fabrication of zero-mode waveguides with a high-resolution FIB nanowriter
Torres, AndresDirected Self Assembly: A practical perspective
Torres, Clivia SotomayorAnti-wetting surfaces fabricated by Reverse Nanoimprint Lithography on Silicon and metal-coated substrates
Torres, M.Fabrication of embedded silver grid electrodes for ITO-free organic solar cells applications
Truskett, T.M.Computational Design Tools for Directed Self-Assembly of Particles on Surfaces
Tsai, Din PingMetamaterials Constructed by Three Dimensional Split Ring Resonators
Tsai, H.Nanofabrication of gate-all-around silicon nanowire MOSFETs for the 10 nm node and beyond
Tsai, Hsin-YuGraphoeptiaxy directed self-assembly of lamellar phase symmetric block copolymers in the presence of two dimensional template patterns
Design and Customization of Directed Self-Assembly Patterns
Tschupp, S. A.High-resolution and large-area nanoparticle arrays for model systems in catalysis using EUV lithography techniques
Tsikrikas, N.Feature proximity effects on the roughness and size variability of electron beam contact patterns
Tsubaki, HideakiNegative tone imaging with EUV exposure
Tung, Maryann C.Defect Reduction of Peanut-Shaped Direct Self-Assembly using Hompolymer
Twedt, K. A.Scanning Ion Microscopy with Low Energy Lithium Ions
Twedt, K.A.Bright ion beams from laser-cooled atoms

U

Ueda, N.Built-in Lens Mask Lithography
Uemura, K.Impact of Resist Shrinkage on De-molding Process in NIL
Unno, N.Thermal Roll-to-Roll Imprinted Nanogratings on Plastic Film
Usui, YouichCharacterization of Small Phase Defect on EUV Mask Using Micro Coherent EUV Scatterometry Microscope
Utsumi, Y.Micron-Powder Blending, Transportation and Separation using Surface Acoustic Waves

V

Valley, CastroStep-and-Repeat Nanoimprinting on pre-spin coated film: from sub-15 nm metal patterning to the fabrication of a spectrometer-on-chip
van Langen-Suurling, AnjaEvaluation of EUV resist performance below 20-nm critical dimension using helium ion lithography
Van Look, L.Readying Directed Self-Assembly for Patterning in Semi-Conductor Manufacturing
Van, H. H.Solid-state fabrication of ultrathin carbon nanotube – graphene hybrid cathodes for electron field emission
Vandenberghe, G.Characterization of Telecentricity Errors in High-Numerical-Aperture Extreme Ultraviolet Mask Images
Vanero, D.A.Fabrication and Measurement of Artificial Spin Ice
Vannufel5keV Ebeam lithography for 16nm half pitch resolution
Vargas-Lara, FernandoDNA Origami-Gold Nanoparticle Constructs: DNA Origami-Gold Nanoparticle Constructs: Design for Nanomanufacturing
Vaz, A. R.Spacer Lithography for 3D MOS Devices Using Amorphous Silicon Deposited by ECR-CVD
Vecchioni, S.Directed Biomolecular Assembly of Functional Nanostructures
Veldhoven, Emile vanEvaluation of EUV resist performance below 20-nm critical dimension using helium ion lithography
Verduijn, E.Characterization of Telecentricity Errors in High-Numerical-Aperture Extreme Ultraviolet Mask Images
Verduin, T.LER Measurement in Low Dose CD-SEM Images
Verspaget, CoenEvaluation of EUV resist performance below 20-nm critical dimension using helium ion lithography
Veyan, J.-F.Patterned Epitaxial Growth of Ge nanostructures
Viswanathan, V.Nanoscale Imaging of Plasmonic Structures with A Transmission Photoemission Electron Microscope
Vizsnyiczai, G.Multi-focus two-photon polymerization with real time calculated holograms
Vladár, A.E.Understanding Focused Helium Ion Beam Nanomachining of Membranes and Bulk Substrates
Vladár, AndrásMechanism and Applications of Helium Transmission Milling in Thin Membranes
Vockenhuber, M.Beyond EUV Lithography for Reaching Future Technological Nodes
Volger, M.Step-and-Repeat Nanoimprinting on pre-spin coated film: from sub-15 nm metal patterning to the fabrication of a spectrometer-on-chip
Vuckovic, JelenaFrom optical interconnects to intra-cellular sensors and quantum technologies

W

W.Hagen, C.High Throughput Imaging in a Multibeam SEM
Wagner, M.Design Strategy for Improving EUV Contact Hole Resist Performance
Wago, KoichiLithography Challenges and Opportunities in Bit Patterned Media at 1.5 Tdots/in2 and Beyond
Walker, A.R. HightTransfer-Free Wafer-Scale Growth of Graphene on Thin-Film Copper
Wallow,O.Wood, T.Characterization of Telecentricity Errors in High-Numerical-Aperture Extreme Ultraviolet Mask Images
Wang, C.Varying gate layout nanowire single-electron defined by electron beam lithography
Wang, J.High-Speed and Low-Energy-Consumption Replication of Nanostructures with Laser-Assisted Roller Nanoimprinting
Wang, L.Morphology Control of Anodic Porous Alumina Using Nanoimprinting
Wang, LiWhite organic light emitting diodes with enhanced light extraction and self-cleaning property served by ZnO nanopillars
Accurate dimensional monitoring of e-beam patterned grating structures by Mueller matrix polarimetry
Wang, LianshengThe soft X-ray Interference Lithography Beamline (BL08U1B) at SSRF
Wang, LuReusable-template-assisted fabrication of rolled-up 3D hierarchical structures
Wang, R.Directed Biomolecular Assembly of Functional Nanostructures
Wang, S.Effect of residual stress on replication fidelity with nanoimprint
Impact of morphology on T-NIL with semi-crystalline P3HT
Guided wrinkling with nanoimprinted SU-8 surfaces
Wang, W.Tracing the Kilohertz Rotation of Nanofabricated Nanomotors Propelled by Ultrasound
Wang, X. S.Metal-containing Polymer as Electron Beam Resist with High Resolution and High Etching Resistivity
Wang, X.Q.Optimizing SiO2 Hard Etch Masks for Atomic Scale Patterning
Wang, XiaohanHigh-performance graphene electronics on standard SiO2/Si substrates enabled by new synthesis and transfer methods
Wang, Y.New Plasmonic Nanocavity Organic Light-Emitting Diode with Significantly Enhanced Light Extraction, Contrast, Viewing Angle, Brightness and Low-Glare
Wang, Y.M.ELift-off Free Fabrication of Nano-apertures Using Templated Dewetting
Wang, YifeiFull color reflective display based on high contrast gratings
Spectrum splitting using multi-layer sub-wavelength high-index-contrast grating for solar energy harvesting efficiency improvement
Wang, YuxuanDemonstration of High Transmittance Color Filter Using Plasmonic Nanocavity Array (D2PA) Fabricated by Nanoimprint
Wang, ZhitingFabrication of ZnO Three-Dimensional Hierarchical Nanostructures for Wicking Applications
Warisawa, S.High Q factor graphene mechanical resonator fabrication using the clamp-bending method
Fabrication of the optomechanical resonator with U-shaped cavities for the wavelength detection
Source gas distribution measurement in focused-ion-beam chemical vapor deposition using graphene sensing device
Watanabe, TakeoCharacterization of Small Phase Defect on EUV Mask Using Micro Coherent EUV Scatterometry Microscope
Watanabe, Y.High-heat-transfer boiling surface with micropattern replicated by nanoimprinting
Wathuthanthri, I.Patterning of Large-Area Graphene Nanostructures via Holographic Lithography and O2 Plasma Etching
Nanoparticles-Decorated Nanocone Array of Gold for Anti-Reflective Enhancement of SERS Sensing
Patterning of High-Aspect-Ratio Nanostructures on Microtrenches using Stencil Lithography of Free-Standing Tri-Layer Membrane
Watkins, J.Electronic and Optical Devices via Additive Driven Self-Assembly and Nanoimprint Lithography: Towards Solution-Based Roll-to-Roll Nanomanufacturing
Weaver, J. M. R.Improved Alignment Algorithm for Electron Beam Lithography
Wegener, Martin3D Optical Laser Lithography: No Limits?
Wei, LidongDesign of freeform illumination sources with arbitrary polarization for immersion lithography
Weker, J.N.Vertical Directionality Controlled Metal Assisted Chemical Etching for Ultra-High Aspect Ratio Nanoscale Structures
Wen, Jianguo Measurement of the electron-beam-lithography point-spread function by chromatic-aberration-corrected transmission electron microscopy and spectroscopy
Wen, LiangdongApplication of Metrological Scanning Electron Microscopy in micron and nanometer structure measurement
West, M.Combining Plasmonics and Nanofluidics for Single Molecule Detection
Wi, S.Fabrication of Multi-Bit Data Storage Memories Based on Multilayer MoS2
Plasma-Assisted Doping for Fabricating MoS2 Diodes and Ambipolar Transistors
Wi, SungjinFabrication of Vertically-Stacked MoS2-Based Thin-Film Photovoltaic Devices
Wieland, M.Electron Shot Noise Effects For 5keV EBDW
Hydrocarbonaceous contamination growth induced by resists outgassing under e-beam radiation
Wilson, T.M.Bright ion beams from laser-cooled atoms
Wind, S. J.Lithographically directed DNA assembly of carbon nanotubes for nanoelectronic applications
A versatile single-molecule nanoarray platform for T-cell activation
Wind, S.J.Directed Biomolecular Assembly of Functional Nanostructures
Wolbers, F.Nanopatterned substrate stiffness affects primary cortical cell network formation
Wolff, P. D. Rack,K.Nanoscale Focused Electron Beam Induced Etching: Monte Carlo Simulations
Wong, H.-S. PhilipDefect Reduction of Peanut-Shaped Direct Self-Assembly using Hompolymer
Wright, C.Creation of High Resolution Electron Diffraction Gratings using FIB and E-Beam Techniques
Wu, C. Y.Apertureless Beam Pen Lithography Based on Fully Metal-coated Polyurethane-acrylate (PUA) Micro-pyramids Array
Wu, H.The High Brightness Neon Beam: From Source to Sample
Wu, WeiFull color reflective display based on high contrast gratings
Spectrum splitting using multi-layer sub-wavelength high-index-contrast grating for solar energy harvesting efficiency improvement
Wu, Y.High voltage EDS on a low Voltage FESEM
Matching Low Voltage Scanning Electron Microscopy with Energy Dispersive X-ray Spectroscopy of Positively Biased Samples
Wu, YanqingThe soft X-ray Interference Lithography Beamline (BL08U1B) at SSRF
Wu, YiyingHigh Electron Mobility Few Layer MoS2 Grown by Chemical Vapor Deposition
Wuister, SanderEvaluation of EUV resist performance below 20-nm critical dimension using helium ion lithography
Wuppertal, University ofImpact of morphology on T-NIL with semi-crystalline P3HT
Guided wrinkling with nanoimprinted SU-8 surfaces

X

Xi, PengDistribution of diamond NV centers created by focused helium ion beam exposure and annealing
Xia, Q.Cross point Si/SiOx/Si resistance switches fabricated by stacking single-crystalline fluid-supported Si membranes
TiO2-x/TaOy Bilayer Memrsitive Devices with High Endurance and High ON/OFF Ratios
Reactive Sputtering Deposition of TiOx for Memristive Devices
Xia, QiangfeiNanoscale Resistance Switches for Radio Frequency Applications
TiO2 Sol-Gel Based Memristor Crossbar Arrays with Triangular Top and Bottom Metal Electrodes
Xia, RuiApplication of Metrological Scanning Electron Microscopy in micron and nanometer structure measurement
Xia, X.X.Morphology inducing maskless plasma etching of AlN nanocone arrays with Tip-size dependent photoluminescence properties
Xiao, ShuaigangLithography Challenges and Opportunities in Bit Patterned Media at 1.5 Tdots/in2 and Beyond
Xie, S.Nanopatterned substrate stiffness affects primary cortical cell network formation
Xu, H.Fabrication of plastic high-aspect-ratio microfluidic devices for rare cell isolation using a PDMS stamp
Xu, Z.Three-Dimensional Nanolithography Using Colloidal Nanospheres with Oblique and Multiple Exposures
Design of Hierarchical Three-Dimensional Porous Nanostructures using Template-Directed Colloidal Assembly
Xua,b, Y.H.Cell Migration Directionality Control by Transitions on Patterned Substrates
Xue, ChaofanThe soft X-ray Interference Lithography Beamline (BL08U1B) at SSRF
Xue, HongApplication of Metrological Scanning Electron Microscopy in micron and nanometer structure measurement

Y

Yamada, I.Source gas distribution measurement in focused-ion-beam chemical vapor deposition using graphene sensing device
Yamada, Y.Synthesis of Graphene Nanoribbons from Amyloid Templates by Solid-Phase Graphitization using Gallium as Catalyst and Their Electrical Properties
Yamaguchi, A.Micron-Powder Blending, Transportation and Separation using Surface Acoustic Waves
Yamaguchi, TokutaroFabrication of Au Nanopore on Pyramid using various electron beam and Ion Beam techniques
Yamamoto, M.Structural Change of Graphene during Electron Beam Process: Molecular Dynamics Study
Yang, E.-H.Patterning of Large-Area Graphene Nanostructures via Holographic Lithography and O2 Plasma Etching
Yang, J.K.W.ELift-off Free Fabrication of Nano-apertures Using Templated Dewetting
Yang, JingReusable-template-assisted fabrication of rolled-up 3D hierarchical structures
Three dimensional arrangements of carbon nanotubes by dry release approach
Yang, Joel K. W.Reusable Silicon Templates for Fabricating Sub-10-nm Grooves In Metal Films
Yang, QingzhenDynamic modeling of Electrohydrodynamic Patterning by Moving Mesh Method
Electrohydrodynamic Analysis of L-DEP Force Driven Imprinting Process by Coupling L-DEP Theory and Two Phase Flow Method
Fabrication of Microlens Arrays with Controllable Numerical Aperture Based on Electrically Induced Dewetting by Spatially Modulcated Electric Field
Yang, ShuminThe soft X-ray Interference Lithography Beamline (BL08U1B) at SSRF
Yang, TaoFabrication of Large-area Nanopatterns by All-fiber Interference Lithography (AFIL) System
Yang, XiaoMinLithography Challenges and Opportunities in Bit Patterned Media at 1.5 Tdots/in2 and Beyond
Yang, XusanDistribution of diamond NV centers created by focused helium ion beam exposure and annealing
Yang, Y.Nanofabrication and Characterization of Ultrafast Plasmonic Au Nanorod Array Photocathodes
Metal Adhesion Layer Induced Damping of Surface Plasmons Probed by Photoelectron Emission
Yang, YujiaMeasurement of the electron-beam-lithography point-spread function by chromatic-aberration-corrected transmission electron microscopy and spectroscopy
Yang, Z.Single-Mode-Resonance interference in photoresist sub-micron waveguide for high exposure depth nanolithography
Yankov, VladimirPhotonic integrated spectrometer-on-chip based on digital planar holograms
Yao, YuhanFull color reflective display based on high contrast gratings
Spectrum splitting using multi-layer sub-wavelength high-index-contrast grating for solar energy harvesting efficiency improvement
Yasuda, M.Structural Change of Graphene during Electron Beam Process: Molecular Dynamics Study
Molecular Dynamics Study of Line Edge Roughness in Electron Beam Lithography
Impact of Resist Shrinkage on De-molding Process in NIL
Molecular Dynamics Study on Demolding Process in Nanoimprint Lithography
Computational Study of Electron Irradiation Effects on Vibrational Properties of Carbon Nanotube Cantilevers
Yatsuda, KoichiA Novel sub-10 nm Half Pitch Pattern Transfer Process using Directed Self-Assembly Lithography
Yavuz, M.Water soluble and developable e-beam resist sodium PSS
Dry liftoff of metal and organic materials
Improved anti-adhesion FOTS coating for imprint mold
Smooth Au Film by Annealing for Enhanced Extraordinary Optical Transmission
Fabrication of silicon nanostructures with large taper angle by reactive ion etching
Benchtop fabrication method on non-planar surface using SAM as e-beam resist
Yavuz, MustafaNumerical Investigation of Optical Behavior of Nano-hole Array with Non-vertical Sidewall Profile
Yi, HeDefect Reduction of Peanut-Shaped Direct Self-Assembly using Hompolymer
Yin, BohuaApplication of Metrological Scanning Electron Microscopy in micron and nanometer structure measurement
Yin, L.Fabrication of Patterned TiO2 Nanorod Arrays by Laser Scanning Technology for Dye-sensitized Solar Cells
Yoo, Jung HoFabrication of Au Nanopore on Pyramid using various electron beam and Ion Beam techniques
Yoshida, K.In situ visualization of local magnetic field using low-acceleration electron beam in scanning electron microscope
Yoshizawa, S.Fabrication of zero-mode waveguides with a high-resolution FIB nanowriter
Yu, L.Fabrication of a High Efficiency Multilayer Diffractive Phase Grating
Yu, ZhaoningLithography Challenges and Opportunities in Bit Patterned Media at 1.5 Tdots/in2 and Beyond
Yuan, ChangshengFabrication of nano-patterned sapphire substrate by hybrid nano-imprint lithography in combination with nickel as etching mask
A Thiol-ene Degradable Resist for Hybrid Nanoimprint-soft Lithography
Yusuf, A.S.Nanoscale Imaging of Plasmonic Structures with A Transmission Photoemission Electron Microscope

Z

Zabow, G.Micro- and Nanofabrication for Enhanced Magnetic Resonance Imaging and Sensing
Zadeh, Y. HamidiFabricating Nanoscale Tunnel Junction for IETS Measurements
Zaitsev, A.Enhanced Removal of Material by FIB Etching at Glancing Angle of Incidence in Cross-Sectioning Application
Zaitsev, K.Enhanced Removal of Material by FIB Etching at Glancing Angle of Incidence in Cross-Sectioning Application
Zakharov, DmitriMeasurement of the electron-beam-lithography point-spread function by chromatic-aberration-corrected transmission electron microscopy and spectroscopy
Zaluzec, Nestor J.Measurement of the electron-beam-lithography point-spread function by chromatic-aberration-corrected transmission electron microscopy and spectroscopy
Zeniou, A.Ultra-High Aspect Ratio, Plasma Etched Silicon Nanowires: Optical Properties and Mechanical Stability
Zhang, B.Y.Fabrication of plastic high-aspect-ratio microfluidic devices for rare cell isolation using a PDMS stamp
Zhang, C.Optical Detection of Ultrasound by using Polymer Filled Silicon High Contrast Grating directly Integrated on Fiber Tip
Zhang, ChuanweiAccurate dimensional monitoring of e-beam patterned grating structures by Mueller matrix polarimetry
Robust profile reconstruction in optical scatterometry
Zhang, CuipingCreation and transfer of gratings with spatially-varying periodicity
Zhang, HaidongHigh Electron Mobility Few Layer MoS2 Grown by Chemical Vapor Deposition
Zhang, J.Metal-containing Polymer as Electron Beam Resist with High Resolution and High Etching Resistivity
Smooth Au Film by Annealing for Enhanced Extraordinary Optical Transmission
Zhang, JianNumerical Investigation of Optical Behavior of Nano-hole Array with Non-vertical Sidewall Profile
Zhang, LihuaMeasurement of the electron-beam-lithography point-spread function by chromatic-aberration-corrected transmission electron microscopy and spectroscopy
Zhang, M.Solid-state fabrication of ultrathin carbon nanotube – graphene hybrid cathodes for electron field emission
Zhang, Q.Silicon Nanopillar Anodes for Lithium-Ion Batteries Using Nanoimprint Lithography with Flexible Molds
Zhang, QiDemonstration of High Transmittance Color Filter Using Plasmonic Nanocavity Array (D2PA) Fabricated by Nanoimprint
Nanoplasmonic Cavity Enhanced Microfluidic Immunoassay with 5-minutes Incubation Time, 7.8 pM Sensitivity and 100 nL Sample
Zhang, SCSub-wavelength gold nano pillars for high sensitive LSPR sensors
Zhang, SichaoMultistep Aztec profile by an iteration process using 3D electron beam lithography for angle resolved micro-spectrometers
Effect of resist sensitivity difference on the T shape profile by Monte Carlo simulation
Monte Carlo simulation and developing study of resist profile for high aspect ratio Fresnel zone plates
Zhang, X. A.Fabrication of Three-Dimensional Periodic Nanostructures in Negative Photoresist Using a Colloidal Phase Mask
Design of Hierarchical Three-Dimensional Porous Nanostructures using Template-Directed Colloidal Assembly
Zhang, X.Three-Dimensional Nanolithography Using Colloidal Nanospheres with Oblique and Multiple Exposures
Zhang, Y.Improved Alignment Algorithm for Electron Beam Lithography
Zhang, YSub-wavelength gold nano pillars for high sensitive LSPR sensors
Zhang, YunMonte Carlo simulation and developing study of resist profile for high aspect ratio Fresnel zone plates
Zhang, Z.Nanoimprint Mold with Integrated Heater for Synergistic Thermal and UV Nanoimprint
Single-Mode-Resonance interference in photoresist sub-micron waveguide for high exposure depth nanolithography
Zhao, G.Low Cost Fabrication of Ultra-Sensitive Micro Structures and Its Characterization
Zhao, JunThe soft X-ray Interference Lithography Beamline (BL08U1B) at SSRF
Zhao, JunjieFabrication of ZnO Nano-Spring for Transparent Stretchable Conductor
Fabrication of ZnO Three-Dimensional Hierarchical Nanostructures for Wicking Applications
Zhao, O.Enhanced Removal of Material by FIB Etching at Glancing Angle of Incidence in Cross-Sectioning Application
Effects of Beam Raster Parameters and Up/Down Slope Direction on Multiple-Raster Etching of Material by Focused Ion Beam at Glancing Angle of Incidence
Zhao, X.Minimization of Line Edge Roughness and CD Error in Electron-beam Lithography
Determination and Analysis of Minimal Dose for Achieving Vertical Sidewall in Electron-beam Lithography
Zhou, LiangchengNanoplasmonic Cavity Enhanced Microfluidic Immunoassay with 5-minutes Incubation Time, 7.8 pM Sensitivity and 100 nL Sample
Zhou, W.Atom by Atom Analysis and Nanolithography
Zhu, D.ELift-off Free Fabrication of Nano-apertures Using Templated Dewetting
Zhu, DiReusable Silicon Templates for Fabricating Sub-10-nm Grooves In Metal Films
Zhu, JinlongRobust profile reconstruction in optical scatterometry
Zhu, Shou-EnContacting and structuring graphene by helium ion beam processing
Zhu, ZhouyangDistribution of diamond NV centers created by focused helium ion beam exposure and annealing
Ziegler, DominikRevealing Beam-induced Chemistry using Modulus Mapping in Negative-tone EUV/E-beam resists with and without cross-linker additives
Zientek, MichalThermal Probe Nanolithography: What You See is What You Get
Zöllner, J-P.Invited: Scanning Probes for Nanomanufacturing
Zonnevylle, A.C.Reference markers for e-beam lithography with Electron Beam Induced Deposition
Zou, J.Nanocavity Optomechanical Sensors and Signal Transducers
Cavity optical transducer for scanning probe microscopy

EIPBN Abstracts