EIPBN

EIPBN 2014 Table of Contents


Section Abstract and Authors
Plenary 1

3D Optical Laser Lithography: No Limits?, Martin Wegener, Karlsruhe Institute of Technology

Three-dimensional (3D) direct laser writing (DLW) can be seen as the 3D counterpart of planar electron-beam lithography. 3D DLW has become a commercially available workhorse However, DLW also used to be subject to certain seemingly fundamental limitations. In this review, we emphasize recent progress to overcoming these limitations.

Plenary 2

Nanophotonics: From Optical Interconnects to Intra-Cellular Sensors ad Quantum Technologies, Jelena Vuckovic, Stanford University

Modern nanofabrication methods have enabled us to build nanophotonic devices and networks that generate, detect, guide, and confine light inside regions with sub-wavelength dimensions. In addition to miniaturization, this approach enables new functionalities, such as ultra-low threshold lasing, fast direct modulation speed, and harnessing of quantum effects needed to implement quantum technologies for secure long distance communications. Moreover, such small footprint devices can be embedded inside living cells to track intra-cellular processes in real time

Plenary 3

Recent Progress in Solid and Liquid Printing Techniques for Nanofabrication, John Rogers, University of Illinois at Urbana-Champaign

The physics of electrohydrodynamic flows and of soft adhesion provide foundations for advanced techniques in printing of liquid and solid materials, with unique capabilities in nanofabrication.  This talk summarizes results of recent work in these areas, with emphasis on (1) electrohydrodynamic jet (e-jet) printing and (2) transfer printing.  The first highlights use of e-jet with solutions of block copolymers, to achieve well-controlled patterns with overall layouts that span dimensions from nanometers (i.e. feature sizes continuously tunable between 13 nm and 20 nm) to centimeters (i.e. wafer scale).  The second introduces strategies to three dimensional semiconductor micro/nanostructures, with application examples in multijunction photovoltaics that offer full-spectrum, ultrahigh efficiency operation.

1A-1 (Invited)
Innovative and Educational Applications

Microtechnology to Taste - Holographic chocolate for the supermarket, V. Auzelyte*,**, V. J. Cadarso*, J. Brugger**, V. Savu*,**, *Morphotonix, **EPFL

We have developed a technology enabling the fabrication of additive-free holographic chocolate at industrial scale. This innovative solution is based on obtaining a micro-patterned surface of the chocolate, which is replicated from chocolate polycarbonate molds, which in turn are fabricated from the metallic master mold via injection molding or thermo-forming.

1A-2
Innovative and Educational Applications

Nanostructures for Energy and Flexible Electronics, Liangbing Hu, University of Maryland College Park

I will discuss our recent work on nano structures with nano cellulose and 2D materials toward emerging applications, including flexible electronics with transparent paper and energy conversion/storages.

1A-3
Innovative and Educational Applications

Challenges to Education Addressing the Nanoscale - K through Gray, J. Murday, University of Southern California

Nanoscale science and engineering presents challenges to education, including incorporation of new knowledge into curricula, preparation of an appropriate workforce, public education to enable risk management decisions, and utilization of nanoscale “buzz” to attract interest in STEM by students.  This presentation will address the status quo and pending opportunities.

1A-4
Innovative and Educational Applications

Co-Fabrication of Liquid-core Micro/Nano Structures in Soft Materials for Stretchable Electronics, Photonics and Microfluidics, Z.Y. Li, The George Washington University

We present a technique to co-fabricate liquid-core photonic, electrical and fluidic micro/nano structures on a stretchable PDMS substrate. This technique can enable the integration of CMOS electronics, sensors, photonics and fluidics in order to achieve self-contained stretchable biosensing and drug delivery micro-systems.

1A-5
Innovative and Educational Applications

Foldscope: Origami-Based Paper Microscope, J. Cybulski, M. Prakash*, Stanford University Mechanical Engineering Dept., *Stanford University Bioengineering Dept.

Combining the principles of origami with optical design, we present ultra-low cost brightfield, darkfield, fluorescence, and projection microscopes designed for rugged applications in science and education.

1B-1 (Invited)
EBEAM

Towards 7-nm node and below technologies by MEBW, Shy-Jay Lin, TSMC

Hence recently production-worthy massive electron beam direct writing (MEBDW) approaches, >10,000 e-beams writing in parallel, have been proposed by KLA-Tencor, MAPPER, and IMS. In this presentation, the development status of MEBDW systems towards the 7-nm node and below, will be introduced.

1B-2
EBEAM

Measurement of the electron-beam-lithography point-spread function by chromatic-aberration-corrected transmission electron microscopy and spectroscopy, Vitor R. Manfrinato, Jianguo  Wen*, Lihua Zhang**, Yujia Yang, Richard Hobbs, Bowen Baker, Dong Su**, Dmitri Zakharov**, Nestor J. Zaluzec*, Dean J. Miller*, Eric A. Stach**, Karl K. Berggren, MIT, *Argonne National Laboratory, ** Brookhaven National Labo

We will present a study and a disentanglement of the mechanisms of electron-beam lithography exposure at the sub-10-nm scale using chromatic-aberration-corrected energy-filtered transmission electron microscopy, momentum-resolved electron energy loss spectroscopy, sub-10-nm electron-beam lithography, and numerical and Monte Carlo simulations.

1B-3
EBEAM

High Resolution Patterning with Electron-Beam Lithography and Sidewall Image Transfer, Markus Brink, Hiroyuki Miyazoe, Sebastian U. Engelmann, Adam Pyzyna, Michael A. Guillorn, IBM Research

We investigate the combination of electron-beam lithography with Sidewall Image Transfer, a sub-lithographic enhancement technique, for high resolution patterning. Materials and process details are discussed. We demonstrate line/space patterns after pattern transfer at 20nm pitch and a unidirectional CMOS gate pattern at 30nm pitch.

1B-4
EBEAM

Lift-off using solvent for negative electron beam resist by ultra-low energy exposure, R. Dey, B. Cui, University of Waterloo

Liftoff using negative resist is challenging because cross-linked resist is insoluble with positive profile due to forward scattering. Here we circumvent those two issues by using low electron energy (whose penetration depth is less than resist film thickness), where lower un-cross-linked part results in under-cut profile and is soluble.

1B-5
EBEAM

Electron Shot Noise Effects For 5keV EBDW, N.A.Thiam, P.Brandt*, B.Icard, L.Pain and M.Wieland*, CEA-Leti, *Mapper Lithography

The aim of this work is to firstly understand electrons in resist and then improve resists efficiency to shot noise effects for low energy EBDW by extracting resist parameters. A model, with two parameters to be fit, has been developed for this purpose using analytical equation.

1B-6 (Invited)
EBEAM

A Mirror-Corrected Scanning Electron Microscope, P. Gnauck, M. Boese, M. Steigerwald, Carl Zeiss Microscopy

In our presentation we will discuss a mirror-corrected SEM, offering high-resolution analytics with efficient productivity to visualize even the most sensitive materials by use of electrons with energies far below 1keV. The aberration correction by means of an electron mirror significantly increases the resolution especially for low energies.

1C-1 (Invited)
Emerging

Nanosculpting devices with electrons in the transmission electron microscope and applications, Marija Drndic, University of Pennsylvania

 

1C-2
Emerging

Lithographically directed DNA assembly of carbon nanotubes for nanoelectronic applications, E. Penzo, S. J. Wind, Columbia University

Carbon nanotube field effect transistors are fabricated by directed assembly of semiconducting DNA-wrapped single-wall carbon nanotube segments using lithographic patterning to modulate the surface energy, with precise control over position and orientation.

1C-3
Emerging

High voltage EDS on a low Voltage FESEM, Y. Wu, J. Spallas, D. Klyachko, S. Davilla, S. Indermuehle, L. Muray, Agilent Technologies

A solution was proposed in this paper to overcome the low voltage limitation of Agilent 8500A FESEM by biasing the sample to positive voltage and increasing electron landing energy to match the EDS capabilities of conventional systems. The proposed solution was successfully implemented on a modified Agilent 8500A FESEM.

1C-4
Emerging

High-Resolution Templated Hydrothermal Growth of ZnO Nanowires, S. Nicaise, A. Kiani*, J. Cheng, S. Chang, S. Gradecak, K. Berggren, Massachusetts Institute of Technology, *University of Toronto

This work templated hydrothermal growth of ZnO NWs on diffent substrates.  EBL-defined PMMA hole arrays masked NW growth at the ideal pitch. We measured and analyzed PV-specific geometric characteristics: seed layer grain sizes, NW alignment via the order parameter, and NW branching.  Thermal annealing improved overall geometric characteristics.

1C-5
Emerging

Nanolithography Using Transferrable Membrane Mask For Unconventional Substrates, Luozhou Li*!, Igal Bayn*!, Ming Lu&, Chang-Yong Nam&, Dirk Englund*, *MIT, !Columbia University, &BNL

We introduce a reliable lithographic technique with sub-10-nanometer resolution, which makes use of the most mature Si-based lithography technologies to a wide variety of unconventional materials. It meets the requirements of many patterning applications by offering high alignment accuracy and multiple mask use without electron beam irradiation on the substrate.

1C-6 (Invited)
Emerging

Cross point Si/SiOx/Si resistance switches fabricated by stacking single-crystalline fluid-supported Si membranes, C. Li, Q. Xia, University of Massachusetts Amherst

We report the fabrication and characterization of crossbar RRAM devices based on chemically produced silicon oxide  with single-crystalline silicon electrodes fabricated by stacking fluid-supported membranes.  The devices showed bipolar resistive switching behavior with high ON/OFF ratio and good cycle-to-cycle uniformity.

2A-1 (Invited)
Nanophotonics and Plasmonics

Silicon Photonics: The Optical Spice Rack, M. Lipson, Cornell University

Silicon is evolving as a versatile photonic platform with multiple functionalities that can be seamlessly integrated. The tool box is rich starting from the ability to guide and amplify multiple wavelength sources at GHz bandwidths, to optomechanical MEMS and opto-fluidics devices.

2A-2
Nanophotonics and Plasmonics

Fabrication of a High Efficiency Multilayer Diffractive Phase Grating, L. Yu*, M. Davanco*, L. Chen*, S. Abrahamsson**, J. Alexander Liddle,* T. Lionnet,** V. K. Luciani*, *Center for Nanoscale Science and Technology, National Institute of Standards and Technology, **Howard Hughes Medical Institute

We report on the fabrication of a multi-level digital Diffractive Optical Element (DOEs) designed to improve the performance of 3D super-resolution microscopy. Modeling is used to understand the effect of fabrication errors on the DOE’s performance. The fabricated DOE has efficiency close to the theoretical maximum of ≈ 90 %.

2A-3
Nanophotonics and Plasmonics

Sub-wavelength gold nano pillars for high sensitive LSPR sensors, Y.Q. Ma, JP Liu, JH Shao, SC Zhang, XP Qu, R Liu, YF Chen,Y Zhang*, Y Sun*,  Fudan University, Shanghai Institute of Technical Physics*

In this work, we have developed a nanofabrication method using electron beam lithography (EBL) combined with electroplating to form ultra-high aspect ratio gold pillars with strictly controllable sub-wavelength period. Both theoretical simulations by FDTD method and optical measurements have been carried out.

2A-4
Nanophotonics and Plasmonics

White organic light emitting diodes with enhanced light extraction and self-cleaning property served by ZnO nanopillars, Yu Luo, Li Wang, Yucheng Ding, Long Li, Xi'an Jiaotong University

One simple method to increase the light extraction from WOLEDs by using ZnO nanopillars as Bragg-scattering gratings is demonstrated.It is an effective and economic way to adjust the chromaticity coordinate, having potential of approaching solar light..

2A-5
Nanophotonics and Plasmonics

Nanoscale Imaging of Plasmonic Structures with A Transmission Photoemission Electron Microscope, D.S.Pickard, Z.K. AI, V. Viswanathan, M.Mahmoudi, H.F. Hao, A.S. Yusuf, National University of Singapore

We present results of imaging hotspots and near-field distribution on sub-wavelength apertures using a transmission PEEM. Plasmon beaming and interference between the propagating modes arising from an array of aperture structures are observed. These preliminary investigations promise exploration of complex resonant structures and interactions previously inaccessible to other techniques.

2B-1
Modeling

Structural Change of Graphene during Electron Beam Process: Molecular Dynamics Study, Y. Asayama, M. Yamamoto, M. Yasuda, H. Kawata, Y. Hirai, Osaka Prefecture University

Molecular dynamics study on the structural change of graphene under electron irradiation is performed. The interaction between a carbon atom and an incident electron is introduced based on the binary collision theory. The defect formation process and the variation of the potential energy of the system are discussed.

2B-2
Modeling

Dynamic modeling of Electrohydrodynamic Patterning by Moving Mesh Method, Qingzhen Yang, Ben Q. Li, Yucheng Ding, Jinyou Shao, Hongmiao Tian, Xiangming Li, State Key Laboratory for Manufacturing Systems Engineering

a numerical model is developed to investigate the dynamic process of Electrohydrodynamic patterning process. The electric field and fluid flow are solved simultaneously by finite element method with moving mesh.

2B-3
Modeling

Molecular Dynamics Study of Line Edge Roughness in Electron Beam Lithography, K. Michishita, M. Yasuda, H. Kawata, Y. Hirai, Osaka Prefecture University

The atomic-scale line edge roughness in electron beam lithography is studied with the molecular dynamics simulation. In the conditions where the electron scattering effect is suppressed, the line edge roughness becomes close to the size of the resist molecule segment for PMMA resist.

2B-4
Modeling

Energy-based geometry evolution and 3D simulation of thermal polymer reflow, R. Kirchner, H. Schift, Paul Scherrer Institut

This work discusses the main reflow effects and their implementation in an energy-based simulation approach using the free software Surface Evolver. As a generalized concept, this becomes interesting for the fast and full 3D shape computation.

2B-5
Modeling

Temperature Dependent Effective Process Blur and Its Impact on Process Latitude and Lithographic Targets Using E-Beam Simulation and Proximity Effect Correction, C. M. Eichfeld, G. Lopez*, The Pennsylvania State University, *GenISys, Inc.

We quantify the effective process blur, using ZEP520 resist (Zeon Chemicals), as a function of developer temperature and find that they are directly proportional to one another. Using these blurs, e-beam simulation and proximity effect correction, respectively characterize the target shape fidelity while maintaining CD uniformity and optimizing writing time.

2B-6
Modeling

Graphoeptiaxy directed self-assembly of lamellar phase symmetric block copolymers in the presence of two dimensional template patterns, Michael Guillorn, Hsin-Yu Tsai, Jed Pitera, Azalia Kraznoperova*, Hiroyuki Miyazoe, Melih Ozlem*, Daniel Brue*, Chi-Chun Liu, Joy Cheng and Kafai Lai*, IBM Research and *IBM Semiconductor Research and Development Center

We report the results of a study on graphoepitaxy DSA in the presence of 2D template patterns.  Our results show that the resulting DSA image created by modulating the template gap is a strong function of the length scale over which the modulation occurs.

2C-1 (Invited)
Emerging and Self-Assembly

Three-Dimensional Nanolithography Using Colloidal Nanospheres with Oblique and Multiple Exposures, X. Zhang, Z. Xu, B. Dai, C.-H. Chang, North Carolina State University

We investigate oblique-incidence multiple-exposure 3D nanolithography using light scattering from single nanospheres to fabricate symmetric directional nanostructures. Control and design of the geometries by different exposure conditions will be studied with possible applications in light trapping and nanofluidics.

2C-2
Emerging and Self-Assembly

A versatile single-molecule nanoarray platform for T-cell activation, H. Cai*, D. Depoil**, M. Sheetz*, M. Dustin**, S. J. Wind*, Columbia University, **New York University

We present a versatile molecular-scale nanoarray platform for probing the minimal geometric requirements for T-cell stimulation. The platform allows the identification of the respective role of key molecular components of the immunological synapse during the immune recognition process.

2C-3
Emerging and Self-Assembly

Fabrication and Measurement of Artificial Spin Ice, A. Stein, C. Marrows*, S. Morley*, J. Morgan*, D. Shi*, G. Burnell*, D.A. Vanero**, S. Langridge**, Center for Functional Nanomaterials, *University of Leeds, **Rutherford Appleton Laboratory

We have fabricated and tested artificial spin ices using electron beam lithography, physical vapor deposition and ion milling of magnetic materials permalloy and CoFeB alloy. Islands with CD down to 15nm have been demonstrated in a variety of geometries. Results from experiments including MFM, XPCS,and XMCD will be presented.

2C-4
Emerging and Self-Assembly

Ion Beam Micromachining of Surface Phonon-Polariton Metamaterials Structures in SiC and h-BN, N. D. Bassim, A. Giles, J. D. Caldwell*, L. E. Ocola**, *Naval Research Laboratory, **Argonne National Laboratory

We use the Focused Ion Beam to fabricate novel metamaterials structures in 4H-SiC and hexagonal BN. Focus is places on processing paramters such as gas-assisted etching, beam tail effects, and redeposition. We were able to fabricate small hole structures as well is variable-depth chiral metamaterial structures using direct-write FIB rapid-prototyping.

2C-5 (Invited)
Emerging and Self-Assembly

Directed Self Assembly: A practical perspective, Andres Torres, Mentor Graphics Corporation

This work focuses in hole grapho-epitaxy because it may enable two critical manufacturing applications: Contact hole reduction/multiplication, and metal cutting. Traditional approaches require multiple exposures or higher resolution systems like EUV or E-beam. While DSA delivers a higher spatial resolution by careful control of the self assembly process.

3A-1 (Invited)
Nanophotonics and Plasmonics

Quantum Nanophotonics and Nanomechanics with Diamond, M. Lončar, Harvard University

I will review recent advances in nanotechnology that have enabled fabrication of nanoscale optical devices and chip-scale systems in diamond that can generate, manipulate, and store optical signals at the single-photon level.

3A-2
Nanophotonics and Plasmonics

Demonstration of High Transmittance Color Filter Using Plasmonic Nanocavity Array (D2PA) Fabricated by Nanoimprint, Ruoming Peng, Yuxuan Wang, Qi Zhang, Stephen Y. Chou, Princeton University

We designed, fabricated and demonstrated a new plasmonic color filter we invented, D2PA (disk-coupled, dots-on-pillar, plasmonic, antenna-array), that has achieved 57%, 34% and 46% peak transmittance for red, green and blue, respectively, which, to our best knowledge, are the highest red and blue transmittance reported

3A-3
Nanophotonics and Plasmonics

Full color reflective display based on high contrast gratings, He Liu, Yuhan Yao, Shujin Huang, Yifei Wang, Wei Wu, University of Southern California

We demonstrate color filters based on high contrast gratings for a full color reflective display  and also the corresponding method to tune the reflectance of each filter.

3A-4
Nanophotonics and Plasmonics

New Plasmonic Nanocavity Organic Light-Emitting Diode with Significantly Enhanced Light Extraction, Contrast, Viewing Angle, Brightness and Low-Glare, W. Ding, Y. Wang, H. Chen, and S. Y. Chou, Princeton University

We report and improve a new organic LED structure (fabricated with NIL) that uses a novel plasmonic nanocavity, termed “plasmonic cavity with subwavelength hole-array” (PlaCSH), and have high efficiency (with EQE 29% and 55% without/with lens) and can significantly enhance light extraction, contrast, viewing angle, brightness and low-glare.

3A-5
Nanophotonics and Plasmonics

Nanoplasmonic Cavity Enhanced Microfluidic Immunoassay with 5-minutes Incubation Time, 7.8 pM Sensitivity and 100 nL Sample, Ruoming Peng, Liangcheng Zhou, Qi Zhang and Stephen Y. Chou, Princeton University

Here we report the design, fabrication and performances of a new ultra-sensitive fluorescent immunoassay platform well suited for POC diagnostics—microfluidic D2PA assay (μ-D2PA), which achieved 7.8 pM (2.6 ng/ml) and 0.78 fmole limit of detection (LoD) for human-IgG with only 100 nL sample and 5 minutes incubation time

3B-1 (Invited)
Optical Lithography

Present nanopatterning alternative and associated application opportunities, L. Pain, S. Landis, L. Lattard, R. Tiron, CEA-LETI-Minatec

This paper will review the status the most promising techniques offering credible and low cost patterning solutions for industry with a special focus on maskless, imprint and DSA lithography.

3B-2
Optical Lithography

Multi-focus two-photon polymerization with real time calculated holograms, G. Vizsnyiczai, P. Ormos, L. Kelemen, Biological Research Centre, Hungarian Academy of Sciences

3B-3
Optical Lithography

Built-in Lens Mask Lithography, N.Ueda, M.Sasago, H.Kikuta, H.Kawata, Y.Hirai, Osaka Prefecture University

We propose novel optical lithography using built-in lens mask to enhance resolution in conventional proximity exposure system.  The built-in lens mask consists of additional novel patterns with multi-level phase compensation structures to realize diffraction micro lens on the mask plate. The optical performance is simulated by numerical analysis and experiment.

3B-4
Optical Lithography

Ultra-high NA, High Aspect Ratio Interference Lithography with Resonant Dielectric Underlayers, S. Lowrey, L. Bourke, B. Ding, R. Blaikie, MacDiarmid Institute for Advanced Materials and Nanotechnology,, Department of Physics, University of Otago

We present simulation and experimental results for new potential candidate dielectric underlayer materials that can accommodate ultra-high numerical aperture, high aspect ratio imaging, and are better geared for the semiconductor industry. Experimental results of subsequent lift-off pattern transfer onto high aspect ratio lines are presented.

3B-5

Patterning of Large-Area Graphene Nanostructures via Holographic Lithography and O2 Plasma Etching, J. Ding, K. Du, I. Wathuthanthri, C.-H. Choi, F. T. Fisher, and E.-H. Yang, Stevens Institute of Technology

3C-1 (Invited)
Biomolecular and Directed Self-Assembly

Directed Biomolecular Assembly of Functional Nanostructures, S.J. Wind*, E. Penzo*, R. Wang*,M. Palma**, S. Vecchioni*, *Columbia University, **Queen Mary College

Strategies are presented for the creation of engineered nanosystems based on functional nanostructures synthesized by solution or vapor phase processing. Controlled functionalization of these nanostructures with selected biomolecules facilitates site-selective assembly with lithographic precision.

3C-2
Biomolecular and Directed Self-Assembly

DNA Origami-Gold Nanoparticle Constructs: DNA Origami-Gold Nanoparticle Constructs: Design for Nanomanufacturing, Seung Hyeon Ko,*,** Fernando Vargas-Lara,**** Paul N. Patrone,*,*** Samuel M. Stavis,* Jack F. Douglas,**** J. Alexander Liddle*, *Center for Nanoscale Science and Technology, National Institute of Standards and Technology, **Maryland Nanocenter, Universi

DNA origami-gold nanoparticle constructs, an important assembly of nanomaterials with controllable photonic and plasmonic properties, can be engineered to enable the efficient separation of reaction products, with purities > 90 %, using bench-top centrifugation, overcoming a major barrier to their widespread use in theranostic and sensing applications.

3C-3
Biomolecular and Directed Self-Assembly

PMMA removal options for DSA contact shrink application, I. Servin, P. Pimenta Barros, M. Argoud, R. Tiron, A. Gharbi*, X. Chevalier*, C. Nicolet*, C. Navarro*,M. Asai**, C. Pieczulewski**, CEA-Leti,*ARKEMA,**Dainippon Screen

3C-4
Biomolecular and Directed Self-Assembly

Sub-10 nm silicon pattern transfer using PS-PDMS block-copolymer, D. L. Olynick, *Z. Liu ,+*,  X, Gu,# S. Sassolini*, B. Muddiman*, J. J. Hwu,b , *The Molecular Foundry, Lawrence Berkeley National Laboratory, +Oxford Instruments, bSeagate Technology, #Dept. Polymer Science and Engineering, University of Massachusetts

High-aspect ratio sub-10 nm silicon structures were etched using PS-PDMS block copolymer.  PDMS derived masks were used directly or or with etched and deposited hard masks. Best results were realized with Cr hard masks. Detailed studies of the etching process were conducted to understand etching process induced limits.

3C-5 (Invited)
Biomolecular and Directed Self-Assembly

Design and Customization of Directed Self-Assembly Patterns, Joy Cheng, Gregory Doerk, Chi-Chun Liu, Gurpreet Singh, Melia Tjio, Charles T. Rettner, Srinivasan Balakrishnan, Alexander Friz, Hsin-yu Tsai, Markus Brink, Kafai Lai, Michael Guillorn and Jed Pitera, Daniel P. Sanders, IBM

Many semiconductor device layouts involve complex patterns beyond simple arrays naturally achieved by the self-assembly of block copolymers. In this paper, we will present two DSA approaches for generation of complex patterns: model-based design for non-gridded layout and customization of gridded patterns.

4A-1 (Invited)
Mechanical Applications

Nanocavity Optomechanical Sensors and Signal Transducers, M. Davanco*, Y. Liu*^, K. Grutter*, K.C. Balram*^, J. Zou*^, T. Michels*, V. Aksyuk*, and K. Srinivasan*, *Center for Nanoscale Science and Technology, National Institute of Standards and Technology, ^

We desribe a variety of nanocavity optomechanical devices we are developing for sensing and signal transduction applicaitons (Invited Talk).

4A-2
Mechanical Applications

High Q factor graphene mechanical resonator fabrication using the clamp-bending method, R. Kometani, Y. Oshidari, S. Ishihara, and S. Warisawa, The University of Tokyo

In this study, an improvement method of the quality (Q) factor using the clamp-bending was proposed in order to obtain the graphene resonator with the high Q factor. As a result, Q factor of 10411 was obtained at room temperature. The effect of the proposed method will be reported in detail.

4A-3
Mechanical Applications

Fabrication of ZnO Nano-Spring for Transparent Stretchable Conductor, Abhijeet Bagal, Erinn Dandley, Junjie Zhao, Christopher Oldham, Gregory Parsons and Chih-Hao Chang, North Carolina State University

We present an interference lithography based technique to fabricate transparent, stretchable Al-doped ZnO conductor. We also characterize the mechanical and electrical properties of the structure under uniaxial tensile loading.

4A-4
Mechanical Applications

Tracing the Kilohertz Rotation of Nanofabricated Nanomotors Propelled by Ultrasound, L. O. Mair (a, b), A. L. Balk (a, b), P. P. Mathai (a, c), W. Wang (d), S. Ahmed (d), T. E. Mallouk (d), J. A. Liddle (a) and S. M. Stavis (a), (a) Center for Nanoscale Science and Technology, National Institute of Standards and Technology, (b) Institute for Research in Electronics and Applied Physics, University of Maryland, (c) Department of Materials Science and Engineering, University of Maryland, (d) Department of Chemistry, The Pennsylvania State University

Nanofabricated nanomotors propelled by ultrasound through microfluidic environments have recently emerged as a novel nanotechnology with potential biomedical applications.  Here, we use nanoparticles as tracers of the kilohertz rotation of nanomotors, advancing our understanding of this active nanotechnology and emphasizing the importance of precision nanofabrication in future measurements and applications.

4A-5
Mechanical Applications

Fabrication of the optomechanical resonator with U-shaped cavities for the wavelength detection, R. Kometani, K. Moriya, J-J. Delaunary, S. Warisawa, S. Ishihara, The University of Tokyo

Optomechanical resonator with U-shaped cavity structures as a plasmonic structure was fabricated for the high resolution wavelength detection. U-shaped cavity was used in order to give the wavelength dependence of heat absorption to a resonator. Wavelength detection characteristics of an optomechanical resonator with U-shaped cavities will be reported in detail.

4B-1 (Invited)
Microscopy

High Throughput Imaging in a Multibeam SEM, Y.Ren, C. W.Hagen, P. Kruit, Delft University of Technology

A Secondary Electron imaging system, a Transmission Electron imaging system and a Back-scatter Electron imaging system have been designed for a Multibeam(196 beams) Scanning Electron Microscope.Theoretical analysis and simulation results of the Secondary Electron and Back-scatter Electron imaging, and recent experimental results of Transmission Electron  imaging are presented.

4B-2
Microscopy

Scanning Ion Microscopy with Low Energy Lithium Ions, K. A. Twedt, T. Lam*, L. Chen*, J. J. McClelland*, National Institute of Standards and Technology and University of Maryland, *National Institute of Standards and Technology

Using a lithium magneto-optical trap ion source (MOTIS), we have developed a low energy (500 eV to 5 keV) scanning ion microscope.  Low energy is preferred for ion microscopy with backscattered ions.  We demonstrate imaging of nanoimprint resists and carbon nanotube composites, and discuss the possibility of surface composition analysis.

4B-3
Microscopy

In situ visualization of local magnetic field using low-acceleration electron beam in scanning electron microscope, K. Yoshida, K. Murakami, J. Fujita, University of Tsukuba

We demonstrate magnetic-field visualization using a beam of weakly accelerated electrons  deflected by the local magnetic field of the nanospecimen.Our method can clearly visualize the local magnetic field distribution of micro-strip magnet and MFM probe tip having the minimum resolution of about 3 G.

4B-4
Microscopy

High-Resolution Imaging and Spectroscopy at High Pressure: A Novel Liquid Cell for the TEM, Mihaela Tanase,*,** Renu Sharma,* Glenn Holland,* Vladimir Aksyuk,* J. Alexander Liddle*, *Center for Nanoscale Science and Technology, National Institute of Standards and Technology, **Maryland Nanocenter, University of Maryland,

We have developed a monolithic liquid cell for TEM. The liquid layer is controlled to (100 +/- 10) nm across the viewing area of 200 µm x 200 µm. We demonstrate quantitative electron energy-loss spectroscopy, of iron oxide nanoparticles and imaging resolution of Ag nanoparticles in liquid to 0.15 nm.

4B-5
Microscopy

Multistep Aztec profile by an iteration process using 3D electron beam lithography for angle resolved micro-spectrometers, Sichao Zhang, Jinhai Shao, Jianpeng Liu, Yaqi Ma, Juan Li and Yifang Chen, Fudan University

We have developed an iteration process based on 3D grey scale electron beam lithography aided by Monte Carlo simulation to replicate well defined multi-step Aztec structures for spectral applications. We believe the iteration procedure developed in this work is imperative for eliminating the influence arising from proximity effect.

4C-1 (Invited)
Directed Self-Assembly

Electronic and Optical Devices via Additive Driven Self-Assembly and Nanoimprint Lithography: Towards Solution-Based Roll-to-Roll Nanomanufacturing, J. Watkins, University of Massachusetts

We approach nanoscale device fabrication using nanoparticle driven self-assembly to produce well-ordered polymer/nanoparticle composites, nanoimprint lithography for device scale patterning and highly filled nanoparticle/polymer hybrids for applications that require tailored dielectric constant or refractive index.  Applications include flexible electronics, lighting, and large area films for light and energy management.

4C-2
Directed Self-Assembly

Using Self-Assembled Block Copolymer Patterns to Template Ultra–Fine Bilayer Mesh Structures, A. Tavakkoli K. G., S. M. Nicaise, W. Bai, C. A. Ross, K. K. Berggren, Massachusetts Institute of Technology

This study investigated a lithography-free process for fabricating bilayer mesh structures. Majority-functionalized block copolymer patterns were used as templates to self-assemble another block copolymer layer of the same or different molecular weight, the latter on top of the former. The results show dense square or rectangular mesh-shaped structures.

4C-3
Directed Self-Assembly

Defect Reduction of Peanut-Shaped Direct Self-Assembly using Hompolymer, Maryann C. Tung, He Yi, Tatsuhiro Iwama*, Nabil Laachi*, Kris T. Delaney*, Glenn H. Fredrickson*, H.-S. Philip Wong, Stanford University, *University of California, Santa Barbara

We demonstrate that the introduction of majority phase homopolymer into a block copolymer solution reduces defectivity in two-hole pair formation in peanut-shaped templates while leaving pitch unaffected.

4C-4
Directed Self-Assembly

Coffee-ring Effect in Concentrating Analytes in 3D Cavity Array for Ultra-sensitive Detection, Sunil Pandey, Ji Qi, Fei Ding, and Stephen Y. Chou* , Nanostructure Laboratory,Princeton University

Recently, plasmonic nanostructures patterned on an assay surface have been used to enhance the signal detection in fluorescence or surface Raman scattering assay. Here, we report our experimental study which shows that just the surface topology alone can enhance the assay signal.

4C-5 (Invited)
Directed Self-Assembly

Readying Directed Self -Assembly for Patterning in Semi-Conductor Manufacturing, B.T. Chan, P. Rincon Delgadillo,*,**, A. Singh*, J. Bekaert, S. Sayan***, L. Van Look****, Y. Cao****, Y. Her****, P.F. Nealey**, Ainhoa Romo Negreira#, Doni Parnell#, Kathleen Nafus#, Imec, *KUL, **IME, ***Intel Corp., ****AZ Electronics Materials, #Tokyo Electron Europe

This paper presents a combined experimental and theoretical analysis of the thermodynamic and kinetic origins of defects in DSA processes.

5A-1 (Invited)
Nanoelectronix

Nanofabrication of gate-all-around silicon nanowire MOSFETs for the 10 nm node and beyond, S. Bangsaruntip, S.-L. Cheng, K. Balakrishnan, G. M. Cohen, M. Brink, H. Tsai, R. L. Bruce, S. U. Engelmann, I. Lauer, A. Pyzyna, L. M. Gignac, C. M. Breslin, D. P. Klaus, J. W. Sleight and M. A. Guillorn, IBM T. J. Watson Research Center

This talk presents a densely scaled integration of gate-all-around silicon nanowire MOSFETs, demonstrating nanowire pitch down to 30 nm and gate pitch down to 60 nm.

5A-2
Nanoelectronix

TiO2-x/TaOy Bilayer Memrsitive Devices with High Endurance and High ON/OFF Ratios, H. Jiang, Q. Xia, Umass Amherst

We demonstrated that by using a TiO2-x/TaOy bilayer structure, both high ON/OFF ratios (>100) and high endurance (>10E8) can be achieved for memristive devices.

5A-3
Nanoelectronix

Nanoscale Resistance Switches for Radio Frequency Applications, Shuang Pi, Joseph Bardin, Qiangfei Xia,UMass Amherst

In this work, we present a low-power, nanoscale resistance switch for RF applications, with low insertion loss at ON and excellent isolation at OFF state up to 67 GHz. Devices were made with a simple procedure using electron beam lithography and tilted evaporation.

5A-4
Nanoelectronix

Fabricating Nanoscale Tunnel Junction for IETS Measurements, Y. Hamidi Zadeh, Z. A. K. Durrani, Imperial College London

Inelastic electron tunnelling spectroscopy(IETS)provides a means to characterise the phonon spectrum of a molecule by measuring the phonon-assisted unnelling current through a potential barrier impregnated ith target molecules. This work investigate nanoscale tunnel junctions for IETS measurements of well-characterised molecules such as CH3COOH,from300K -20K. The nanoscale tunneljunction consists of a iNW/SiO2/AlNW structure.

5A-5
Nanoelectronix

Varying gate layout nanowire single-electron defined by electron beam lithography, C. Wang, M. Jones, Z. Durrani, Imperial College London

Single-electron transistors in oxidised Si nanowires with dual in-plane side gates, have been defined on heavily doped SOI by electron beam lithography. Characteristics were measured from 8 - 300 K. The Coulomb gap was modulated periodically by gate voltage and a Coulomb staircase persisted until 220K.

5B-1 (Invited)
Session 5B: Scanning Probe

Invited: Scanning Probes for Nanomanufacturing, I.W. Rangelow, M. Kästner, Tzv. Ivanov, M. Hofera, A. Ahmad, E. Guliyev, T. Angelov, , A. Reuma, S. Lenk, A. Schuh, Y. Krivoshapkina, M. Budden, K. Nieradka, J-P. Zöllner, N. Nikolov, M. Holza, Ilmenau University of Technology

5B-2
Session 5B: Scanning Probe

Cross-sectional AFM in SEM, Byong Chon Park, Ju-Yeop Lee*, Woon Song, Dal Hyun Kim, Jaewan Hong*, Jin Seung Kim**, Korea Research Institute of Standards and Science,*Nanofocus Inc, **Chonbuk National Univeristy

We designed a new type of AFM ('xAFM'), which allows us to directly take, with SEM, cross-sectional view of tip-sample interaction during AFM operation. xAFM has been implemented in tungsten filament/FE SEM at KRISS. We could successfully demonstrate the in-situ SEM imaging of AFM  tip-convolution and high-Q cantilever oscillation.

5B-3
Session 5B: Scanning Probe

Cavity optical transducer for scanning probe microscopy, T. Michels, J. Zou, H. Miao, V. Aksyuk, I.W. Rangelow, NIST

Research and development of transducers based on cavity optomechanics is a topic of high interest particularly because these transducers enable measurement of mechanical motion down to the fundamental limit of precision imposed by quantum mechanics.

5B-4
Session 5B: Scanning Probe

Progress Towards NEMS Devices based on STM-fabricated Hydrogen Depassivation Patterns, Josh Ballard*, Jason Gorman**, Neil Sarkar***, Don Dick****, Joseph Fu**, Rahul Saini*, James Owen*, Yves Chabal****, John Randall*, Jim Von Ehr*, *Zyvex Labs, **NIST, ***ICSPI Corp, ****University of Texas at Dallas

We are developing a process that integrates STM-based hydrogen depassivation lithography with selective Atomic Layer Deposition of a metal hard mask.  This permits the transfer of 3-D structures into Silicon.  Progress towards understanding the release of these structures to make NEMS devices is discussed.

5B-5 (Invited)
Session 5B: Scanning Probe

Thermal Probe Nanolithography: What You See is What You Get, Armin W. Knoll*, Michal Zientek*,**, Lin Lee Cheong***, Colin Rawlings*, Philip Paul*,****, Felix Holzner*,**,****, Daniel J. Coady*, James L. Hedrick*, Robert Allen*, Urs Duerig*, *IBM, **ETH Zurich, *** MIT, ***SwissLitho AG

In tSPL resolutions below 15 nm and 3D patterning have been demonstrated. A closed-loop control scheme has been implemented which enables reproduction of depth levels with 1 nm precision. This scheme is equally important for pattern transfer at 27 nm half pitch and nanometer precise marker less overlay patterning.

5C-1 (Invited)
Biological and Medical

Micro- and Nanofabrication for Enhanced Magnetic Resonance Imaging and Sensing, G. Zabow*,**, S. Dodd*, J. Moreland**, A. Koretsky*, *National Institutes of Health, **National Institute of Standards and Technology

Fluorescent probes have revolutionized in vitro biology, but required optical access limits in vivo application.  Here we show how micro- and nanofabrication enables enhanced magnetic resonance imaging agents, including multicolor agents, that are in many ways analogous to fluorescent probes, but that operate in the RF allowing in vivo operation.

5C-2
Biological and Medical

High-Throughput Fabrication of High-Aspect-Ratio Metal Nanostructures for Biosensing Applications, N. Mojarad, J. Gobrecht, Y. Ekinci , Paul Scherrer Institute

We report on a novel method of fabricating high-aspect-ratio metal nanostructures using interference lithography and demonstrate their performance for highly sensitive optical biosensing. Our lithography scheme at soft X-ray wavelengths allows high fabrication throughput, while provides high resolution for fabricating sub-50-nm-wide metal structures with aspect ratios up to 15.

5C-3
Biological and Medical

A Clinical Probe Utilizing Surface Enhanced Raman Scattering, J. Kim, D. Hah, T. Daniels-Race, and M. Feldman, Louisiana State University

An articulated arm connects an external probe to a Raman Spectrometer. Surface Enhanced Raman spectra have been obtained from a remote specimen. The probe has potential clinical applications, since access is needed to only one side, or the interior, of the specimen.

5C-4
Biological and Medical

Nanoparticles-Decorated Nanocone Array of Gold for Anti-Reflective Enhancement of SERS Sensing, I. Wathuthanthri, K. Du, C.-H. Choi, Stevens Institute of Technology

We hypothesize that larger-scale nanofeatures with greater anti-reflective properties at the excitation wavelength and the increase of an optical path scattering between interactions would enhance SERS signal. Results show that larger-scale nanocone array with anti-reflective optical properties leads to significant enhancement of SERS sensing of finer nanogap array of nanoparticles.

5C-5 (Invited)
Biological and Medical

Nanopatterned substrate stiffness affects primary cortical cell network formation, S. Xie, B. Schurink, G. Hassink, F. Wolbers*, R. Luttge*, University of Twente, *Eindhoven University of Technology

The ability to guide neuronal growth in specific patterns allows us to study how brain function follows form. Our goal is to combine microfluidics with tissue engineering to generate realistic in vitro neural circuitry.For this, primary cortical cells were cultured on nanopatterned Si and PDMS to analyze growth and guidance.

6A-1
2D Material

High-performance graphene electronics on standard SiO2/Si substrates enabled by new synthesis and transfer methods, Li Tao,1,* Xiaohan Wang,2 Haiyu Huang,1 Huifeng Li,2  Richard D. Piner,2 Rodney S. Ruoff,2,3 and Deji Akinwande1, 1Microelectronics Research Center,, 2Dept of Mechanical Engineering and the Materials Science Program, The University of Texas at Austin, TX,

We developed new synthesis and transfer methods to enable CVD graphene based electronics. The true electronic potential of our CVD graphene on SiO2/Si under ambient conditions is experimentally determined to be promising and comparable to other graphene devices made on ideal gate dielectrics such as h-BN.

6A-2
2D Material

Fabrication of Vertically-Stacked MoS2-Based Thin-Film Photovoltaic Devices, Sungjin Wi, Hyunsoo Kim, Mikai Chen, Hongsuk Nam, Jay L. Guo, Edgar Meyhofer, and Xiaogan Liang, University of Michigan, Ann Arbor

We developed a fabrication route combining transfer-printing methods and plasma-assisted doping processes, which can create photovoltaic devices consisting of vertically stacked Au/p-type MoS2/n-type MoS2/ITO structures.Such vertically stacked PV devices exhibit excellent and promising further improved photovoltaic performance.

6A-3
2D Material

Self-aligned Edge Contacts for 2D Layered Systems, Tao Chu, Zhihong Chen, Purdue University, Indiana

We use few layer graphene as an example to demonstrate the advantage of using an edge contact scheme with a novel self-aligned lithography process. Bypassing the interlayer tunneling resistances, two times lower contact resistances are achieved compared with conventional devices with top contacts.

6A-4
2D Material

Fabrication of Multi-Bit Data Storage Memories Based on Multilayer MoS2, M. Chen, S. Wi, H. Nam, G. Priessnitz, I. Gunawan, and X. Liang, University of Michigan, Ann Arbor

We present a new fabrication solution for making MoS2-based floating-gate-free, non-volatile, multi-bit memory field-effect transistors (FETs) with a unique combination of excellent retention/endurance property, extremely simple structure, and low cost.

6A-5
2D Material

Synthesis of Graphene Nanoribbons from Amyloid Templates by Solid-Phase Graphitization using Gallium as Catalyst and Their Electrical Properties, K. Murakami, T. Dong, Y. Kajiwara, T. Hiyama, T. Takahashi, E. Takai, G. Ohashi, K. Shiraki, Y. Yamada, J. Fujita, University of Tsukuba

Graphene nanoribbons (GNRs), which were over 1 um in length and around 10 nm in width, were synthesized by the gallium vapor-assisted solid-phase graphitization of carbonaceous amyloid fibrils. The GNRs-based FET exhibited n-type characteristics, and the ratio of its maximum conductance to its minimum conductance was 3.7.

6B-1 (Invited)
Charged Particle Sources and Optics

Bright ion beams from laser-cooled atoms, J.J. McClelland, A.V. Steele,* B. Knuffman,* K.A. Twedt,** and T.M. Wilson, Center for Nanoscale Science and Technology, NIST, *zeroK NanoTech, **Maryland NanoCenter, University of Maryland

New ion sources based on photoionization of laser cooled atoms have recently shown promise as bright sources for focused ion beam applications.    In this talk I will present a review of progress in this field and discuss several promising applications utilizing Li+ and Cs+ beams.

6B-2
Charged Particle Sources and Optics

Electron impact gas ion source development: a miniaturized gas ionization chamber using polymer PMMA, N. Liu, F. Liu, J.A. van Kan, Centre for Ion Beam Applications, Department of Physics, National University of Singapore

A miniaturized ionization chamber using polymer PMMA has been developed in NUS, expected to give  about 100000 higher  brightness, than the current radio-frequency ion source for MeV proton beam writing. The experimental ion source test setup has been established inside a Schottky SEM, for ion source current and brightness measurements.

6B-3
Charged Particle Sources and Optics

Electron Beam Source using Wide Band Gap Semiconductor Photocathode with an NEA surface, T. Nishitani, M. Tabuchi, H. Amano, T. Maekawa, T. Meguro*, Nagoya University, *Tokyo University of Science

We have developed an NEA-semiconductor photocathode and the 50keV electron gun using the photocathode as an electron beam source for an industrial application. We found that a p-GaN semiconductor is expected to be more suitable material for an NEA-semiconductor photocathode with a long NEA lifetime.

6B-4
Charged Particle Sources and Optics

Nanofabrication and Characterization of Ultrafast Plasmonic Au Nanorod Array Photocathodes, R. G. Hobbs, Y. Yang, W. P. Putnam, P. D. Keathley, E. De Leo, W. S. Graves, F. X. Kärtner*, K. K. Berggren, Research Laboratory for Electronics, Massachusetts Institute of Technology, *Center for Free-Electron Laser Science, DESY & Dept. of Physics, Univ

Nano-optical field enhancement by localized surface plasmons in metallic nanoparticles allows the production of strong localized surface fields on the nanoscale. In this work we have fabricated arrays of plasmonic Au nanorods by electron beam lithography with critical dimensions below 20 nm, and measured their optically actuated electron emission properties.

6B-5 (Invited)
Charged Particle Sources and Optics

Atom by Atom Analysis and Nanolithography, N. Dellby, Q.M. Ramasse*, W. Zhou**, M.F. Chisholm**, T.C. Lovejoy, O.L. Krivanek, Nion Co., *SuperSTEM Laboratory, **Materials Science and Tech. Division, Oak Ridge National Laboratory

A modern, aberration-corrected Scanning Transmission Electron Microscope (STEM) can form a probe of 60-200 keV electrons that is ~1 Å in diameter and contains ~160 pA of current.  This means that many different analytical signals can be collected from the single atom.

6C-1 (Invited)
Biological and Medical

Nanostructures for interdisciplinary studies, Enzo Di Fabrizio, KAUST

 

6C-2
Biological and Medical

Combining Plasmonics and Nanofluidics for Single Molecule Detection, M. West, I. Fernandez-Cuesta, C. Peroz, S. Dhuey, S. Cabrini, P-BLINC Corp.

Plasmonics and nanofuidics are integrated for hyper-sensitive biosensing using a nanochannel with the exact dimensions of the bowtie antenna gap for the targeted delivery of molecules directly to the plasmonic hot. Different antenna geometries are explored and their effect on the Raman signal enhancement for single molecule detection.

6C-3
Biological and Medical

Optical Detection of Ultrasound by using Polymer Filled Silicon High Contrast Grating directly Integrated on Fiber Tip, C. Zhang, T. Ling, L. Jay Guo, University of Michigan-Ann Arbor

We developed a fabrication process to realize a polymer filled silicon high contrast grating structure directly on an optical fiber tip as a novel acoustic detector, which enables various applications such as intravascular photoacoustic/ultrasound imaging, remote strain monitoring and point-of-interest ultrasound inspection.

6C-4
Biological and Medical

Nanoscale Crater Interfaces Guide Cell Migration and Patterning, W. M. Reese, S. Koo, H. Jeon*, C. P. Grigoropoulos, K. E. Healy, University of California Berkeley, Korea Institute of Science and Technology

Using multi-photon ablation lithography, we fabricated arrays of nanoscale craters in quartz. Changing the nanocrater diameter, depth, and/or spacing alters the planar surface area available for cells to establish focal adhesions (FAs) and can be manipulated to direct cell migration.

6C-5
Biological and Medical

Construction of 3D Plasmonic Chiral Nanostructures on DNA Template, B. Ding, X. Shen, P. Zhan,N. Liu*, National Center for Nanoscience and Technology, *Max-Planck-Institute for Intelligent Systems

The programmability of DNA offers unprecedented spatial control over discrete constituents down to the nanoscale. Simultaneously, this capacity also provides an excellent playground to explore exotic optical unctionalities of artificial nanostructures, in particular, chirality at optical frequencies.

7A-1 (Invited)
Resists

Advancements in Cross-linked Resist Materials for High Resolution Patterning, Richard A. Lawson*, Brandon Sharp**, Hannah L. Narcross**, Laren M. Tolbert**, Clifford L. Henderson*, * School of Chemical & Biomolecular Engineering, Georgia Institute of Technology, ** School of Chemistry and Biochemistry, Georgia Institute of Technolo

Utilizing cross-linking mechanisms in conjunction with small molecular resist type resins, we have been able to dramatically improve the capabilities of negative tone cross-linking resists.  This paper will review our efforts in this area and present future directions for the work.

7A-2
Resists

Water soluble and developable e-beam resist sodium PSS, S. Alqarni, A. S. Abbas, M. Yavuz, B. Cui, University of Waterloo

We show that poly(sodium 4-styrenesulfonate) (sodium PSS) can be used as an e-beam resist processed using water only, and demonstrated nano-patterning down to 40 nm. As an example of its application, we patterned metal structure on top of conducting polymer P3HT by liftoff, without using any solvent in the process.

7A-3
Resists

Insoluble residual in ZEP520 electron-beam resist development, Ming Lu, Brookhaven National Laboratory

Reproducible experiments reveal the existence of an insoluble thin residual layer after the development of popular ZEP520 electron beam resist. The origin and properties of this residual will be discussed in detail.

7A-4
Resists

Metal-containing Polymer as Electron Beam Resist with High Resolution and High Etching Resistivity, J. Zhang, K. Cao, X. S. Wang, B. Cui, University of Waterloo

Here a novel metal-containing polymer resist, PFpP, was synthesized and investigated as both positive and negative electron beam resist depending on developer used. Line-width of 16 nm was achieved. The resist shows ~20 higher resistance to O2 plasma etching than PMMA and ZEP resist.

7A-5
Resists

Minimization of Line Edge Roughness and CD Error in Electron-beam Lithography, X. Zhao, S.-Y. Lee, J.Choi*, S.-H. Lee*, I.-K. Shin*, C.-U. Jeon*, Auburn University, *Samsung Electronics

A omputational approach is taken in developing an effective method to minimize the LER using a three-dimensional model, taking the CD error due to the proximity effect also into account.  It utilizes both feature-shape modification and spatial dose control.

7B-1 (Invited)
Nanoimprint and Roll-to-Roll

Computational Design Tools for Directed Self-Assembly of Particles on Surfaces, R.T. Bonnecaze, M. Ferraro, T.M. Truskett, The University of Texas at Austin

We present computational tools based on density functional theory (DFT), Monte Carlo and molecular dynamics (MD) simulations to design larger scale patterned substrates and specify interparticle forces to drive smaller scale precision directed self-assembly of particle monolayers.

7B-2
Nanoimprint and Roll-to-Roll

The Effects of Thin Films Confinement on Polymer Viscosity and Thermal Nanoimprint Lithography Patterning, I-Peng Kuo*, Hyun-Wook Ro**, Christopher L. Soles**, *Thomas S. Wootton High School, **National Institute for Standards & Technolog

In this presentation we quantitatively demonstrate that the material flow required for nanoimprint lithography becomes more difficult when the resist film thickness decreases.  We discuss this in terms of fundamental changes of the resist viscosity as a function of film thickness for films below 50 nm thick.

7B-3
Nanoimprint and Roll-to-Roll

Cloning of a fully functional Si-based photonic integrated circuit by ultraviolet nanoimprint lithography, L. Häusler, J. Danzberger, B. Einwögerer, I. Bergmair, M. Mühlberger, M. Humer*, R. Guider*, E. Lausecker*, T. Fromherz*, PROFACTOR GmbH, University of Linz*

We cloned a fully funtional, Si-based optical integrated circuit by using ultraviolet nanoimprint lithography and reactive ion etching, and directly compared the optical performance of the original device to the one of the clone. Identical quality factors could be achieved with optimized process parameters.

7B-4
Nanoimprint and Roll-to-Roll

Reusable Silicon Templates for Fabricating Sub-10-nm Grooves In Metal Films, Zhaogang Dong, Di Zhu, Mohamed Asbahi, Wei Peng Goh, Institute of Materials Research and Engineering, Wei Du, Christian A. Nijhuis, National University of Singapore, Joel K. W. Yang, Singapore University of Technology and Design

We developed a template-strip process that enables the fabrication of sub-10-nm grooves in metal films. The templates are reusable and enable "fresh" and ultrasmooth metal surfaces to be formed. The narrow grooves support strong localized field and are evaluated for guiding plasmons and enhancing signals for Raman spectroscopy.

7B-5
Nanoimprint and Roll-to-Roll

Fabrication of nano-patterned sapphire substrate by hybrid nano-imprint lithography in combination with nickel as etching mask, Xu Guo, Mengyang Ni, Yushuang Cui, Changsheng Yuan, Haixiong Ge, Yanfeng Chen,Mengmeng Deng*, Feng Li*,Nanjing University,*Suzhou Institute of Nano-Tech and Nano-Bionics

We developed a hybrid nanoimprint-soft lithography to fabricate nano-patterned sapphire substrate for blue LEDs.It combines the advantages of both rigid NIL mold to achieve high-resolution and soft lithography stamp to enable conformal contact.It is a low-cost,high throughput and wafer-scale approach.The PL intensity increased by 150%.

7C-1 (Invited)
Beam Induced Deposition and Etching

Ion Column and Source technology employing Gallium and New Ion Species for Advanced FIB Nanofabrication, S. Bauerdick, P. Mazarov, L. Bruchhaus, J. Fridmann*, J. E. Sanabia*, R. Jede, Raith GmbH, *Raith America Inc.

An increasing number of applications use FIB systems for nanofabrication. We report on our gallium-based LMIS enabling long-term stability and a FIB spot allowing excellent patterning resolution with low beam tails. Moreover this technology delivers multiple ion species easily selectable from a single LMAIS ion source for sub-20 nm nanofabrication.

7C-2
Beam Induced Deposition and Etching

Purification Strategies for Electron Beam Induced Deposition, Michael G. Stanford*, Brett B. Lewis*, Joo Hyon Noh*, Harald Plank, Jason D. Fowlkes***, Nicholas A. Roberts*, Philip D. Rack*,***,*Department of Materials Science and Engineering, University of Tennessee,**Institute for Electron Microscopy and Nanoanalsi

We have shown the viability of low temperature electron-beam-assisted oxygen purification for EBID Pt patterns deposited using MeCpPtIVMe3. We have demonstrated laser assisted electron-beam-induced-deposition (LAEBID) process as a method to provide in-situ purification during deposition. We will discuss a synchronized LAEBID process with oxygen ambient as a combinatory purification strategy.

7C-3
Beam Induced Deposition and Etching

Reference markers for e-beam lithography with Electron Beam Induced Deposition, A.C. Zonnevylle, A.L.G.C. de Koning, B.S.M.M. Ketelaars, P. Kaars, I.A. Blakborn*, C.W. Hagen*, Vistec Lithography bv, *TU Delft

Reference markers for e-beam lithography with Electron Beam Induced Deposition. Determining the height map of 3-dimensional surfaces for nano patterning in a electron beam pattern generator. Application examples on spherical lenses.

7C-4
Beam Induced Deposition and Etching

Etching of copper using liquid reactants and a focused electron beam, M. Bresin, J.T. Hastings, University of Kentucky

Here we describe the localized etching of copper films using in-situ liquid reactants in an ESEM. We plan to discuss possible mechanisms for the electron mediated etch process, along with beam parameters, resolution limitations, and extendability to other material sets. Finally, process offers exciting prospects for etch selectivity.

7C-5
Beam Induced Deposition and Etching

Focused ion beam assisted fabrication and application of high speed scanning thermal microscopy selfactuated piezoresistive probe, M. Rudek, D. Kopiec and T. Gotszalk,M. Hofer*, Tzv. Ivanow*,E.Guliyev* and I. W. Rangelow*, Wroclaw University of Technology, University of Technology in Ilmenau*

Architecture, design, fabrication and experimental results obtained using novel selfactuated probe for scanning thermal microscopy investigations will be presented. The microprobe was fabricated using double side micromaching, the thermal probe was milled using focused ion beam technology. Results of surface imaging and probe metrology will be also discussed.

8A-1 (Invited)
Resists

Design Strategy for Improving EUV Contact Hole Resist Performance, S. Coley, J. Cameron, J. Thackeray, P. LaBeaume, V. Jain, O. Ongayi, M. Wagner, J. Biafore*, J.S. Chun**, Dow Electronic Materials, *KLA-Tencor , **SEMATECH, **CNSE of SUNY Albany

The target CD of contact hole features for the 10nm lithography node continue to shrink. We have developed chemically amplified EUV resists with 20nm CH resolution and good sensitivity.  Resist resolution, sensitivity and CDU can be improved through manipulation of dissolution contrast, acid diffusion control, absorption, PAG density and efficiency.

8A-2
Resists

Evaluation of EUV resist performance below 20-nm critical dimension using helium ion lithography, Paul Alkemade, Anja van Langen-Suurling, Diederik Maas*, Emile van Veldhoven*, Sander Wuister**, Rik Hoefnagels**, Coen Verspaget**, Timon Fliervoet** , Delft University of Technology, *TNO Delft, **ASML Veldhoven

For the introduction of EUV lithography, development of high-performance EUV resists is of key importance. The response of resists to He+ ions and EUV photons is very similar: both beams excite secondary electrons with similar energy distributions.  We demonstrate the potential of He-ion lithography in the study of EUV resists.

8A-3
Resists

Revealing Beam-induced Chemistry using Modulus Mapping in Negative-tone EUV/E-beam resists with and without cross-linker additives, Deirdre Olynick*, Prashant K. Kulshreshtha*, Dominik Ziegler*, Scott Dhuey*, Paul D. Ashby*, Ken Maruyama**, James Blackwell***, *Molecular Foundry LBNL, **JSR Micro, Inc,*** Intel Corporation

Modulus Mapping as a function of dose is used to understand chemical changes in the system far beyond when full thickness of the resist is reached.  Negative tone Noria resists are compared with and without cross-linker.        Patterned features are show for both EUV and E-beam down to 20 nm half-pitch.

8A-4
Resists

Determination of experimental spot sizes & acid diffusion lengths in CAR resist for e-beam lithography at 100 kV and 5 kV, F. Delachat* **, C. Constancias**, B. Le Drogoff***, M. Chaker***, J. Margot*, J. Reche**, B. Dal’Zotto **, L. Pain**, *Université de Montréal,**CEA-LETI,*** INRS

In this study we propose an experimental method to estimate the beam spot size and the acid diffusion length of an e-beam lithography  CAR process. This strategy has been applied to a LETI reference CAR resist process with a Leica VB6UHR at 100kV and with a MAPPER tool at 5kV.

8A-5
Resists

Experimental Verification of Achieving Vertical Sidewall for Nanoscale Features in Electron-beam Lithography, S.-Y. Lee, J. Choi*, S.-H. Lee*, I.-K. Shin*, C-U. Jeon*, S.-C. Jeon**, Auburn University, *Samsung Electronics, **National NanoFab

In a previous simulation study, non-conventional types of spatial dose distribution were proposed for minimizing CD error and achieving a vertical sidewall of resist profile with the minimum dose.  Their effectiveness has been verified through experiment.

8B-1 (Invited)
Nanoimprint and Roll-to-Roll

High-Speed and Low-Energy-Consumption Replication of Nanostructures with Laser-Assisted Roller Nanoimprinting, K. Takahashi, K. Nagato, J. Wang, T. Hamaguchi, M. Nakao, The University of Tokyo

In nanoimprinting method, only the surfaces of the substrate and mold are heated leads to a short cycle time and low energy consumption. In this study, we demonstrated that using high power laser to heat the mold leads to high-speed and low-energy-consumption replication of nanostructures.

8B-2
Nanoimprint and Roll-to-Roll

Effect of residual stress on replication fidelity with nanoimprint, M. Papenheim, K. Dhima, S. Wang, C. Steinberg, H.-C. Scheer, J. Saupe*, M. Schönfeld*, J. Grimm*, University of Wuppertal,*University of Zwickau

Local residual stress may be provoked with T-NIL in the deformed polymer layer. Such residual stress may lead to partial shape recovery at slightly elevated temperature during subsequent processing, affecting replication fidelity. We will show the impact of layer thickness, stamp geometries and imprint time on residual stress.

8B-3
Nanoimprint and Roll-to-Roll

A Thiol-ene Degradable Resist for Hybrid Nanoimprint-soft Lithography, Xin Hu, Yushuang Cui, Changsheng Yuan, Haixiong Ge, Yanfeng Chen, Department of Materials Science and Engineering, Nanjing University

We design a UV-curable resist for fabricating patterning layer of hybrid nanoimprint-soft lithography (HNSL) mold, which is degradable under mild acidic conditions and insensitive to oxygen. The resist possesses necessary properties for mold fabrication and imprinting. Various nanoscaled patterns are imprinted into the degradable resist by the target HNSL molds.

8B-4
Nanoimprint and Roll-to-Roll

Silicon Nanopillar Anodes for Lithium-Ion Batteries Using Nanoimprint Lithography with Flexible Molds, E. Mills, J. Cannarella, Q. Zhang, C. B. Arnold, S.Y. Chou, Princeton University

We report (a) fabrication of a Lithium ion battery anode with 200nm-pitch Si nanopillars on stainless steel using Nanoimprint Lithography and deep Si etching, and (b) demonstration of the largest reported sustainable anode capacities for NIL-patterned Si NW structures .

8B-5
Nanoimprint and Roll-to-Roll

Step-and-Repeat Nanoimprinting on pre-spin coated film: from sub-15 nm metal patterning to the fabrication of a spectrometer-on-chip, G. Calafiore*, C. Peroz, aBeam Technologies, 5286 Dunnigan Ct., Castro Valley, S. Dhuey, S. Sassolini, D. Olynick, S. Cabrini, The Molecular Foundry, LBNL, M. Volger, Micro Resist Technology

A novel Step and Repeat Nanoimprint Process on pre-spincoated film is reported. Applications span fabrication of sub-15 nm metal lines to a Spectrometer based on Digital Planar Holograms, which covers an area bigger than 1 square cm. Yield and quality of the process are studied and results presented.

8C-1 (Invited)
Processing

Plasma Properties for the etching of Fine Lines and High Aspect Ratio holes, Lee Chen, Tokyo Electron Limited

Controlling electron shading is crucial in achieving the >50:1 aspect ratio contact (HARC); precise ion-energy control is essential in the selective etching of lamella diblock copolymers to develop the nano-lines for Direct Self Assembly (DSA). Plasma sources and operation methodology of obtaining such capabilities will be presented.

8C-2
Processing

Vertical Directionality Controlled Metal Assisted Chemical Etching for Ultra-High Aspect Ratio Nanoscale Structures, C. Chang*, R.C. Tiberio**, M.J. Rooks***, R. Leung****, J.N. Weker*, A. Sakdinawat*, *Stanford Synchrotron Radiation Lightsource, SLAC National Accelerator Laboratory, **Stanford Nano Shared Facilities, Stanford University ***Yale Institute for Nanoscienc

We present vertical directionality controlled metal assisted chemical etching for producing ultra-high aspect ratio nanostructures.  The method is compatible with dense, arbitrarily patterned features over a large area.  We fabricate and test x-ray zone plates with AR ranging from 20:1 to >100:1 aspect ratio with feature sizes currently from 30-100nm.

8C-3
Processing

Ultra-High Aspect Ratio, Plasma Etched Silicon Nanowires: Optical Properties and Mechanical Stability, A. Smyrnakis, A. Zeniou, V. Constantoudis, E. Gogolides, NCSR "Demokritos"

We report the use of colloidal lithography and e-beam lithography followed by silicon plasma etching (either cryogenic process or room-T time-multiplexed plasma process) to fabricate high aspect ratio (>80:1) silicon nanowires. The optical properties in terms of reflectance measurements and the mechanical stability of the nanowires are examined.

8C-4
Processing

Dry liftoff of metal and organic materials, S. Alqarni, A. S. Abbas, M. Yavuz, B. Cui, University of Waterloo

Liftoff using a solvent that dissolves the resist cannot be used to pattern organic materials incompatible with the solvent. Metal debris is another issue when it falls onto the active device area. Here we report a liftoff process using scotch tape, and lifted off evaporated organic materials polystyrene and Alq3.

8C-5
Processing

Reactive Sputtering Deposition of TiOx for Memristive Devices, H. Jiang, Q. Xia, Umass Amherst

We studied reactive sputtering deposition of TiOx thin films using a mixture of Ar and O2 gases under different O2 flow ratios. Devices based on these films exhibited electrical behavior ranging from Ohmic, ectifying to unipolar and bipolar resistive switching.

9A-1 (Invited)
EUV & Immersion Lithography

Negative tone imaging with EUV exposure, Toru Fujimori, Hideaki Tsubaki, Wataru Nihashi, Shinji Tarutani, Ryan Callahan*, Takahiro Goto, FUJIFILM Corp. (JAPAN), *FUJIFILM Electronic Materials U.S.A., Inc.

The paper is an exploration into the potential benefits of using a negative tone imaging technique with EUV exposure (EUV-NTI).  The focus will be on the dissolution mechanics and the corresponding impact on LWR, sensitivity and film thickness loss.

9A-2
EUV & Immersion Lithography

High-resolution and large-area nanoparticle arrays for model systems in catalysis using EUV lithography techniques, W. Karim*,****, S. A. Tschupp**, M. Özaslan**, T. J. Schmidt**, J. Gobrecht*, J. A. van Bokhoven***,****, Y. Ekinci*, *Laboratory for Micro and Nanotechnology, Paul Scherrer Institute, Switzerland; *Electrochemistry Laboratory, Paul Scherrer Institute, Sw

Chemically synthesized model systems for catalysis do not feature well-defined size and lack lateral order of the active sites. Here, we establish ASFM method as an effective EUV lithography approach to fabricate model systems for catalysis and high-resolution Pt nanoparticle arrays with uniformity over a large area is achieved.

9A-3
EUV & Immersion Lithography

Beyond EUV Lithography for Reaching Future Technological Nodes, N. Mojarad, M. Vockenhuber, J. Gobrecht, and Y. Ekinci, Paul Scherrer Institute

For the first time, to the best of our knowledge, we present lithography at 6.5nm wavelength for patterning structures with half-pitch smaller than 22nm. We explore the performance of several inorganic and chemically amplified resists. Lithography at 6.5nm is a major candidate for replacing 13.5nm when it reaches resolution limits.

9A-4
EUV & Immersion Lithography

Evanescent-coupled Anti-Reflection Coatings for Hyper-NA Immersion Photolithography, L.E. Bourke, R.J. Blaikie, MacDiarmid Institute for Advanced Materials and Nanotechnology, Department of Physics, University of Otago, New Zealand

We show a new type of anti-reflection coating based on coupling of evanescent fields into an underlayer. The underlayer produces a backward going evanescent field which destructively interferes with the incident wave. The resulting intensity profile is smooth showing only the tapering from Beer law absorption.

9A-5
EUV & Immersion Lithography

Design of freeform illumination sources with arbitrary polarization for immersion lithography, Lidong Wei, Yanqiu Li, Ke Liu, Beijing Institute of Technology

A design method for producing freeform illumination source with arbitrary polarization using 1/2 wave plates group and mirror array in NA 1.35 immersion lithography system is proposed. By optimizing the positions of wave plates, source-mask-polarization optimization (SMPO) sources can be produced accurately and efficiency compared with the prior technology.

9B-1 (Invited)
DSA/SA 2

Thermodynamics and kinetics of block copolymer assembly on chemically patterned surfaces, P. Nealey, Institute for Molecular Engineering, University of Chicago and Argonne National Laboratory

This paper presents a combined experimental and theoretical analysis of the thermodynamic and kinetic origins of defects in DSA processes.

9B-2
DSA/SA 2

An Information Theoretic Perspective on E-beam Direct Write as Complementary Lithography, S. Savari, Texas A&M University

Complementary lithography is an approach for fabricating unidirectional and gridded layouts where optical lithography produces unidirectional lines at a fixed pitch and EBDW lithography cuts those lines.  We apply Shannon's finite-state channel model to study lossless data compression for the "cut" images for the purpose of improving throughput.

9B-3
DSA/SA 2

Lithography Challenges and Opportunities in Bit Patterned Media at 1.5 Tdots/in2 and Beyond, XiaoMin Yang, Shuaigang Xiao, Yautzong Hsu, Zhaoning Yu, Michael Feldbaum, Justin Hwu, Philip Steiner, Koichi Wago, Kim Lee, and David Kuo, Seagate Media Research

We will report our recent progress in fabrication of 1.5 Tdots/in2 bit patterned media (BPM) based on DSA approach, and then discuss about further lithography challenges and opportunities with an areal density beyond 2.0 Tdots/in2.

9B-4
DSA/SA 2

Characterization of Telecentricity Errors in High-Numerical-Aperture Extreme Ultraviolet Mask Images, S. Raghunathan, P. Mangat, E. Verduijn, T. Wallow,O.Wood, V. Philipsen*, E. Hendrickx*, R. Jonckheere*,G.Vandenberghe*, K. Goldberg**, M. Benk**, P. Kearney***, GLOBALFOUNDRIES Inc., *IMEC, **Lawrence Berkeley National Laboratories, ***International SEMAT

Non-telecentric illumination masks in EUV lithography leads to through-focus image shifts at the wafer referred to as telecentricity errors. We present a novel technique to determine telecentricity errors from EUV mask images captured with the SEMATECH High-NA Actinic Reticle Review Project (SHARP) tool  at Lawrence Berkeley National Laboratory.

9B-5
DSA/SA 2

The Litho Roadmap: Is it a straight path?, Michael Lercel, Mark Neisser, and Kevin Cummings, SEMATECH Inc.

We examine the state of NGL techniques from a technical, yield, and cost standpoint, and explore scaling  trends for dimensions, tolerances, defectivity, and cost to see if assumptions of the roadmap still hold true. This analysis is applied to multiple patterning, EUV, ebeam direct write, nanoimprint, DSA, and other options.

9C-1 (Invited)
Ion Direct Patterning

The High Brightness Neon Beam: From Source to Sample, J. Notte, J. Huang, H. Wu, D. Ferranti, Carl Zeiss Microscopy

The Gas Field Ion Source (GFIS) has been extended to produce a highly focused neon ion beam of several pA.  The principles of operation will be summarized and the several new applications which it enables will be presented.

9C-2
Ion Direct Patterning

Distribution of diamond NV centers created by focused helium ion beam exposure and annealing, Zhouyang Zhu, Xusan Yang*, Zhihong Huang**, Jiaoni Ma, Barbara P. Chan, Peng Xi*, Wen-Di Li, University of Hong Kong, *Peking University, **Hewlett-Packard Labs

We investigated the distribution of NV centers created by focused helium ion beam exposure through confocal and STED microscopy characterization. And a Lattice Monte Carlo model was established to describe vacancy diffusion and capture process. The simulation agrees well with the experimental measurement.

9C-3
Ion Direct Patterning

An anisotropic low power, low DC bias, SF6/C4F8 inductively coupled plasma etch process of molybdenum with critical dimension of 30nm suitable for compound semiconductor devices, M.Cao, X.Li, I.G.Thayne, University of Glasgow

This paper presents an anisotropic low power SF6/C4F8 inductively coupled plasma process for etching 30nm molybdenumm, to our knowledge the highest resolution patterns transferred by dry etching into molybdenum to date, making the process an attractive candidate for fabricating short gate length III-V transistors using silicon like, “lift-off free” approaches.

9C-4
Ion Direct Patterning

Mechanism and Applications of Helium Transmission Milling in Thin Membranes, Shida Tan, Kate Klein, Darryl Shima, Rick Livengood, Eva Mutunga and András Vladár

In this work, the different ion-material interactions and machining mechanisms were analyzed as a function of dose for a 34.5 keV well-focused helium beam in bulk Si and a Si membrane by cross-sectional transmission electron microscope (TEM) imaging as well as theoretically via SRIM modeling.

9C-5
Ion Direct Patterning

Low Temperature Ion Source for Focused Ion Beam Nanomachining Applications, A.V. Steele, B. Knuffman, J.J. McClelland*, zeroK NanoTech, *NIST

We present early results from a prototype Cs+ ion source whose high brightness and low energy spread may make it an ideal replacement for the LMIS in high-resolution nanomachining FIB applications.  The source may also offer additional analytical capabilities by improving the performance of site-specific secondary ion mass spectrometry (SIMS)

 

Posters

P01-01
Biological and Medical

Fabrication of Au Nanopore on Pyramid using various electron beam and Ion Beam techniques, Seong Soo Choi, Myoung Jin Park, Tokutaro Yamaguchi,Sung In Kim, Jung Ho Yoo,Kyung Jin Park, Nam Kyou Park, Sun Moon University

The fabrication of the nanopore on pyramid has been examined using various high energy electron beam irradiation and focused ion beam (FIB) milling techniques. The solid state and liquid state surface modification of the Au nanopore on the bowl-shape crater type hole using FIB milling were successfully controlled.

P01-02
Biological and Medical

Hybrid biological-artificial nanopore based on biological channel confinement inside a track-etched nanopore, S. Balme, S. Cabello-Aguilar, M. Lepoitevin, A. Abou Chayaa, M. Bechelany, JM. Janot, P. Déjardin, E. Balanzat* Institut Européen des Membranes Montpellier, *Centre de recherche sur les Ions, les MAtériaux et la Photonique Caen

Hybrid biological/artificial nanopores is likely the most original way for mimick biological channels . Here we will show(i) the influence of the diameter pore size on the ionic transport of gramicidin A, (ii) the recovery of biological properties of a-hemolysin confined inside nanopore and the potentiality in DNA sequencing applications.

P01-03
Biological and Medical

Fabrication of plastic high-aspect-ratio microfluidic devices for rare cell isolation using a PDMS stamp, B.Y.Zhang,W.L.Shu,H.Xu,Y.D.Han,Y.Chen*, *Shenzhen Institutes of Advanced Technology, Chinese Academy of Sciences

We report a high fidelity microstructure fabrication method on plastic microfluidic devices using cyclic olefin copolymer(COC). High resolution and high-aspect-ratio microstructure pattern transfer on COC was achieved using a PDMS stamp. The plastic microfluidic chip was successfully fabricated and biochemical analysis on the device was demonstrated.

P01-04
Biological and Medical

Influence of ionic organization on current blockade induced by translocation of small macromolecule through a single nanopore, S. Cabello-Aguilar, M. Bechelany, A. Abou-Chaaya, P. Dejardin, C. Pochat-Bohatier, S. Balme*, F. Picaud**, E. Balanzat***, *Institut Européen des Membranes, **Laboratoire de Nanomédecine, Imagerie et Thérapeutique, ***Centre de recherche sur les Ions, les MAtériaux et la Photonique

The study of macromolecule translocation through nanopores sub 6 nm diameter asks fundamental questions such as ionic organization. Here we report experimental unusual and unexpected current blockade during PEG-carboxylate translocation through this kind of nanopore. Using molecular dynamics, an explanation based on ion structuration around macromolecule will be proposed.

P01-05
Biological and Medical

Free-Form Design of Autonomous Microenvironments, B. Kaehr, Sandia National Laboratories

I will describe our efforts in the development of MPL techniques using (dynamic) mask-directed approaches and results aimed at expanding the library of MPL-compatible materials. As a guide, our interests are toward developing ‘functional’ materials with inspiration drawn from biological systems.

P01-06
Biological and Medical

Synthesis and Characterization of Apatite Nanoparticles for Bioengineering Applications, J. M. Andriolo, H. E. Reid, R. M. Hensleigh, M. Pedulla, K. Hailer, R. Kasinath, J. L. Skinner, Montana Tech of the University of Montana

This research explores magnetically sensitive nanoparticles as a means of inducing optical modulation with a variable magnetic field. We demonstrate the synthesis of doped and un-doped apatite nanoparticles using wet-chemical precipitation mediated by citric acid. Our nanoparticles have promising implications for phage therapy, a potential alternative to traditional antibiotic treatment.

P01-07
Biological and Medical

Cell Migration Directionality Control by Transitions on Patterned Substrates, Q.Y. Tanga,b, W.X. Qiana,b,c, Y.H. Xua,b, S.Gopalakrishnanb,d, Y.H. Lamb,d, and S.W. Panga,b*, aDepartment of Electronic Engineering, bCenter for Biosystems, Neuroscience, and Nanotechnology, and dDepartment of Biology and Chemistry, City University of Hong Kong, Hong Kong, cSchool of Electronic and Optical Engineering, Nanjing University of Science and Technology, China

We have developed patterns that can influence the direction of cell migration. MC3T3-E1 cells were seeded on engineered substrates with patterns consisting of gratings, triangles, and semicircles. The results indicate that cell migration directionality can be controlled by the designed patterns with semicircular pattern having the highest directional persistence.

P01-08
Biological and Medical

Nanopatterned surfaces via colloidal lithography and plasma processing applied to selective protein immobilization and superhydrophobicity, A.Malainou, K. Ellinas, V. Constantoudis, E. Gogolides, A. Tserepi,Institute for Advanced Materials, Physicochemical Processes, Nanotechnology & Microsystems, NCSR “Demokritos”, P.S. Petrou, S.E. Kakabakos,Institute of Nuclear and Radiological Sciences & Technology, Energy & Safety, NCSR “Demokritos”

A simple, rapid and high throughput generic method to obtain ordered nano-rough islands or posts over a large surface area using colloidal lithography combined with short plasma treatments to generate surfaces appropriate for selective protein immobilization or liquid repellence is proposed.

P01-09
Biological and Medical

Total Nanofluidic Confinement Devices Nanofabricated by Focused Ion Beam Milling, K.-T. Liao*,** J. Schumacher*, and S. M. Stavis*, * Center for Nanoscale Science and Technology, National Institute of Standards and Technology, ** Institute for Research in Electronics and Applied Physics, University of Maryland

We developed a total nanofluidic confinement devises with nano- even subnanometer resolution, fabricated by Focused Ion Beam milling. It will utilize for nanomaterials characterization and implement the scalable nanomanufacturing of disposable devices by inexpensive replica molding techniques, and potentially apply to the disease diagnosis through small proteins or oligonucleotides analysis.

P01-10
Biological and Medical

Fabrication of 3D Nano-channel Electroporation Chip for High Throughput Cell Transfection, L.Q. Chang, P. Bertani, D. Gallego-Perez, V. Malkoc, L.J. Lee, W. Lu, The Ohio State University, The Ohio State University

We report a silicon based 3D nanoelectroporation (NEP) chip for high throughput cell electroporation where > 50, 000 cells can be transfected on a 1 cm2 chip. The results show that the 3D NEP platform can achieve a high efficiency of intracellular delivery and a high cell viability.

P02-01
Charged-Particle Optics

Solid-state fabrication of ultrathin carbon nanotube – graphene hybrid cathodes for electron field emission, H. H. Van, M. Zhang,Florida State University

A solid-state methodology is introduced to fabricate the ultrathin carbon nanotube - graphene hybrid cathodes for field emission devices. The emitters are arranged along the 200-nm thickness arrays with the well-controlled orientation and alignment. Due to narrow emitter arrays, the screening effect is effectively reduced, resulting the high emission performance.

P02-02
Charged-Particle Optics

Dimensionality, Heat Transfer and Light-activated Cathodes, Mike Chang, Masoud Dahmardeh, Mehran V. Moghaddam, Seyed M. Mirvakili, John D. W. Madden, Kenichi Takahata, Alireza Nojeh, Department of Electrical and Computer Engineering, University of British Columbia

Localized light-induced heating of conductors has great prospect for thermionic cathode applications in multi-electron-beam system and thermoelectric power generation. In this work, we investigate the distinctiveness of this particular phenomenon to the specimen's dimensionality by the comparison of bulk materials and one-dimensional materials(aligned carbon nanotube array and niobium-nanowire yarns).

P03-01
Directed Assembly

A Novel sub-10 nm Half Pitch Pattern Transfer Process using Directed Self-Assembly Lithography, Katsutoshi Kobayashi, Naoko Kihara, Yusuke Kasahara, Yuriko Seino, Hironobu Sato, Shinya Minegishi, Ken Miyagi, Katsuyoshi Kodera, Hideki Kanai, Yoshiaki Kawamonzen, Koichi Yatsuda, Tomoharu Fujiwara, Noriyuki Hirayanagi, Tsukasa Azuma, Teruaki Hayakawa*, EIDEC, Tokyo Institute of Technology*

We report a novel sub-10 nm half pitch pattern transfer process using DSA lithography. We verify effects of DSA materials, guide patterns and surface modification processes on pattern transfer properties for practical sub-10 nm half pitch patterning.

P03-02
Directed Assembly

Reusable-template-assisted fabrication of rolled-up 3D hierarchical structures, Tao Huang, Lu Wang, Jing Yang, Xinming Ji, Ran Liu, Gaoshan Huang, Yongfeng Mei, Fudan University

In summary, dot-structured microtubes and porous microsprings have been fabricated by the reusable-template-assisted approach, which may provide an effective way for controllable and high-yield synthesis of highly functionalized 3D structures for promising applications in bioengineering, lab-on-a-tube microfluidics, environmental sensors, and metamaterials, etc.

P03-03
Directed Assembly

Programmed Self-assembly of Microscale Components Using Biomolecular Recognition, T. Olsen. M. Stepanova, S. Dew, University of Alberta

Silicon microtiles were fabricated as model test devices for programmed self-assembly using biomolecular recognition. Forming self-assembled monolayers on gold surfaces, the microtiles and target gold pads on substrates were functionalized with either the protein avidin or its ligand, biotin. Highly selective self-assembly of the microtiles onto the pads was demonstrated.

P03-04
Directed Assembly

Three dimensional arrangements of carbon nanotubes by dry release approach, Zhaoqian Liu, Hui Li, Jing Yang, Gaoshan Huang**, Zhijun Qiu, Ran Liu*, Yongfeng Mei** , *State Key Lab of ASIC and System, Fudan University, **Department of Materials Science, Fudan University

Up to date, we have successfully rolled up CNTs by using dry release method for the purpose of arranging carbon nanotubes in three-dimension.

P03-05
Directed Assembly

Effect of process parameters on block-copolymer patterns by electrohydrodynamic printing and self-assembly, S. K. Kim, Hanyang University

Directed self-assembly (DSA) based on chemically and topographically patterned substrates have patterning limitation of spin coating to define arbitrary patterns with various sizes, periodicities, and morphologies in a wafer. In this paper, one of solutions, the combined technology of electrohydrodynamic (EHD) printing and DSA, is modeled to reduce complexity.

P03-06
Directed Assembly

ELift-off Free Fabrication of Nano-apertures Using Templated Dewetting, Y.M. Wang, M. Asbahi, D. Zhu, J.K.W. Yang*,  Institute of Materials Research and Engineering, Singapore, * Institute of Materials Research and Engineering, Singapore, and Singapore University of Technology & Design, Singapore

We report an approach that utilizes metal dewetting from lithographically defined structures to fabricate nano-apertures in metal films. We use hydrogen silsesquioxane (HSQ) to pattern 10 nanometer-scale pillars, after which we deposit metal. Thermal treatment induces metal dewetting from the HSQ pillars, resulting in ordered arrays of ~15nm apertures.

P03-07
Directed Assembly

Effect of processing parameters on self-assembly of cylindrical phase PS-b-PMMA BCPs on 300 mm wafers, M. Loucif Seiad****, A. Singh, V. K. MKuppuswamy,R. Gronheid**, M. Ferhatd***, (a) KACST-Intel Consortium Center of Excellence in Nano-manufacturing Applications (CENA),  (b) imec,  (c) Centre for Development of Advanced Technologies (CDTA), d) Amar Thelidji University of Laghouat

We investigate the effect of processing parameters, film thickness and annealing conditions, on micro-phase separation of cylindrical phase block copolymers (BCP) on 300 mm Si wafers.  We characterized the films and plot process windows to compare two BCP formulations; A and B, with periodicity of 29 nm and 37 nm.

P03-08
Directed Assembly

Block Copolymer Self Assembly for Design and Vapor-Phase Synthesis of Nanostructured Materials, A. Rahman, A. Stein, M. Lu, C. –Y. Nam, M. Z. Liu, and C. T. Black, Brookhaven National Laborator

We describe our efforts to combine block copolymer self assembly with the vapor-phase synthesis methods of atomic layer deposition and physical vapor deposition to produce metals and semiconductors structured uniformly on the nanometer length scale for design of new and/or improved properties.

P04-01
Electron Beams

Dynamic tuning SERS of silver interdigital nanogratings under external electric field, W. J. Sun, B.G. Quan, S. B.Tian,L. Li, J. J. Li and C. Z. Gu, Institute of Physics, CAS

In this work, we fabricate silver interdigital nanogratings structure by EBL technology to investigate tunable SERS spectra of p-thiocresol (C7H8S) under the external electric field. The effect of external electric field on the SERS spectra is demonstrated by different frequencies and field strength of alternating electric field.

P04-02
Electron Beams

Effect of resist sensitivity difference on the T shape profile by Monte Carlo simulation, Jinhai Shao, Jianpeng Liu, Sichao Zhang, Yaqi Ma, Juan Li ,Yifang Chen*,Wu Lu**,* Fudan University, **Ohio State University

This work focuses on the effect of sensitivity difference between the top layer and bottom layer on the resultant profile. It can be concluded that the sensitivity difference between two resist layers in one stack plays a key role in the T shape profile by EBL.

P04-03
Electron Beams

Nanoscale Focused Electron Beam Induced Etching: Monte Carlo Simulations, R. Timilsina*, P. D. Rack*,**,K. Wolff***, M. Budach***, K. Edinger***, *University of Tennessee Knoxville,**CNMS, Oak Ridge National Laboratory, ***Carl Zeiss SMS, Industriestr

To understand the fundamental electron-solid-precursor interactions, a Monte Carlo electron-solid simulation has been developed with an appropriate precursor gas routine which emulates adsorption/desorption, surface diffusion and electron stimulated reactions. The simulation was recently modified to handle electron beam induced etching.

P04-04
Electron Beams

Improved Alignment Algorithm for Electron Beam Lithography, S. Thoms, Y. Zhang, J. M. R. Weaver, University of Glasgow

Alignment in electron beam lithography involves locating four markers and then mapping the stage coordinate system onto the designed coordinates of the markers. Improvements in alignment have historically arisen from improved marker detection. There is however another route to improving the alignment, and that is to consider the mapping algorithm.

P04-05
Electron Beams

Hydrocarbonaceous contamination growth induced by resists outgassing under e-beam radiation, ML.Pourteau, AP.Mebiene-Engohang, JC.Marusic, L.Pain, S.David*, S.Labau*, J.Boussey*, M.Smits, M.Wieland**, CEA-Leti, *LTM-CNRS-UJF/CEA-LETI, **MAPPER Lithography, MARUSIC Jean-Christophe SOITEC <Jean-Christophe.MARUSIC@cea.fr>

The hydrocarbonaceous contamination of the optics projection systems induced by resist outgassing during exposure is a potential critical issue for massively parallel e-beam lithography. The purpose of this work is to study the resists outgassing and the associated contamination growth mechanisms on a specially designed tool.

P04-06
Electron Beams

5keV Ebeam lithography for 16nm half pitch resolution, C. Constancias, B. Dal’Zotto, C, Vannufel, L. Pain,T. Kawamoto*, A. Miyake*, CEA-LETI, *Canon Inc

To anticipate the process specifications to reach 16nm resolution with a good process window at 5keV Ebeam lithography. 100kV exposures have been performed adding artificial blur dose emulating a contrast degradation into the resist. From these results, we do comparison of 32nm half pitch process curves at 5keV and 100keV.

P04-07
Electron Beams

Feature proximity effects on the roughness and size variability of electron beam contact patterns, V. Constantoudis, A. Olziersky, N. Tsikrikas and E. Gogolides,G.P. Patsis*, Department of Microelectronics, NCSR Demokritos , Aghia Paraskevi, Greece, *Department of Electronic Engineering, TEI Athens, Greece

We find that the edge roughness and nonuniformity of contacts opened by e-beam lithography increase at high doses due to enhanced feature proximity effects. Frequency analysis of edges and simulation of lithography enable their further understanding and evaluation. The role of these effects in pattern transfer is also studied.

P04-08
Electron Beams

Improvements in scanning electron microscope image resolution using reference image or a sample, Yudhishthir P. Kandel, Eric Lifshin, Richard L. Moore*, Siwei Lyu**, CNSE, *RLM2 Analytical, **University at Albany

We present a robust method for determining the point spread function (PSF) of an electron beam in a scanning beam microscope (SEM). Once a psf is measured, it can be used with a corresponding image collected with that psf, to produce a restored image with improved resolution using optimization methods.

P04-09
Electron Beams

HSQ on SOI Proximity and Process Loading Effects Correction from a Single 1D Characterization Sample, J. Bickford, G. Lopez*, U. S. Army Research Laboratory, *GenISys, Inc.

Proximity effect correction (PEC) is optimized with process loading effects (PLE) via the process latitude analysis of a 1D characterization sample composed of 200nm wide lines drawn at various densities in HSQ on SOI. An RMS error greater than ±22nm for PEC without PLE versus ±4.8nm for PEC+PLE is reported.

P04-10
Electron Beams

Determination and Analysis of Minimal Dose for Achieving Vertical Sidewall in Electron-beam Lithography, X. Zhao, Q. Dai, S.-Y. Lee, J. Choi*, S.-H. Lee*, I.-K. Shin*, C.-U. Jeon*, Auburn University, *Samsung Electronics

A systematic method for determining the minimal total doses for different types of dose distribution has been developed. It utilizes the concept of "critical path" to avoid any PEC effort in dose determination.  Both iterative and non-iterative procedures are considered.

P05-01
Emerging Technologies

Electrowetting on Microbowl-Array Patterned SU-8 Surfaces Generated by Electron-Beam Lithography, X.Li, J.Shao, Y.Ding, Xi'an Jiaotong University

 

P05-02
Emerging Technologies

Micron-Powder Blending, Transportation and Separation using Surface Acoustic Waves, A. Yamaguchi, Y. Arisue, Y. Matsui, T. Saiki*, K. Kuramoto**, I. Okada***, Y. Utsumi, LASTI University of Hyogo, *Hyogo Prefectural Institute of Technology, **Graduated school of Engineering, University of Hyogo, ***Synchrotron radiation Research Center, Nagoya University

Powder and fluid transportation using surface acoustic waves is experimentally well established in a micro total analysis system. To demonstrate and understand the meshanism, we fabricate the SAW dedives by micro-fabrication technique. Within the simulation, we reveal the driving force transmission mechanism is dependent on the friction and specific gravity.

P05-03
Emerging Technologies

Electron, Ion and Photon Beams in a New Analytical and Prototyping Instrument, J. Jiruse, TESCAN Brno s.r.o.

A new analytical and prototyping tool is introduced. The Confocal Raman Microscope is integrated to the FIB-SEM instrument thus combining the electron, ion and photon beams in one system. This combination yields valuable chemical information about the sample on top of the SEM image and nano-prototyping by FIB.

P05-04
Emerging Technologies

Splash-Stop Lithography: Printed Aspherical Micro Lenses, L. Kroo, G. Herring, M. Prakash*, Olin College, *Stanford University

By exploiting the physics of fluids at small scales, we present a novel method to excite non-linear modes in droplets and freeze them in shape to produce non-spherical micro-lenses, without molding requirements. We have built a high-throughput lens-printer that utilizes this method to produce micro-optical components in a roll-to-roll fashion.

P06-01
Extreme UV Lithography

The soft X-ray Interference Lithography Beamline (BL08U1B) at SSRF, Chaofan Xue, Yanqing Wu, Shumin Yang, Jun Zhao, Liansheng Wang, Renzhong Tai,SSRF/SINAP

BL08U1B beamline is a coherent EUV beamline, which employ soft X-ray interference lithography (XIL) technique to fabricate periodical patterns with several tens nanometer level. It has been opened for users since Jan,2013.

P06-02
Extreme UV Lithography

Characterization of Small Phase Defect on EUV Mask Using Micro Coherent EUV Scatterometry Microscope, Tetsuo Harada, Yusuke Tanaka, Takahiro Fujino, Takeo Watanabe, Hiroo Kinoshita, Youich Usui*, Tsuyoshi Amano*, University of Hyogo, *EIDEC

For the phase defect characterization, we have developed micro coherent EUV scatterometry microscope at NewSUBARU of a synchrotron radiation facility. This system directly observes the reflection and scattering intensity that strongly related with the printability. We observed actual phase defect, which signal was clearly detected.

P06-03
Extreme UV Lithography

Design of Off-axial Objective for High-NA EUVL Using Free-form Surface Mirrors, Yan Liu,Yanqiu Li,Fei Liu,Zhen Cao,Beijing Institute of Technology

We propose a design method for High-NA EUVL objective with tilted and decentered reflective elements. Free-form surface mirrors are used to enable the well correction of aberration of the off-axial objective. NA 0.4 and NA 0.5 6-mirror EUVL objectives are designed, and both of the systems have high imaging performance.

P07-01
Focused Ion Beam Processing

Focused Gold Ion Implantation Into Insulators For Conducting Wires, T. Brintlinger, U.S. Naval Research Laboratory

We have directly implanted gold wires into silicon nitride using a focused ion beam of gold ions.  Performing voltage sweeps on the resultant wires, they show linear, length-dependent, and disappointingly small currents.

P07-02
Focused Ion Beam Processing

Non-gallium Focused Ion Beam Nanofabrication of III-V Materials, B.P. Gila, J. Fridmann*, Nanoscale Research Facility University of Florida, *Raith America

The direct processing of Ga-group V (GaAs, GaN, GaP) materials with a Ga ion beam results in dense droplets formed in the milled areas. We have found that by changing the ion type, one can avoid droplet formation completely, and substantially increase the milling rate.

P07-03
Focused Ion Beam Processing

Understanding Focused Helium Ion Beam Nanomachining of Membranes and Bulk Substrates, E.M. Mutunga, S.L. Lockerman, K.L. Klein, University of the District of Columbia, A.E. Vladár, National Institute of Standards and Technology

This work is aimed at quantifying sputter yield and/or surface modification of integrated circuit and device-relevant materials through modeling and experimental analysis in order to achieve reliable, high-resolution, and efficient nanomachining in thin films and bulk substrates.

P07-04
Focused Ion Beam Processing

Enhanced Removal of Material by FIB Etching at Glancing Angle of Incidence in Cross-Sectioning Application, V. Ray, O. Zhao*, W. A. Chiou*, K. Zaitsev**, A. Zaitsev***, PBS&T MEO Engineering Co., *University of Maryland at College Park,**City College City University of New York, ***College of Staten Island City University of New York

FIB etching at glancing angle of incidence in up-slope and down-slope directions was evaluated for single-raster and multiple-raster scanning strategies. Strong directional dependence of single-raster etching and enhancement in up-slope direction were observed. Practical application of findings for bulk material removal in cross-sectioning and TEM sample preparation is proposed.

P08-01
Focused Ion Beams

Focused Neon Ion Beam Induced Sputtering of Copper and Silicon Dioxide by Monte Carlo Simulations, R. Timilsina*, P.D. Rack*,**, S.Tan***, R. Livengood***,*University of Tennessee Knoxville,**CNMS, Oak Ridge National Laboratory,***Intel Corporation

A Monte Carlo algorithm has been developed to model the physical sputtering to emulate nanomachining via the Gas Field Ion Microscope.  We will present experimental and simulation results on focused neon ion beam induced sputtering of copper and silicon dioxide.

P08-02
Focused Ion Beams

Focused Ion Beam for Biological Studies, Po-Kang Lin, Mong-Jun Sui, Hong-Ming Chen, Chieh-Hsiung Kuan, National Taiwan University

We employed FIB/SEM to mill biological specimens, such as ARPE-19 cells and chloroplasts. With the sample holders and the milling methods we developed, the ultrafine structures of the chloroplasts and ARPE-19 cells could be disclosed in details, mimicking TEM images.

P08-03
Focused Ion Beams

Focused Ion Beam milling for Si nanowire and Junctionless transistor prototype, L.P.B. Lima*,**, M.V. Puydinger dos Santos*, J. Godoy Filho*, H. F. W. Dekkers***, S. De Gendt**,***, J. A. Diniz*, *School of Electrical Engineering, University of Campinas,**Chemistry Department, KULeuven, ***Imec

Junctionless devices were fabricated using Ga+ FIB system to define the Si nanowire (width=50nm, length=4um, height=15nm) and deposit the gate dielectric and metal electrodes. The electrical characterization had shown that the fabricated junctionless device are working. So, FIB system can be used as a promising tool for nano devices prototype.

P08-04
Focused Ion Beams

Fabrication of zero-mode waveguides with a high-resolution FIB nanowriter, T. Plenat, C. Tomikawa, S. Yoshizawa, D. Fourmy*,E. Bourhis, J. Gierak**,*Centre de Génétique Moléculaire UPR 3404, CNRS, Université Paris-Sud, **LPN-CNRS

Zero-mode waveguides are optical nanostructures fabricated in a thin metallic film capable of confining the excitation volume to the range of zeptoliters. We will detail our efforts aiming at fabricating such devices using a high-resolution FIB nanowriter capable of prototyping arrays of nanoapertures with pre-defined geometries, high precision and reproducibility.

P08-05
Focused Ion Beams

Effects of Beam Raster Parameters and Up/Down Slope Direction on Multiple-Raster Etching of Material by Focused Ion Beam at Glancing Angle of Incidence, O. Zhao, V. Ray, W. Chiou, University of Maryland Nanoscale Imaging, Spectroscopy, and Properties Laboratory

Multiple-raster etching by 30KV Ga+ FIB at normal and glancing angles of incidence in up-the-slope and down-the-slope directions by a digital raster with various parameters were evaluated with goal of improving the efficiency of bulk material removal in cross-sectioning and TEM sample preparation applications.

P08-06
Focused Ion Beams

Creation of High Resolution Electron Diffraction Gratings using FIB and E-Beam Techniques, J. Pierce, C. Wright, T. Harvey, B. McMorran, University of Oregon

Using both E-Beam lithography and FIB milling to create electron diffraction gratings.  Feature size must be minimized while keeping spatial coherence and diffraction efficiency high.  We look at how to use both FIB and EBL to produce gratings with small feature size and high quality.

P09-01
Microscopy and Nanometrology

Application of Metrological Scanning Electron Microscopy in micron and nanometer structure measurement, Bohua Yin, Daixie Chen, Han Li, Liangdong Wen, Rui Xia, Hong Xue, Mingzhang Chu, Institute of Electrical Engineering, Chinese Academy of Scineces

In this paper, a retraceable metrological scanning electron microscopy (M-SEM) system with precision stage and laser interferometer is presented in detail.Furthermore, a metrological SEM image edge detection algorithm is reported, which is the essential part of the metrological SEM system to realize the measurement of line width.

P09-02
Microscopy and Nanometrology

Charging Simulation of Insulating Layers on a Conducting Substrate Irradiated by Ion and Electron beams, K. Ohya, The University of Tokushima, Japan

For use of scanning ion microscopes in device metrology, charging of a SiO2 layer on a Si substrate during He, Ne, and Ga ion beam irradiation is calculated in the tens-of-keV energy range. The calculation is also done with electron beams for comparison with conventional and low-voltage scanning electron microscopes.

P09-03
Microscopy and Nanometrology

Accurate dimensional monitoring of e-beam patterned grating structures by Mueller matrix polarimetry, Xiuguo Chen, Chuanwei Zhang, Shiyuan Liu, Li Wang*, Yasin Ekinci*, Huazhong University of Science and Technology, *Paul Scherrer Institute

We apply Mueller matrix polarimetry (MMP) to characterize e-beam patterned grating structures with mall CDs. We fully investigate all the factors that affect the final measurement accuracy, including the geometric model, the spectral range, and the depolarization effect. Improved accuracy has been achieved after taking these factors into account.

P09-04
Microscopy and Nanometrology

Robust profile reconstruction in optical scatterometry, Jinlong Zhu, Xiuguo Chen, Chuanwei Zhang, Shiyuan Liu, Huazhong University of Science and Technology

Profile reconstruction in optical scatterometry is usually formulated as a nonlinear least squares problem, which can be solved by any gradient-based method. As outliers in the measured signature are likely to influence the final solution, we propose a method to eliminate this influence by introducing the principle of robust estimation.

P09-05
Microscopy and Nanometrology

Generation and detection of surface acoustic wave decay on an electron-beam patterned substrate with a conformal metal coating, Brian F. Donovan, John T. Gaskins, Patrick E. Hopkins*,David P. Gawalt**,Lloyd R. Harriott*, *University of Virginia, **Virginia Commonwealth University

In this work, we described a method to generate and detect surface acoustic waves with picosecond resolution by directly patterning the SAW grating with EBL on the surface of the substrate using a pump-probe laser measurement technique

P09-06
Microscopy and Nanometrology

Matching Low Voltage Scanning Electron Microscopy with Energy Dispersive X-ray Spectroscopy of Positively Biased Samples, D. Klyachko, L. Muray, Y. Wu, S. Indermuehle, J. Spallas, Agilent Technologies

The Agilent 8500 low voltage field emission scanning electron microscope (FESEM) providing high surface sensitivity is combined with Energy Dispersive X-ray Spectroscopy for elemental analysis. A proprietary calibration procedure allows to blindly navigate to the features identified in FESEM with better than 20 um accuracy and perform their elemental analysis.

P09-07
Microscopy and Nanometrology

Interactions of Higher Order Tip Effects in CD-AFM Linewidth Metrology, R. Dixson, X. Bonnaud, NIST

The general effect of the CD-AFM tip shape is to broaden the apparent feature width by the tip width due to the geometrical interaction between the tip and the surface. Beyond this are smaller or secondary tip effects related to the measurement details, and these may interact in some cases.

P10-01
Modeling

Optical proximity correction using diffraction holography technique, Artak Isoyan, Lawrence S. Melvin III, Synopsys Inc.

A new methodology for OPC mask correction is proposed based on diffraction holography. The proposed method consists of two steps. First, an Gabor hologram is generated by computing the diffraction pattern of the target pattern. Second, the computed hologram is synthesized to form a mask for a conventional optical system.

P10-02
Modeling

Simulation of SEM Images by Using Monte-Carlo Technique for Quantitative Analysis of Semiconductor Devices, J. S. Kim, M. C. Song, B. C. Park*, Chonbuk National University, *Korea Research Institute of Standards and Science, Korea

A Monte Carlo simulation code is developed for simulation of scanning electron microscope (SEM) images. The code generates three dimensional trajectories of electrons in the samples in a SEM and those of subsequently generated secondary and backscattered electrons. Based on these data the code synthesizes SEM images of the samples.

P10-03
Modeling

Electrohydrodynamic Analysis of L-DEP Force Driven Imprinting Process by Coupling L-DEP Theory and Two Phase Flow Method, Hongmiao Tian, Jinyou Shao, Yucheng Ding, Xiangming Li, Qingzhen Yang, Micro- and Nano-manufacturing Research Center, State Key Laboratory for Manufacturing Systems Engineering, Xi’an Jiaotong University

This article attempts to provide a model combing L-DEP theory and two phase flow method to describe the movement of dielectric polymer under electric field, i.e. L-DEP force driven imprinting process, accompanied with the influence of process parameters on the evolution of the dynamic process.

P11-01
Nanoelectronics

Spacer Lithography for 3D MOS Devices Using Amorphous Silicon Deposited by ECR-CVD, A. M. Rosa, J. A. Diniz, J. Godoy Filho, I. Doi, M. A. Canesqui, M.V.P. dos Santos, A. R. Vaz, School of Electrical and Computer Engineering, Center for Semiconductor Components, University of Campinas - UNICAMP

The spacer lithography (SL) is a promising method for the sub-22 nm CMOS technology. Thus, in this work, hydrogenated amorphous silicon (Si-a:H) films have been deposited by ECR–CVD technique for the implementation of the SL technique to the development of the structure of three-dimensional (3D) MOS gate (3D MOS capacitor).

P11-02
Nanoelectronics

Modified Electronic Properties of Nanoscale Polymer Fibers via Nanoparticle Doping with Melt and Solvent Electrospinning, J. D. Beisel, J. B. Kyeremateng, A. H. Erickson, B. M. Ross, A. S. Moore*, D. A. Eldredge*, B. R. Loyola*, J. L. Skinner, Montana Tech, *Sandia National Laboratories

Synthesizing conductive nanoscale fibers from non-conductive polymers with a robust fabrication method allows for a broad utilization of electrospun fibers. To synthesize the conductive fiber, melt and solution based electrospinning are being researched. Melt electospinning uses a polymer doped with MWCNTs to enhance conductivity, whereas solution electrospinning uses pyrolysis.

P11-03
Nanoelectronics

Effect of embedding ErAs nanoparticles on thermal conductivity of In0.53Ga0.47As semiconductors: Phonon scattering mechanism, Dinesh Prasad, Department of Physics, Engineering College, Tuwa, Gujarat, India

We evolve a theoretical model for quantitative analysis of decrease in thermal conductivity () by embedding ErAs nanoparticles in In0.53Ga0.47As crystalline semiconductors. We found that ErAs nanoparticles provide an additional scattering mechanism for phonons, on inserting it in In0.53Ga0.47As.

P11-04
Nanoelectronics

Plasma-Assisted Doping for Fabricating MoS2 Diodes and Ambipolar Transistors, M. Chen, H. Nam, S. Wi, and X. Liang, University of Michigan, Ann Arbor

People now lack proper doping methods for creating permanently stable p-n junctions and rectifying diode structures in MoS2 and other relevant 2D semiconductors, which seriously limits their practical applications. To address this challenge, we developed a new plasma-assisted doping method for modulating electronic properties of MoS2 and other 2D semiconductors.

P11-05
Nanoelectronics

TiO2 Sol-Gel Based Memristor Crossbar Arrays with Triangular Top and Bottom Metal Electrodes, Peng Lin, Qiangfei Xia, University of Massachusetts, Amherst

We report the fabrication of memristor crossbar array with triangular top and bottom electrodes. The bottom electrodes were fabricated directly on SOI wafers and top electrodes were transfer-printed from silicon donor substrate. The triangular electrodes were expected to confine filament formation and thus improve the switching uniformity.

P11-06
Nanoelectronics

A Rapid Diagnostic Method to Detect Single Wall Carbon Nanotubes in Nanoscale Windows, S. Maruca, R. Cohen, A. Kanwal, G. A. Thomas, R. C. Farrow, New Jersey Institute of Technology

We present an electrochemical method to detect electrophoresis deposited vertical single wall carbon nanotubes on metal at the base of 30-60nm windows in insulating films. Using phosphate buffer as the conducting medium to a platinum electrode, IV curves from windows with nanotubes show two orders of magnitude higher current.

P12-01
Nanoimprint and Roll-to-Roll

Nanoimprint Mold with Integrated Heater for Synergistic Thermal and UV Nanoimprint, Z. Zhang*,B. Luo**,X. Cheng*, *South University of Science and Technology of China, **Texas A&M University

We present a new transparent mold with integrated heater for synergistic thermal and UV nanoimprint. The combination of thermal and UV nanoimprint enables versatile process control.

P12-02
Nanoimprint and Roll-to-Roll

Surface evaluation of HSQ with PDMS additive after room temperature nanoimprinting using hard and soft molds, N. Sugano, M. Okada, Y. Haruyama, S. Matsui, Univ. of Hyogo

Fabrication of replica mold is imprtant factor for nanpimprint lithography. To fabricate HSQ replica mold with release property by RT-nanoimprinting onto HSQ with PDMS additive, we evaluated the surfaces of HSQ with PDMS additive after RT-nanoimprinting using hard and soft molds.

P12-03
Nanoimprint and Roll-to-Roll

Molecular orientation of imprinted nm-order pattern of photo-cross-linkable liquid crystalline polymer, M. Okada, R. Hosoda*, M. Kondo*, Y. Haruyama, T. Sasaki**, H. Ono**, N. Kawatsuki*, S. Matsui, Laboratory of Advanced Science and Technology for Industry, Univ. of Hyogo, *Department of Materials Science and Chemistry, Graduate School of Engineering, University of Hyogo, **Department of Electrical Engineering, Nagaoka University of Technology

We confirmed from polarization optical micrography images that a um-order molecular orientation pattern of photo-cross-linkable liquid crystalline polymer (PLCP) was formed by thermal nanoimprinting using a nm-order line and space pattern mold.

P12-04
Nanoimprint and Roll-to-Roll

Fabrication of Anti-reflection Structure Film using RTR Ultraviolet Nanoimprint Lithography, M.Moro, J.Taniguchi, S.Hiwasa*, Tokyo University of Sciense, Autex Co., Ltd*

We transferred AR structure film by roll to roll UV nano-imprint lithography (RTR UV-NIL) with replica mold. As a result, we can successfully obtain the AR structure film with less than 0.1% reflectivity and was more than 95% transmittance in a visible light wavelength.

P12-05
Nanoimprint and Roll-to-Roll

Impact of Resist Shrinkage on De-molding Process in NIL, T.Tochino,Y.Shiotsu,K.Uemura,M.Yasuda,H.Kawata,Y.Hirai,Osaka Prefecture Universuty

We simulated the resist shrinkage during resist curing and investigate the resist separation from the mold by numerical simulation work. The result shows the resist shrinkage does not significantly effect on the de-molding process, because the maximum de-molding force is determined by the separation force from the residual layer.

P12-06
Nanoimprint and Roll-to-Roll

Impact of morphology on T-NIL with semi-crystalline P3HT, S. Wang, K. Dhima, C. Steinberg, M. Papenheim, H.-C. Scheer, University of Wuppertal

Improvement of the electrical properties of semi-crystalline P3HT can benefit from controlling morphology by thermal nanoimprint. After imprinting over Tm, new starting conditions are provided for re-crystallization. The stamp geometries, the substrate contact and well-regulated cooling are the appropriate parameters to achieve a well-ordered morphology.

P12-07
Nanoimprint and Roll-to-Roll

Molecular Dynamics Study on Demolding Process in Nanoimprint Lithography, R. Takai, M. Yasuda, N. Iwata, H. Kawata, Y. Hirai, Osaka Prefecture University

We analyze molecular size effects of the demolding process in nanoimprint lithography with molecular dynamics simulation. The effects of the sidewall roughness of the mold is also studied The demolding process is closely related to the molecular size of the polymer, when the pattern size becomes single nanometer scale.

P12-08
Nanoimprint and Roll-to-Roll

Nanoimprint for Illumination Module to Control the Emitted Light using Flexibility Enhanced SCIL Mold, G.H.Kim, H.J.Lim, K.B.Choi,J.J.Lee,S.H.Lee*,Korea Institute of Machinery and Materials,*Electronics and Telecommunications Research Institute

This paper describes about SCIL process for nano scale linear grating for illumination module which controls the direction of input beam. We also enhance the flexibility of the SCIL mold by using PC film, so the patterning uniformity of the SCIL process is improved.

P12-09
Nanoimprint and Roll-to-Roll

Anti-wetting surfaces fabricated by Reverse Nanoimprint Lithography on Silicon and metal-coated substrates, Ariadna Fernández1, Juan Medina1, Cristian Benkel3, Markus Guttmann3, Brian Bilenberg4, Theodor Nielsen4, Clivia Sotomayor Torres1,2, Nikolaos Kehagias1, 1Catalan Institute of Nanoscience and Nanotechnology, ICN2 Building, UAB Campus, 2 ICREA, Institució Catalana de Recerca i Estudis Avançats, 3 Karlsruhe Institute of Technology (KIT), 4NIL Technology ApS Diplomvej 381

In this paper we develop and optimise the reverse imprinting paramaters to pattern micro/nano scale polymer patterns (anti-wetting structures) over metal surfaces with no residual layer. We have realised square cemtimeter areas which show a hydrophobic nature in comparison to the non-patterend surfaces.

P12-10
Nanoimprint and Roll-to-Roll

Fabrication of embedded silver grid electrodes for ITO-free organic solar cells applications, Nikolaos Kehagias1, Ignasi Burgués-Ceballos2,3, Mariano Campoy-Quiles3, Paul D. Lacharmoise2 Clivia Sotomayor M. Torres4,5, 1 Catalan Institute of Nanoscience and Nanotechnology, ICN2 Building, UAB Campus, 2Cetemmsa Technological Centre, 3 Institut de Ciència de Materials de Barcelona (ICMAB-CSIC), 5 ICREA, Institució Catalana de Recerca i Estudis Avançats

We present a novel nanofabrication method to realize embedded metal grid electrodes for OPV applications. Our approach consists of the combination of inkjet printed silver grids and reverse imprinting transfer lithography. The substrate characterisation showed a comparable transmission towards ITO/glass substrate while an overall photovoltaic performance (FF >60%) was observed.

P12-11
Nanoimprint and Roll-to-Roll

Large-area ultraviolet nanoimprint lithography for the detailed understanding of silicon-germanium island nucleation on pit-patterned silicon substrates, J. Danzberger, L. Häusler, I. Bergmair, M. Mühlberger, E. Lausecker*, M. Glaser*, F. Schäffler*, T. Fromherz*, Profactor GmbH, *University of Linz

We demonstrate the fabrication of large pit patterned areas on Si substrates with ultraviolet nanoimprint lithography (UV-NIL) for the use in experiments to understand in detail the ordered growth of silicon-germanium (SiGe) islands by molecular-beam epitaxy (MBE).

P12-12
Nanoimprint and Roll-to-Roll

Fabrication of graphene-based devices by Ultraviolet Nanoimprint Lithography, L. Häusler, B. Einwögerer, , W. Hackl, T. Fromherz*, M. Losurdo**, G. Bruno**, N. Rupesinghe***, C. Giesen****, M. Heuken****, T. Müller*****, B. Kley******, W. Rockstroh******, I. Bergmair, M. Mühlberger, PROFACTOR GmbH, University of Linz*, CNR**, Aixtron Ltd***, Aixtron SE****, Vienna University of Technology*****, Friedrich-Schiller-Universität Jena******

We present a method to fabricate graphene based devices like photodetectors and transistors. The technique to realize patterned graphene on gold contacts is based on Ultraviolet Nanoimprint Lithography (UV-NIL).

P12-13
Nanoimprint and Roll-to-Roll

Durability of DLC Release Coating in Ultraviolet Nanoimprint Lithography, Y. Kogo, M.Takahashi, J. Taniguchi:Tokyo University of Science

We have evaluated durability of F-DLC release coating. Intermediate layer and sufficient thickness of F-DLC layer is necessary. F-DLC coated Si mold can transfer 1000 repetition time by UV-NIL.

P12-14
Nanoimprint and Roll-to-Roll

Fabrication of Metal Mesh Using NIL and Silver Paste for Transparent Conductive Film, D. Cui, South University of Science and Technology of China

Many efforts have been made to find alternative materials for  TCF applications. Silver nano wires and metal mesh have been considered as the promising replacements. Here we show a fast and affordable scheme for fabrication metal mesh TCFs where silver paste and nanoimprint lithography (NIL) are used.

P12-15
Nanoimprint and Roll-to-Roll

Thermal Roll-to-Roll Imprinted Nanogratings on Plastic Film, N. Unno, T. Mäkelä*, Jun Taniguchi**, Tokyo University of Science and JSPS Research Fellow, *VTT Printed and hybrid functionalities, ** Tokyo University of Science

The replication behavior by using a nanograting pattern to a plastic film were examined via thermal Roll to Roll nanoimprint. The temperature of the mold was varied from room temperature to Tg when line speed was constant. We show controllability of the pattern depth with the process temperature.

P12-16
Nanoimprint and Roll-to-Roll

Guided wrinkling with nanoimprinted SU-8 surfaces, C. Steinberg, D. Blenskens, K. Dhima, S. Wang, M. Papenheim, H.-C. Scheer, University of Wuppertal

Wrinkling occurs on SU-8 after UV-ozone treatment and anneal. When the SU-8 is pre-patterned, by nanoimprint, the wrinkling becomes guided and regular patterns are obtained. Amplitude and period of wrinkling are controlled by the UV-ozone exposure time. Local exposure combined with nanoimprint has the potential to provide self-forming patterns locally.

P12-17
Nanoimprint and Roll-to-Roll

Fabrication and Testing of Flexible Cyclic Olefin Copolymer Stamps by Nanoimprint Lithography, Arshad Khan, Wen-Di Li, University of Hong Kong

We experimentally demonstrate the capability of fabricating nanostructures on cyclic olefin copolymer (COC) through a hot embossing process, and further transferring the structures into nanoimprint resist through a UV nanoimprint process using this nanostructured COC film as a template.

P12-18
Nanoimprint and Roll-to-Roll

Morphology Control of Anodic Porous Alumina Using Nanoimprinting, S. Iwasaki*, K. Nagato*,**, L. Wang*, Y. Li***, J. J. Delaunay*, M. Nakao*,*Department of Mechanical Engineering, The University of Tokyo, **Research Fellow of Precursory Research for Embryonic Science and Technology (PRESTO), Japan Science and Technology Agency (JST),***Department of Chemical System Engineering, The University of Tokyo

Anodic porous alumina is an oxide film, in which pores are arrays of parallel cylinders. The ordered porous array can be applied into a variety of devices such as a template for nanostructures. In this work we control pitch of alumina pores by nanoimprinting, and diameter by dissolution after anodization.

P12-19
Nanoimprint and Roll-to-Roll

High-heat-transfer boiling surface with micropattern replicated by nanoimprinting, S. Miyazaki, K. Nagato*, Y. Watanabe, K. Takahashi, N. Shikazono, M. Nakao, The University of Tokyo

We fabricated micropattern to control the generation of bubbles for boiling heat transfer by rolling system. Pool boiling experiment was done and we found the best pitch for the micropattern. This research leads to larger and cheaper fabrication process for high-heat-transfer boiling surface.

P12-20
Nanoimprint and Roll-to-Roll

Direct Printing of Planar Photonic Circuits with High Refractive Index, C. Pina-Hernandez, A. Koshelev*, A. Polyakov**, L. Digianantonio**, A. Bugrov*, S. Dhuey**, G. Calafiore, A. Goltsov*, S. Babin, S. Cabrini**, C. Peroz, aBeam Technologies, *NanoOptic Devices, LLC, **The Molecular Foundry, LBNL

We report here for the first time printable photonic circuits fabricated by direct imprinting of inorganic films with high refractive index. A state-of-the-art printing approach was developed to pattern sub-10nm structures with high optical transparency over large areas. The optical properties of the printed planar optical circuits will be discussed.

P12-21
Nanoimprint and Roll-to-Roll

Surface Force Measurement of Nanoimprint Lithography Molds and Resin Materials, J. Taniguchi, M. Hasegawa*, H. Amemiya*, H. Kobayashi*, S. Hiwasa**, Tokyo University of Science, *ELIONIX Inc., **Autex Co., Ltd.

The surface forces of Si mold surface w/o release agent and UV-curable resins were measured by surface force analyzer.  These surface forces can evaluate of NIL materials. Measurement of surface force of mold surface during repetition UV-NIL could evaluate the life time of release coating quantitatively.

P12-22
Nanoimprint and Roll-to-Roll

Improved anti-adhesion FOTS coating for imprint mold, A. Alshammari, M. Yavuz, B. Cui, University of Waterloo

Imprint mold is commonly treated with FOTS to reduce its surface energy. Here we showed improved surface treatment by multiple FOTS treatments. After first treatment, the mold was soaked in toluene to remove non-chemisorbed FOTS, thus exposing the mold surface to be covered by chemisorbed FOTS during the following treatment.

P13-01
Nanomechanics

A MEMS capacitive pressure sensor employing 3C-SiC diaphragm with operating temperature of 500C, N. Marsi, B. Y. Majlis, A. A. Hamzah, F. Mohd-Yasin*, Institute Microengineering and Nanoelectronics (Universiti Kebangsaan Malaysia), *Queensland Micro- and Nanotechnology Centre (Griffith University)

This project develops the prototype of a MEMS capacitive pressure sensor employing 3C-SiC diaphragm. The fabrication steps to back-etched the bulk Si to leave SiC thin film by applied ProTEK PSB coating on wafer as photosensitive layer. The sensor is packaged under pressure 5 MPa, temperatures of to 500 °C

P13-02
Nanomechanics

Low Cost Fabrication of Ultra-Sensitive Micro Structures and Its Characterization, J. Chen, G. Zhao, W. Du, G. Liu, J. Chu, Department of Precision Machinery and Precision Instrumentation, University of Science and Technology of China

We present our latest research of low cost fabrication of Ultra-low-stiffness micro structure for multi-functional cell culture substrate.  By a very cheap method, We successfully fabricated several millimeter long and 500nm thick cantilevers and bridges, which could detect ultra weak force in nano Newton scale.              Their performance was also tested.

P13-03
Nanomechanics

Creation and transfer of gratings with spatially-varying periodicity, Cuiping Zhang, Min Ji*, Wenxin Shen, Haixiong Ge*, Wen-Di Li, University of Hong Kong, *Nanjing University

In this work, we develop methods that can arbitrarily modulate the spatial distribution of periodicity in ordered patterns by deforming elastomeric substrates with specially designed shapes, and we further transfer the modified grating patterns on the deformed elastomeric substrates onto a rigid substrate for future use as a nanoimprint template.

P13-04
Nanomechanics

Fabrication of free standing copper nanowires for vibrational response study through transient reflectivity, L. Belliard, L. Becerra, N. Kacemi, B. Perrin, T.W. Cornelius*, O. Thomas*, M.E. Toimil-Molares**, M. Cassinelli**, UPMC-CNRS-INSP, *AMU-CNRS-IM2NP, **GSI Helmholtz Centre

We report on elaboration of free standing copper nanowires on structured silicon substrate. Taking advantage of the mechanical coupling suppression between nanostructures and the substrate, we have obtained nano oscillators exhibiting high quality factors up to 130 and allowing detection of up to the third harmonic of the breathing mode.

P14-01
Nanophotonics and Plasmonics

Fabrication of PDMS micro-lens arrays on a PET film by proton beam writing, H. Kato, H. Hayashi,H. Nishikawa, Shibaura Institute of Technology

Micro-lens arrays were fabricated by proton beam writing using polydimethylsiloxane (PDMS) as a negative tone resist. Arrays of PDMS micro-lens with a height of 13 micrometers and diameter of 40 micrometers were fabricated in an area of 1.0-mm square on the ITO-coated PET film by PBW at 1.0 MeV.

P14-02
Nanophotonics and Plasmonics

Spectrum splitting using multi-layer sub-wavelength high-index-contrast grating for solar energy harvesting efficiency improvement, Yuhan Yao, He Liu,Shujin Huang, Yifei Wang, Wei Wu, University of Southern California

We report our progress of developing a parallel spectrum splitting system for high-efficiency solar energy conversion, which is based on dispersive mirror composed of multi-layer sub-wavelength high-index-contrast gratings. Our design for the dispersive mirror can be fabricated using nanoimprint lithography (NIL) in a large area and at a low cost.

P14-03
Nanophotonics and Plasmonics

Metamaterials Constructed by Three Dimensional Split Ring Resonators, Che-Chin Chen, Atsushi Ishikawa*, Takuo Tanaka*, Yu-Hsiang Tang, Ming-Hua Shiao,Din Ping Tsai**, Instrument Technology Research Center, NARL, *Metamaterials Lab., **Research Center for Applied Sciences, Academia Sinica

In this work, we present several kinds of metamaterials constructed by three dimensional plit ring resonators in which the stereostructure were assembled form two dimensional templates by a bilayer metal stress driven self-folding technique. The characterizations are carried out by both transmittance measurements and numerical calculations.

P14-04
Nanophotonics and Plasmonics

Metal Adhesion Layer Induced Damping of Surface Plasmons Probed by Photoelectron Emission, Y. Yang, R. Hobbs, E. De Leo, K. Berggren, Massachusetts Institute of Technology

For lithographically fabricated plasmonic nanostructures, adhesion promoting metals such as Cr and Ti are often used, while they induce damping of plasmon resonance. We studied Ti adhesion layer induced plasmon damping of Au nanorods by numerical simulation and photoelectron emission testing.

P14-05
Nanophotonics and Plasmonics

Numerical Investigation of Optical Behavior of Nano-hole Array with Non-vertical Sidewall Profile, Mehrdad Irannejad, Jian Zhang, Mustafa Yavuz, Bo Cui, University of Waterloo

Due to the limit of nanofabrication, holes patterned in a noble metal film always have a non-vertical sidewall profile. Here, the optical transmittance through periodic non-vertical-profile hole array was numerically investigated. The optimum taper angle was 12° and 4° at 500 nm period for positive and negative profile, respectively.

P14-06
Nanophotonics and Plasmonics

Smooth Au Film by Annealing for Enhanced Extraordinary Optical Transmission, J. Zhang, M. Irannejad, M. Yavuz, B. Cui, University of Waterloo

Film quality plays an  important role in surface plasmonic devices. To improve the Au film quality, annealing method was applied, by which the film roughness was reduced by ~72%. As a result, the sensitivity of the EOT sensor was improved from 6.6 nm/RIU to 16.8 nm/RIU.

P14-07
Nanophotonics and Plasmonics

Fabrication of silicon nanostructures with large taper angle by reactive ion etching, F. Saffih, A. Alshammari, M. Yavuz, B. Cui, University of Waterloo

Nanostructure with large tapered sidewall profile may be employed for light trapping applications. Here we obtained a large taper angle of 39 deg by modifying a non-switching Bosch process giving vertical profile (gas flow ratio C4F8/SF6 from 38/22 to 59/1, RF bias power from 20 W to 10 W)

P14-08
Nanophotonics and Plasmonics

Selective nanowire fabrication in niobium nitride using sacrificial proximity-effect-correction features in HSQ, F. Najafi, D. Englund, K. K. Berggren, Department of Electrical Engineering and Computer Science, Massachusetts Institute of Technology, 77 Massachusetts Avenue, Cambridge, MA 02139, USA

In many nanophotonic applications, inactive proximity-effect-correction (PEC) features can degrade device performance, e.g. due to additional absorption. We solved this problem by developing a fabrication scheme for sacrificial PEC features in HSQ. We used this scheme to fabricate superconducting nanowire single-photon detectors without inactive features in selected areas.

P15-01
Nanostructures and Pattern Transfer

Fabrication of ZnO Three-Dimensional Hierarchical Nanostructures for Wicking Applications, Zhiting Wang, Abhijeet Bagal, Junjie Zhao*, Erinn Dandley*, Christopher Oldham*, Tiegang Fang, Gregory Parsons*, Chih-Hao Chang, Department of Mechanical and Aerospace Engineering, North Carolina State University, *Department of Chemical and Bimolecular Engineering, North Carolina State University

We present the fabrication process of the proposed 3D hierarchical nanostructure and the influence of pillar and nanowire geometry on the wicking dynamics in these structures. We explore the durability of structures and compare experimental data with a fluid model based on the balance of capillary and vicious forces.

P15-02
Nanostructures and Pattern Transfer

Fabrication of Microlens Arrays with Controllable Numerical Aperture Based on Electrically Induced Dewetting by Spatially Modulcated Electric Field, Xiangming Li, Jinyou Shao, Hongmiao Tian, Yucheng Ding, Qingzhen Yang, Xi'an Jiaotong University

The approach described in this paper for generating concave MLA has some desirable and unique features for industrial application, including process cost effectiveness, a high efficiency and, most importantly, an exact controllability of the numerical aperture or curvature.

P15-03
Nanostructures and Pattern Transfer

Patterning of High-Aspect-Ratio Nanostructures on Microtrenches using Stencil Lithography of Free-Standing Tri-Layer Membrane, K. Du, J. Ding, I. Wathuthanthri, C.-H. Choi, Stevens Institute of Technology

In this work, we demonstrate a new fabrication scheme to pattern high-aspect-ratio nanostructures on microtrenches using stencil lithography of free-standing tri-layer membrane and examine the so-called blurring effect systematically by testing microtrenches of varying depths.

P15-04
Nanostructures and Pattern Transfer

Fabrication of Patterned TiO2 Nanorod Arrays by Laser Scanning Technology for Dye-sensitized Solar Cells, W. Jiang, H.Z. Liu, L. Yin, Y.C. Ding, State Key Laboratory for Manufacturing Systems Engineering, Xi’an Jiaotong University

In this paper, we constructed a bilayered TiO2 photo-anode with patterned TiO2 nanorod arrays as under layer and traditional TiO2 nanoparticles as active layer.The patterned TiO2 nanorod structure on the bottom layer can increase the light transmission and provide efficient electron transportation channel to the conducting substrate.

P16-01
Optical Lithography

Single-Mode-Resonance interference in photoresist sub-micron waveguide for high exposure depth nanolithography, D. Hu, Z. Yang, Z. Zhang, S. Li, R. Shi, F. Gao, L. Pang, J. Du, C. Du*, Sichuan University, *Chinese Academy of Sciences

We demonstrate a method to realize high resolution as well as high aspect ratio for nanolithography, which is not the characteristic of conventional interference lithography, evanescent wave interference lithography and SPP interference lithography.It has higher efficiency and lower cost than Focused ion beam writing and E beam writing as well.

P16-02
Optical Lithography

Fabrication of Three-Dimensional Periodic Nanostructures in Negative Photoresist Using a Colloidal Phase Mask, J.-H. Min, X. A. Zhang, C.-H. Chang, North Carolina State University

In this work, we will extend the self assembled colloidal phase shift lithography process to the fabrication of thick 3D periodic structure. We will examine in close proximity to the nanosphere array the light propagation and attenuation in thick resist materials.

P16-03
Optical Lithography

Design of Hierarchical Three-Dimensional Porous Nanostructures using Template-Directed Colloidal Assembly, J. E. Elek, X. A. Zhang, Z. Xu, B. Dai, C.-H. Chang, North Carolina State University

Three-dimensional hierarchical hierarchical porous nanostructures are fabricated using template-directed self-assembly of colloidal particles as a phase mask for optical lithography.  By controlling the microscale template patterns, particle diameter, and incident light wavelength, the lattice parameters of the resulting structures can be designed to meet specific application needs.

P16-04
Optical Lithography

Fabrication of Large-area Nanopatterns by All-fiber Interference Lithography (AFIL) System, Tuo Qu*, Tao Yang, Haixiong Ge, Wen-Di Li*, Nanjing University, *University of Hong Kong

We demonstrate an all-fiber interference lithography system which does not only use fibers to deliver coherent light but also use fiber optical components to split the laser beam. Flexibility and stability of fibers make it easy to adjust the interference grating period and patterning area.

P16-05
Optical Lithography

Photonic integrated spectrometer-on-chip based on digital planar holograms, G. Calafiore*, C. Peroz, aBeam Technologies, S. Dhuey, S. Sassolini, S. Cabrini, The Molecular Foundry, LBNL, Alexander Koshelev, Alexander Goltsov, Pavel Sasorov, Vladimir Yankov

Nano-Optic Devices, Multiple Digital Planar Holograms is assembled to an optical circuitry to attain a high resolution, broadband and compact spectrometer-on-chip. The fabrication process along with details on the device performance will be presented.

P16-06
Optical Lithography

Nanopatterning via selective dissolution of one photoisomer, P. Cantu, T.L. Andrew*, R. Menon, University of Utah, University of Wisconsin-Madison*

We have developed an alternative optical lithographic technique which we call Patterning via Optical Saturable Transitions (POST). It has the potential for massive parallelism, enabling the creation of nanostructures and devices at a speed surpassing what is currently possible with scanning e-beam lithography.

P17-01
Processing

Morphology inducing maskless plasma etching of AlN nanocone arrays with Tip-size dependent photoluminescence properties, W. J. Sun, Z.S.Hu,B.G. Quan, X.X.Xia, C. Z. Gu and J. J. Li, Institute of Physics, CAS

W adopt maskless plasma etching method to fabricate a large-area AlN nanocone arrays on (002) AlN films, and its unique pebble-like morphologies induce greatly the whole selective plasma etching process without any masked process.These AlN nancone arrays exhibited an intense broad ultraviolet emission with a tip-size dependent photoluminescence.

P17-02
Processing

RF sputtering of AlN on 3C-SiC (100) thin film: Parameters affecting the AlN crystal orientation and deposition rate, K. Chaik, A. Iqbal, F. Mohd-Yasin, A. Iacopi, S. Dimitrijev, Griffith University

This paper presents the RF sputtering of an Aluminium Nitride (AlN) piezoelectric thin film on cubic silicon carbide film. The role of nitrogen concentration, deposition temperature and sputtering pressure on the AlN deposition rate and its crystal orientations are discussed. These had never been detailed out by previous works.

P17-03
Processing

Study on the correlation of Hole etch and Byproduct, Seung-ha Park, Tae-Ho Hwang, Sok-Hyung Han, Byung-Sul Ryu, Samsung Electronics(DS).

In present DRAM products, still there are a lot of problems to be solved, such as Hole Bottom unetch, bad CD disperse. In this paper, in order to improve CD disperse and Bottom Etch ability, it will be described the results of studies etching conditions depending on hole size.

P18-01
Resists

Monte Carlo simulation and developing study of resist profile for high aspect ratio Fresnel zone plates, Jianpeng Liu, Jinhai Shao, Sichao Zhang, Yaqi Ma, Juan Li, and Yifang Chen *,  Nanolithography and Application Research Group, State key lab of Asic and System,School of Information Science and Engineering, Fudan University, Yun Zhang, Yan Sun, Shanghai Institute of Technical Physics, Chinese Academy of Sciences, Shanghai 200433, China

We have carried out careful study of developing effect on the resist profile with high aspect ratio. The resultant profiles by simulation under certain developing condition were used to guide our processing study for achieving high aspect ratio zone plates and pillar arrays.

P18-02
Resists

An Investigation of CSAR 62, a New Resist for Electron Beam Lithography, S. Thoms, D. S. Macintyre, University of Glasgow

CSAR 62, manufactured by Allresist GmbH, is a new positive tone electron beam resist designed to have similar performance to ZEP 520A in resolution, speed and etch resistance. In this paper we investigate its performance as compared with ZEP 520A and polymethyl methacrylate (PMMA).

P18-03
Resists

Three-Dimensional Nanofabrication Using HSQ/PMMA Bilayer Resists, H. Do, J. Chang, K. Berggren, Massachusetts Institute of Technology

We present a self-aligned method of fabricating three-dimensional nanostructures using electron beam lithography (EBL) of hydrogen silsesquioxane (HSQ) and poly(methylmethacrylate) (PMMA) bilayer resist stacks. Our approach uses the sensitivity difference between the two resists to generate complex 3D nanostructures.

P18-04
Resists

Roughness mitigation techniques for electron beam lithography, J. Jussot *, E. Pargon **, B. Icard ***,  J. Bustos ****, L. Pain ***, *Université Joseph Fourier, **CNRS - LTM, ***CEA - LETI, ****STMicroelectronics

We propose several strategies including lithographic writing strategies and use of underlayers to reduce resist LWR. We also investigate the effect of post-lithography treatments to mitigate the LWR. Finally, transfer of the reduced resist pattern LWR is evaluated during the subsequent plasma etching processes involved in the gate stack patterning.

P18-05
Resists

LER Measurement in Low Dose CD-SEM Images, T.Verduin, C.W. Hagen and P. Kruit, Delft University of Technology

We ask ourself the question: what is the minimum image dose for which we can still determine the line edge roughness (LER) of resist lines with a sufficient accuracy? In a simulation study it is shown that this dose is surprisingly low, provided that a proper algorith is used.

P18-06
Resists

Correlation of SML and ZEP Resists Lithographic Performance with the Resist Chemistry., A. Gangnaik, Y. M. Georgiev, N. Petkov and J. D. Holmes, Materials Chemistry and Analysis Group, Department of Chemistry and Tyndall National Institute, University College Cork

We shall present a detailed study on a novel electron beam resist, SML and the factors affecting its performance will be discussed. Its lithographic performance is compared to that of the traditional high-resolution ZEP resist.

P18-07
Resists

Acid catalyst transport in chemically amplified resist films, A. Patil, G. Stein, M. Doxastakis, University of Houston

We investigated controlling processes in CA resist films by conducting experiments followed by simulations to reproduce diffusion. Simulations based on anomalous (sub-diffusive) acid transport show near quantitative agreement with experimental data. The parameters and their scaling with temperature are remarkably consistent with other studies of probe diffusion in glass formers.

P18-08
Resists

Benchtop fabrication method on non-planar surface using SAM as e-beam resist, A. Alshammari, C. Con, M. Yavuz, B. Cui, University of Waterloo

FOTS (commonly as anti-adhesion layer for imprint) was studied as resist. After exposure, pattern was first transfer into Al using wet etching, then into substrate using dry etching. As both SAM and Al coating can be applied to arbitrary surface, the process is capable of nanofabrication on non-planar surfaces.

P18-09
Resists

Temperature Programmed and Electron Stimulated Desorption From HafSOx Inorganic Resists, G.S. Herman, R. Oleksak, B. Flynn, Oregon State University College

This presentation will discuss the development of inorganic resists that are based on clusters and nanoparticles. These resists are of considerable interest due to the potential for both high resolution and low line width roughness (LWR). Historically inorganic resists generally suffered from low sensitivity, however approaches have been identified to incorporate radiation sensitive ligands, which improve sensitivity while still maintaining high contras

P19-01
Tip based processing

Apertureless Beam Pen Lithography Based on Fully Metal-coated Polyurethane-acrylate (PUA) Micro-pyramids Array, C. Y. Wu, Y. C. Lee, Department of Mechanical Engineering, National Cheng Kung University

In this work, a 60-nm-thick chromium fully coated PUA pyramidal microstructures array is illuminated by traditional UV lamp source to create massive UV beam pens array and achieve apertureless beam pen lithography. Both experimental evidence and numerical analysis of apertureless beam pen lithography are provided.

P19-02
Tip based processing

Patterned Epitaxial Growth of Ge nanostructures, J. H. G. Owen, J. Ballard, W. Owen, J. N. Randall and J. R. Von Ehr, Zyvex Labs, D. Dick, J.-F. Veyan and Y. J. Chabal University of Texas at Dallas

Having demonstrated the ability to perform epitaxial growth in a localised area on a Si(001):H surface with disilane, using an STM tip to define a pattern and remove H from the disilane, we now show that Ge will grow spontaneously from a 3 ML Ge seed, without requiring further patterning.

P19-03
Tip based processing

Optimizing SiO2 Hard Etch Masks for Atomic Scale Patterning, X.Q. Wang^*, P. Namboodiri*,K. Li^*, L. Chen*, G. Stan*, J. Fu*, R. Silver*, *National Institute of Standards and Technology (NIST), ^University of Maryland-College Park (UMD)

Challenges are present in both silicon oxidation and the etch process for patterns smaller than 10nm. We evaluate 1 to 10nm sized patterns fabricated using SPM lithography of H-passivated silicon surfaces. We present new post-patterning oxidation methods that result in robust oxide layers while preserving the hydrogen lithography mask.

P19-04
Tip based processing

Fabrication, metrology and application of electromagnetically actuated cantilever arrays, T. Gotszalk, Wroclaw University of Technology

We present system for electromagnetic actuation of cantilever arrays. In our system Helmholtz coil pair is applied for generation of homogeneus magnetic field. Additionally every  cantilever have its own current loop giving possibility to control the vibration independently. We calibrated deflection sensitivity of cantilevers and characterized mechanical crosstalk between them.

P20-01
Two-Dimensional Materials

Bessel-Beam Nano-patterning of Graphene, R. Sahin, S. Akturk, E. Simsek*, Istanbul Technical University, *The George Washington University

This experimental work focuses on patterning of graphene at nanoscale without any damage to substrate by using femtosecond laser Bessel beams. Scanning electron microscope images and Raman analyses confirm complete removal of graphene while substrate is kept intact even at ambient conditions.

P20-02
Two-Dimensional Materials

Focused Ion Beam Direct Patterning of Suspended Two-Dimensional Electron Gases, D. Kazazis, E. Bourhis, U. Gennser, J. Gierak, Laboratory for Photonics and Nanostructures, CNRS-LPN, France

We describe the two-dimensional electron gases that have been fabricated on thin membranes and the suspended wires we have sculpted using our high resolution gallium FIB nanowriter. Our approach could not only be valuable for extending the limitations of FIB patterning, but it could also have applications in nanoelectromechanical systems.

P20-03
Two-Dimensional Materials

Computational Study of Electron Irradiation Effects on Vibrational Properties of Carbon Nanotube Cantilevers, K. Tada*, R. Taneda* and M. Yasuda**, Toyama National College of Technology, **Osaka Prefecture University

High energy electron irradiation to carbon nanomaterials is expected to become a technique to tailor the structure with desirable properties.        In the present work, the vibrational properties of electron-irradiated CNTs are studied with the simulation.  The simulations show the capability of mechanical modification of CNTs by electron irradiation.

P20-04
Two-Dimensional Materials

Contacting and structuring graphene by helium ion beam processing, Gaurav Nanda, P.F.A. Alkemade, Shou-En Zhu*, G.C.A.M. Janssen*, Kavli Institute of Nanoscience, TU Delft, *Department of Precision and Microsystems Engineering, TU Delft

In our work, CVD-grown graphene on Al2O3/Si substrate is contacted by HeIBID combined with atomic layer deposition (ALD). The contacted graphene is then structured by helium ion beam milling at the desired area. In this exploration, lattice damage due to the ion-beam interactions and contact resistance are being studied.

P20-05
Two-Dimensional Materials

Transfer-Free Wafer-Scale Growth of Graphene on Thin-Film Copper, M.A. Cullinan, G. Cheng*, B. Sperling*, A.R. Hight Walker*, A. Davydov*, J.J. Gorman*, University of Texas at Austin, *National Institute of Standards and Technology

An extensive study on CVD growth of graphene on thin-film Cu is presented with an emphasis on the resulting graphene quality as a function of the growth temperature, the Cu film thickness, and the thickness of the Ni adhesion layer between the Cu layer and the silicon dioxide substrate.

P20-06
Two-Dimensional Materials

Source gas distribution measurement in focused-ion-beam chemical vapor deposition using graphene sensing device, S. Warisawa*, S. Chen**, T. Ono***, I. Yamada*, S. Ishihara**, R. Kometani**, *Graduate School of Frontier Sciences, The University of Tokyo, **School of Engineering, The University of Tokyo, ***School of Engineering, Tohoku University

We fabricated a single-layer graphene sensing device with high sensitivity and spatial resolution. We measured local phenanthrene gas distribution around a gas nozzle in 200 μm square in FIB-CVD. We also measured a carbon deposition ratio distribution to investigate a relationship between the gas concentration and deposition rate.

P20-07
Two-Dimensional Materials

High Electron Mobility Few Layer MoS2 Grown by Chemical Vapor Deposition, Xinhang Luo, Haidong Zhang, Masihhur R. Laskar, Lu Ma, Santhakumar Kannappan**, Yiying Wu, Siddharth Rajan, and Wu Lu, * Gwangju Institute of Science and Technology

We report few layer MoS2 thin films grown by chemical vapor deposition with an electron mobility of 118 cm2/Vs, extracted by a space charge limited electron transport model. TEM and Raman analysis suggest that the mobility variation is attributed to the structural changes at different areas.

EIPBN Abstracts