EIPBN

EIPBN 2008 Authors Index


A | B | C | D | E | F | G | H | I | J | K | L | M | N | O | P | Q | R | S | T | U | V | W | X | Y | Z
Name Abstract

A

Abe, H. Contrast Reversal Effect In SEM Due To Charging
Abe, Kazuki Hydrogen Radical Cleaning Of Carbon Contamination On EUV Mask
Abramson, Justin Fabrication Of Nanoscale Bioarrays For The Study Of Cytoskeletal Protein Binding Interactions Using Nano-Imprint Lithography
Adam, Konstantinos Pattern Specific Optical Models
Adesida, Ilesanmi The Effects Of Molecular Weight On Resist Evaluation Parameters In Poly(Methylmethacrylate) Developed At Sub-Zero Temperatures
Afzali, Ali (Invited) Chemical Functionalization For The Selective Placement Of Single-Walled Carbon Nanotubes
Ahn, Minseung Fabrication Of 200 Nm Period Blazed Transmission Gratings On Silicon-On-Insulator Wafers
Akasaka, Satoshi Integration Of Electron Beam Lithography With Block Copolymer Self-Assembly For 10nm Scale Nanofabrication
Akhadov, E. A. Design And Fabrication Of Vertical Nanowire Device Arrays
Aktary, M. High Density Nanostructure Fabrication by Electron Beam Lithography, Zs. Szabo
Albrecht, Tom Patterned Magnetic Recording Media
Aldana, Rafael The Prospects Of Free Electron Analog To Digital Technology
Alducin, Juan Losses Compensation In Metallic Nanocomposite Polymer By Optical Gain: Application To Nanoimprinted Microcavities
Alkaisi, Maan UV Curable Nanoimprint Lithography For Replicating Three Dimensional Structures
Alpha, Christopher Chip-Based Microfabricated Electrospinning Nozzles
Alvaro, Virginie Maffini Hybrid High Resolution Lithography (E-Beam/DUV) And Etch Process For Achieving Stacked Nanowire MOSFETs
Alvine, Kyle Polyhedral Oligomeric Silsesquioxane (POSS) Functional Patterns Directly Fabricated By Nanoimprint Lithography
Amatya, Reja Fabrication Strategies For Filter Banks Based On Microring Resonators
Anazawa, Toshihisa Hydrogen Radical Cleaning Of Carbon Contamination On EUV Mask
Ancona, Mario G. (Invited) Electron-Transparent Cantilevers For TEM Visualization Of Nanodevices
Anderson, Christopher Latest Results From The SEMATECH Berkeley Extreme Ultraviolet Microfield Exposure Tool
Impact Of Base And PAG Loading On Intrinsic Resolution In EUV Resists
Anderson, Erik Actinic Euv Mask Inspection Beyond 0.25 NA
50 Nm Resolution Extreme Ultraviolet Imaging With A Desktop-Size Laser
Ultra Compact Interferometric Lithography System Realized With A Desk-Top Extreme Ultraviolet Laser
Ando, Manabu Low Energy Ion Beam Machining Of Si Layer Deposited On An ULE Substrate For EUVL Optics - Evaluation Of Surface Roughness
Anikeeva, Polina Templated Self-Assembly Of Sub-10nm Quantum Dots
Aoki, Nobutada Development Of The Compact Low-Energy Soft X-Ray CT Equipment For The Soft Material Structural Analysis
Arai, Yoshihiro (Invited) Electron Beam Projection Nanopatterning Using Crystal Lattice Images Obtained From High Resolution Transmission Electron Microscopy
Aramaki, Fumio Application Of Vector Scanning In FIB Photomask Repair System
Image Quality Improvement In Fib Photomask Repair System
Arcamone, Julien Dynamic Stencil Lithography On Full Wafer Scale
Argitis, Panagiotis Dissolution Optimization Of Highly Etch-Resistant, Anthracene-Based Molecular Resists
Argyrakis, Petros Plastic Deformation Magnetic Assembly Of Out Of Plane Structures Using Hydrofluoric Acid Vapour Release
Artioukov, Igor 50 Nm Resolution Extreme Ultraviolet Imaging With A Desktop-Size Laser
Aryal, Mukti Imprinted Large-Scale High Density Polymer Nanopillars For Various Applications
Stability Of HSQ Nano-Lines Defined By E-Beam Lithography
Ashkenasy, N. Formation Of Nano Holes By An Electron Beam- Induced Etching Process
Astolfi, David Contributions Of Resist Polymers To Innate Material Roughness
Attwood, David 50 Nm Resolution Extreme Ultraviolet Imaging With A Desktop-Size Laser
Auth, Nicole (Invited) Applications Of Focused Electron Beam Processing
Ay, Feridun Reducing Optical Losses In Focused-Ion-Beam Etched Silicon
Azpiroz, Jaione Tirapu (Invited) The Role Of Computational Modeling In Semiconductor Lithography:Progressing Towards The Virtual Fab

B

Babin, S. Contrast Reversal Effect In SEM Due To Charging
Modeling Of Charge And Discharge In Scanning Electron Microscopy
Baets, Roel Reducing Optical Losses In Focused-Ion-Beam Etched Silicon
Focused-Ion-Beam Lithography For Prototyping Of Silicon Photonic Components
Bahm, A. S. Range Of Validity Of Field Emission Equations
Bai, Shufeng Fabrication Of Wafer-Scale Nano-Split-Ring Metamaterials By Nanoimprint Without Direct-Write In Mold Making
Baldi, Antoni Impedimetric Biosensing With Interdigitated Arrays Of Nano Electrodes Fabricated By Nanoimprint Lithography
Banine, Vadim Extreme Ultraviolet Lithography: Status And Prospects
Bao, Tianming Enabling Nanometrology For High Aspect Ratio Structures With Carbon Nanotube AFM Probes
Baranov, Leonid A Modeling Approach For Shot Noise Effect On Feature Roughness
Barbastathis, George Carbon Nanotube-Based Magnetic Actuation Of Origami Membranes
Barwicz, Tymon (Invited) Challenges In Nanofabrication Of Strong-Confinement Photonic Devices
Basnar, B. Optimum Dose Distribution For Argon Ion Multi-Beam Sputtering Of Microlens Array Templates
Baudemprez, Bart Experimental Validation Of Full-Field Extreme Ultraviolet Lithography Flare And Shadowing Corrections
Bawendi, Moungi Templated Self-Assembly Of Sub-10nm Quantum Dots
Bazin, Arnaud Impact Of Plasma Etching Processes On 193 nm Photoresist: Etch Resistance And Line Width Roughness
Bean, Jeffrey Thermal Infrared Detection Using Antenna-Coupled Metal-Oxide-Metal Diode Detectors
Belau, Leonid The Effects Of Wet And Dry Cleaning Methods On The Chemical Composition And Morphology Of The Ru Capping Layer Of The Extreme Ultraviolet (EUV) Mask Blanks
Bellan, Leon Chip-Based Microfabricated Electrospinning Nozzles
Benschop, Jos Extreme Ultraviolet Lithography: Status And Prospects
Berger, Ruediger Guided Phase Separation Of Polymer Blends For Patterns Varying From Micron To Nanometer Length Scales
Berggren, K. K Sub-15 Nm Half-Pitch Nanoimprint Molds Using High Resolution Negative Tone Resist And Reactive Ion Etching
Berggren, Karl Optimum Exposure Parameters For High-Resolution Scanning Electron Beam Lithography
Hydrogen Silsesquioxane Nano-Posts As Decoys For Guiding The Self-Assembly Of Block Copolymers
Templated Self-Assembly Of Sub-10nm Quantum Dots
Berghe, Gerard ten (Invited) MAPPER: High Throughput Maskless Lithography
Bernstein, Gary Thermal Infrared Detection Using Antenna-Coupled Metal-Oxide-Metal Diode Detectors
Bertagnolli, Emmerich Effect of Microstructures on Growth of Human Epithelial Layers
Effect Of Gaseous Additives On Electron Beam Induced Deposition
Berton, Kevin Capillary Forces Assembly In Microfluidic Cell: Faster Assembly By Accelerating The Particles Convective Flux And Integration Into Complex Pattern
Biasis, Nicolas Fabrication Of Elastometer Pillar Arrays With Modulated Stiffness For Cellular Force Measurements
Bita, Ion Hydrogen Silsesquioxane Nano-Posts As Decoys For Guiding The Self-Assembly Of Block Copolymers
Blaikie, Richard (Invited) Silver Superlenses For Near-Field Optical Nanolithography
UV Curable Nanoimprint Lithography For Replicating Three Dimensional Structures
Bogdanski, Nicolas Contact Angles In A Thermal Imprint Process
Quality Assessment Of Anti-Sticking Layers For T-NIL
Bokor, Jeff Patterned Epitaxial Nanomagnets For Novel Logic Devices
(Invited) DNA Directed Assembly Of Nanoparticles Linear Structure For Nanophotonics
Bokor, Jeffrey Capacitive Characterization Of The Schottky Contact Between Metal And Semiconducting Carbon Nanotube
Spin-Dependent Scattering Off Neutral Donors In Silicon Field-Effect Transistors
Bollepalli, Srinivas (Invited) Advanced Imaging Via Pixelated Phase Masks And Inverse Lithography
Effect Of Microstructure On Deprotection Kinetics In Photoresist
Boolchand, Punit Relief And Trench Formation On Chalcogenide Thin-Films Using Electron Beams
Borisov, S. Contrast Reversal Effect In SEM Due To Charging
Modeling Of Charge And Discharge In Scanning Electron Microscopy
Borodovsky, Yan (Invited) Advanced Imaging Via Pixelated Phase Masks And Inverse Lithography
Borrisé, Xavier Impedimetric Biosensing With Interdigitated Arrays Of Nano Electrodes Fabricated By Nanoimprint Lithography
Botman, Aurelien Focused Electron-Beam-Induced Deposition Of Platinum At Very Low Landing Energies
Investigation Of Morphological Changes In Platinum Nano-Structures Created By Focused Electron-Beam-Induced Deposition
Bourov, Anatoly Aluminum Oxides As Metamaterials For Enhancement Of 193nm Lithography
Boussey, Jumana  Epoxy Silsesquioxane Resists For UV Nanoimprint Lithography
Comparison Of Monomer And Polymer Resists In Thermal Nanoimprint Lithography
Bozano, Luisa Self-Assembly Of DNA Origami Nanostructures On Lithographically Patterned Surfaces
Brainard, Robert Impact Of Base And PAG Loading On Intrinsic Resolution In EUV Resists
Bratkovski, Alexandre Tunable Optical Gain For Negative Index Materials By Integration Of Near-Infrared Emitting Nanocrystals
Bratkovsky, Alexander Optical Negative Index Meta-Materials At 1.55 µm Wavelength And Geometry Dependency Studies
Braun, Paul Inorganic Photoresist Materials For Direct Fabrication Of 3D Photonic Crystals Using Phase Mask Lithography
Brewer, Courtney 50 Nm Resolution Extreme Ultraviolet Imaging With A Desktop-Size Laser
Brianceau, Pierre Improvement Of High Resolution Lithography Capabilities By Using Amorphous Carbon Hard Masks
Hybrid High Resolution Lithography (E-Beam/DUV) And Etch Process For Achieving Stacked Nanowire MOSFETs
Bristol, Robert Impact Of Trench Width Roughness On The Directed Self-Assembly Of Block Copolymers On Topographic Substrates
Brizuela, Fernando 50 Nm Resolution Extreme Ultraviolet Imaging With A Desktop-Size Laser
Bronsgeest, Merijn Effect Of The Electric Field On The Decay Of A Schottky Electron Emitter Tip End: A Step Flow Model
Brooks, Cynthia Patterned Media Using Step And Flash Imprint Lithography
Brown, Devin Direct Patterning Of Plasma Enhanced Chemical Deposition Silicon Dioxide By Electron Beam Lithography
Brueck, Steven Fabrication Of Porous Nanochannels Using Nanoparticles And Application To The Transport Of DNA Molecules
Bruenger, Wilhelm Guided Phase Separation Of Polymer Blends For Patterns Varying From Micron To Nanometer Length Scales
Brugger, Juergen Dynamic Stencil Lithography On Full Wafer Scale
Budach, Michael (Invited) Applications Of Focused Electron Beam Processing
Bulovic, Vladimir (Invited) Nanostructured Optoelectronics
Templated Self-Assembly Of Sub-10nm Quantum Dots
Bunk, Oliver In Situ Characterization Of Block Copolymer Ordering On Chemically Nanopatterned Surfaces By Time-Resolved SAXS
Burghard, Marko (Invited) Catalyst Patterning For Carbon Nanotube Growth On Elevating Posts By Self-Aligned Double-Layer Electron Beam Lithography
Burr, Geoffrey W. (Invited) Storage Class Memory
Butschke, J. Optimum Dose Distribution For Argon Ion Multi-Beam Sputtering Of Microlens Array Templates
Buyukserin, Fatih Imprinted Large-Scale High Density Polymer Nanopillars For Various Applications

C

Cabrini, Stefano An Alternative Electron Beam Exposure Mechanism For Hydrogen Silsesquioxane – A Raman And FTIR Study
Quasi-Zero Average Refractive Index Photonic Crystals Metamaterials Collimating Infrared Light Over Large Scale
(Invited) DNA Directed Assembly Of Nanoparticles Linear Structure For Nanophotonics
Cahill, David Inorganic Photoresist Materials For Direct Fabrication Of 3D Photonic Crystals Using Phase Mask Lithography
Cann, Susan Contributions Of Resist Polymers To Innate Material Roughness
Cao, Heidi Impact Of Trench Width Roughness On The Directed Self-Assembly Of Block Copolymers On Topographic Substrates
Cardozo, B. L. Fabrication Of Organic Light Emitting Diode Arrays By Reversal Imprint Lithography
Control of DNA Motion in Microchannels Integrated with Dual Electrodes
Carlton, David Patterned Epitaxial Nanomagnets For Novel Logic Devices
Castaldo, Vincenzo Sputtering Limits Versus Signal To Noise Limits In The Observation Of Sn Balls In A Ga Ions Microscope
Ceperley, Daniel Quantitatively Engineering Surface Plasmon Coupling Through Computer Simulation
Cerrina, Franco 40 Nm Pitch Extreme Ultraviolet Interferometric Lithography
Cha, Jennifer Self-Assembly Of DNA Origami Nanostructures On Lithographically Patterned Surfaces
Chandhok, Manish Improvement In Line Width Roughness (LWR) By Post-Processing
Chang, Allan Quasi-Zero Average Refractive Index Photonic Crystals Metamaterials Collimating Infrared Light Over Large Scale
Chang, Chih-Hao Spatial-Frequency Multiplication With Multilayer Interference Lithography
Chang, Chih-hung Biofunctionalization For Enhanced Photoluminescence Of Nanopatterned Silica From The Diatom Cyclotella Sp.
Chang, S.H. Diffusers With Both Surface-Relief And Particle-Diffusing Functions Fabricated Using Hybrid Extrusion Roller Embossing
Chang, Wen-Huei 3D Mask Topographic Effects In EUV Lithography
Chao, Liang-Chiun ZnO Nanowires Grown On Cone-Shaped Zinc Nanostructures By Thermal Oxidation
Chao, Weilun 50 Nm Resolution Extreme Ultraviolet Imaging With A Desktop-Size Laser
Chaturvedi, Pratik λ/12 Sub-wavelength Optical Lithography With A Smooth Superlens
Chau, K. (Invited) Nanophotonics Lab-On-A-Chip Sculpted By Focused-Ion-Beam Milling: Direct Characterization Of Negative-Index Metamaterials Operating In The Visible
Chen, Charlie Chung-ping Abbe-SVD: Compact Abbe’s Kernel Generation For Microlithography Aerial Image Simulation Using Singular- Value Decomposition Method
Chen, Chun-Chi Protein-Induced Electrical Variation On Gold-Silicide Embedded Nanowires
Hybridization Sensing By Electrical Enhancement With Nanoparticles In Nano-Gap
Chen, H. L. Using Colloidal Lithography To Fabricate Semitransparent Metal Anodes In Organic Solar Cells
Using Reversal Imprint Lithography To Pattern Textured Metal Fims For Surface Plasmonic Device Applications
Chen, Hsuen-Li 3D Mask Topographic Effects In EUV Lithography
Chen, S. H. Using Reversal Imprint Lithography To Pattern Textured Metal Fims For Surface Plasmonic Device Applications
Chen, T. H. Using Reversal Imprint Lithography To Pattern Textured Metal Fims For Surface Plasmonic Device Applications
Chen, Yifang Electron Beam Lithography For Distributed Bragg Reflectors In SU-8
Cheng, Joy Polymer Self-Assembly For Nanopatterning
Cheng, Mosong Superfocusing Of Light Using A Metallic/Dielectric Nano-Optic Lens
Focusing Of Plasmonic Micro Zone Plate-Based Metallic Structures Covered By A Dielectric Layer
Cheng, Sulin Interdigitated Electrode Structures For Osteoblast Growth Studies
Cheng, Xing (Invited) Stability Of Self-Assembled Monolayer Surfactant Coating In Thermal Nanoimprint
Improving Organic Thin-Film Transistor Performance By Nanoimprint-Induced Chain Ordering
Microfluidic MDM Structure As A Tunable Optical Filter
Optical Antennas: A Boost For Infrared Detection
Solvent-Etching And Dewetting Techniques For Residual Layer Removal In Thermal Nanoimprint
Cheng, Yang-Chun (Jeff) 40 Nm Pitch Extreme Ultraviolet Interferometric Lithography
Cheung, Rebecca Electrothermal Actuation Studies On Silicon Carbide Resonators
Plastic Deformation Magnetic Assembly Of Out Of Plane Structures Using Hydrofluoric Acid Vapour Release
Chiang, Jerry Abbe-SVD: Compact Abbe’s Kernel Generation For Microlithography Aerial Image Simulation Using Singular- Value Decomposition Method
Chiu, Jerrin Latest Results From The SEMATECH Berkeley Extreme Ultraviolet Microfield Exposure Tool
Chiu, T-W. Protein-Induced Electrical Variation On Gold-Silicide Embedded Nanowires
Cho, Han Ku Resist Charging Effect In Photomask: Its Impact On Pattern Placement Error And Critical Dimension
Cho, Han-Ku Effects Of Mask Absorber Structures On The EUV Lithography
Coherent Euv Scattering Microscopy
Choi, Jin Resist Charging Effect In Photomask: Its Impact On Pattern Placement Error And Critical Dimension
Choi, Kai (Invited) The European “MAGIC” Initiative On Massively Parallel Electron Beam Lithography - Resist Technology Status
Choi, Kang-Hoon Detailed Characterization Of HSQ For E-Beam Application In DRAM Pilot Line Environment
Choi, S. G. Design And Fabrication Of Vertical Nanowire Device Arrays
Choi, Sookyung The Effects Of Molecular Weight On Resist Evaluation Parameters In Poly(Methylmethacrylate) Developed At Sub-Zero Temperatures
Chou, Stephen Fabrication Of Wafer-Scale Nano-Split-Ring Metamaterials By Nanoimprint Without Direct-Write In Mold Making
Graphene Transistors Fabricated Via Transfer-Printing In Device Active-Areas On Large Wafer
(Invited) Quantized-Patterning Using Nanoimprinted-Blanks
Buried Silicon Dioxide Nanochannels Fabricated By Nanoimprint, Etching And Self-Sealing, Self-Limited Thermal Oxidation
Fabrication And Measurement Of Solar-Blind Aluminum Nano-Grid UV Filters By Nanoimprint Lithography And Edge Patterning
A Novel Method For Fabricating Sub-16 Nm Footprint T-Gate Nanoimprint Molds
Chouiki, Mustapha  Epoxy Silsesquioxane Resists For UV Nanoimprint Lithography
Chow, Edmond Efficient Nanoscale Pattern Transfer Process For Porous Silicon
Chu, Tieh-Chi Hybridization Sensing By Electrical Enhancement With Nanoparticles In Nano-Gap
Chuang, S. Y. Using Colloidal Lithography To Fabricate Semitransparent Metal Anodes In Organic Solar Cells
Using Reversal Imprint Lithography To Pattern Textured Metal Fims For Surface Plasmonic Device Applications
Chuang, V.P. (Invited) Si-Containing Block Copolymers For Self-Assembled Nanolithography Applications
Chun, Ik-Su Efficient Nanoscale Pattern Transfer Process For Porous Silicon
Ciou, J.R. Diffusers With Both Surface-Relief And Particle-Diffusing Functions Fabricated Using Hybrid Extrusion Roller Embossing
Ciou, Tzu-Chien Large-Area Microlens Arrays Fabricated By Integrated Gas-Assisted UV-Curing Embossing With UV-LED Array Lamp
Comboroure, Corinne Improvement Of High Resolution Lithography Capabilities By Using Amorphous Carbon Hard Masks
Hybrid High Resolution Lithography (E-Beam/DUV) And Etch Process For Achieving Stacked Nanowire MOSFETs
Cord, Bryan Optimum Exposure Parameters For High-Resolution Scanning Electron Beam Lithography
Templated Self-Assembly Of Sub-10nm Quantum Dots
Corso, Tom Chip-Based Microfabricated Electrospinning Nozzles
Couladouros, Elias Dissolution Optimization Of Highly Etch-Resistant, Anthracene-Based Molecular Resists
Coyne , Edward Superzone Blazed Phase Solid Immersion Diffractive Optics For Enhanced Near-Infrared Scanning Laser Microscopy
Craighead, Harold Chip-Based Microfabricated Electrospinning Nozzles
Optical Excitation Of In-Plane Modes Of Nanoelectromechanical Oscillators For Selective Detachment Of Microspheres
Craver, Barry An Atom Beam Lithography Tool For Fabricating Dense Nanostructure Arrays
Crnogorac, Filip Low Temperature Limits For Nano-Graphoepitaxy Of Semiconductors
Preferential Orientation Effects In Partial Melt Laser Crystallization Of Silicon
Crozier, P.A. (Invited) 1 nm Patterning
Cui, Dehu Improving Organic Thin-Film Transistor Performance By Nanoimprint-Induced Chain Ordering
Cunge, Gilles Impact Of Plasma Etching Processes On 193 nm Photoresist: Etch Resistance And Line Width Roughness
Curri, Lucia Losses Compensation In Metallic Nanocomposite Polymer By Optical Gain: Application To Nanoimprinted Microcavities

D

Dahlem, Marcus (Invited) Challenges In Nanofabrication Of Strong-Confinement Photonic Devices
Fabrication Strategies For Filter Banks Based On Microring Resonators
Dai, Ding X-Ray Diffraction Microscopy: Reconstruction With Partial Magnitude And Spatial A Priori Information
Dalby, M. (Invited) Controlling Cell Behaviour Using Nanopatterned Surfaces
Dardano, Principia Quasi-Zero Average Refractive Index Photonic Crystals Metamaterials Collimating Infrared Light Over Large Scale
David, Christian Fabrication Of Fresnel Zone Plates By Holography In The Extreme Ultraviolet Region
Davis, Ronald W. Impedance Modulation In Coaxial Nanoneedle Biosensor
Multicriteria Optimization In Sensor Design And Fabrication For DNA Thermosequencing Platform
de Boer, Guido (Invited) MAPPER: High Throughput Maskless Lithography
De Girolamo, Julia  Epoxy Silsesquioxane Resists For UV Nanoimprint Lithography
De La Rue, Richard Optical Characterisation Of An HSQ Lithography Process
de Pablo, Juan In Situ Characterization Of Block Copolymer Ordering On Chemically Nanopatterned Surfaces By Time-Resolved SAXS
De Ridder, Rene. M. Reducing Optical Losses In Focused-Ion-Beam Etched Silicon
de Winter, Matthijs Focused Electron-Beam-Induced Deposition Of Platinum At Very Low Landing Energies
Dean, Kim Latest Results From The SEMATECH Berkeley Extreme Ultraviolet Microfield Exposure Tool
Impact Of Base And PAG Loading On Intrinsic Resolution In EUV Resists
Denham, Paul Latest Results From The SEMATECH Berkeley Extreme Ultraviolet Microfield Exposure Tool
DeRose, Guy Diffractive Optical Element Fabrication By Electron Beam Lithography
Dew, S.K. High Density Nanostructure Fabrication by Electron Beam Lithography, Zs. Szabo
Dhawan, Anuj FIB Fabrication Of Metallic Nanostructures On End-Faces Of Cleaved Optical Fibers For Chemical Sensing Applications
Dhuey, Scott Quasi-Zero Average Refractive Index Photonic Crystals Metamaterials Collimating Infrared Light Over Large Scale
Diebold, Ulrike Model Studies Of The Photocatalytic Removal Of Carbon From Titanium Dioxide
Dietzel, Andreas Guided Phase Separation Of Polymer Blends For Patterns Varying From Micron To Nanometer Length Scales
Ding, Baoquan (Invited) DNA Directed Assembly Of Nanoparticles Linear Structure For Nanophotonics
Ding, Yifu Continuous Patterns With Height Gradients By Nanoimprint Lithography And Thermal Gradient Annealing
Polyhedral Oligomeric Silsesquioxane (POSS) Functional Patterns Directly Fabricated By Nanoimprint Lithography
Dionne, J. (Invited) Nanophotonics Lab-On-A-Chip Sculpted By Focused-Ion-Beam Milling: Direct Characterization Of Negative-Index Metamaterials Operating In The Visible
Dobberstein, Harald (Invited) Applications Of Focused Electron Beam Processing
Dobisz, Elizabeth Integration Of Electron Beam Lithography With Block Copolymer Self-Assembly For 10nm Scale Nanofabrication
Dobisz, Elizabeth 65mm Disk Patterning At 500 Gb/In2 With Full Surface Area Coverage From Diblock Copolymer Templates
Doi, Toshio Application Of Vector Scanning In FIB Photomask Repair System
Image Quality Improvement In Fib Photomask Repair System
Dokania, Anand Transformation Of Polycrystalline Tungsten To Monocrystalline Tungsten W(100) And Its Potential Application In Schottky Emitters
Doktycz, Mitchel Development Of Nanoporous Picoliter Reaction Vessels For The Characterization Of Biochemical Systems
Downes, Ian X-Ray Diffraction Microscopy: Reconstruction With Partial Magnitude And Spatial A Priori Information
Doyle, Hugh Losses Compensation In Metallic Nanocomposite Polymer By Optical Gain: Application To Nanoimprinted Microcavities
Dresden, Qimonda Detailed Characterization Of HSQ For E-Beam Application In DRAM Pilot Line Environment
Dresden, TU Detailed Characterization Of HSQ For E-Beam Application In DRAM Pilot Line Environment
Drezner, Yariv E-Beam Nano-Machining For Circuit Edit: An Invasiveness Study
Dror, Raphi Inorganic Photoresist Materials For Direct Fabrication Of 3D Photonic Crystals Using Phase Mask Lithography
Drygiannakis, Dimitrios Dissolution Optimization Of Highly Etch-Resistant, Anthracene-Based Molecular Resists
Dupre, Cecilia Hybrid High Resolution Lithography (E-Beam/DUV) And Etch Process For Achieving Stacked Nanowire MOSFETs

E

Ebm, Christof Optimum Dose Distribution For Argon Ion Multi-Beam Sputtering Of Microlens Array Templates
Economou, Nicholas (Invited) Elemental Analysis With The Helium Ion Microscope
Eder-Kapl, Stefan Optimum Dose Distribution For Argon Ion Multi-Beam Sputtering Of Microlens Array Templates
Edinger, Klaus (Invited) Applications Of Focused Electron Beam Processing
Efremov, Mikhail 40 Nm Pitch Extreme Ultraviolet Interferometric Lithography
Elata, David Mask-Less Wet Etching Using Laser Induced Local Heating
Emley, Nathan Patterned Epitaxial Nanomagnets For Novel Logic Devices
Ercole, Mario Enabling Nanometrology For High Aspect Ratio Structures With Carbon Nanotube AFM Probes
Ernst, Thomas Hybrid High Resolution Lithography (E-Beam/DUV) And Etch Process For Achieving Stacked Nanowire MOSFETs
Ersani, Charles Rettner,  M. Self-Assembly Of DNA Origami Nanostructures On Lithographically Patterned Surfaces
Esfandyarpour, Hesaam Impedance Modulation In Coaxial Nanoneedle Biosensor
Multicriteria Optimization In Sensor Design And Fabrication For DNA Thermosequencing Platform
Estroff, Andrew Aluminum Oxides As Metamaterials For Enhancement Of 193nm Lithography
Estroff, Andrew Photomask Image Enhancement Using Grating Generated Surface Waves

F

Fang, Nicholas λ/12 Sub-wavelength Optical Lithography With A Smooth Superlens
Farrar, Nigel Improving Lithography Pattern Fidelity And Line-Edge Roughness By Reducing Laser Speckle
Farrow, Reginald Self-Assembly Of Individual Vertically Aligned Carbon Nanotubes
Fay, Patrick Thermal Infrared Detection Using Antenna-Coupled Metal-Oxide-Metal Diode Detectors
Faynot, Olivier Improvement Of High Resolution Lithography Capabilities By Using Amorphous Carbon Hard Masks
Fazio, Teresa (Invited) Nanoscale “Curtain Rods” For The Study Of Protein-DNA Interactions
Fedynyshyn, Theodore Contributions Of Resist Polymers To Innate Material Roughness
Fernandez-Cuesta, Irene Impedimetric Biosensing With Interdigitated Arrays Of Nano Electrodes Fabricated By Nanoimprint Lithography
Fernández-Sánchez, César Impedimetric Biosensing With Interdigitated Arrays Of Nano Electrodes Fabricated By Nanoimprint Lithography
Fetter, Linus Self-Assembly Of Individual Vertically Aligned Carbon Nanotubes
Fink, Marion Design Of Polymers For Thermal Nanoimprint Lithography Based On Rheological Investigations
Fleischer, Monika (Invited) Catalyst Patterning For Carbon Nanotube Growth On Elevating Posts By Self-Aligned Double-Layer Electron Beam Lithography
Fokkema, Emile Brightness Measurements Of A Gallium Liquid Metal Ion Source
Foos, Edward E. (Invited) Electron-Transparent Cantilevers For TEM Visualization Of Nanodevices
Fornof, Ann Self-Assembly Of DNA Origami Nanostructures On Lithographically Patterned Surfaces
Fotowat, Haleh A Multi-Electrode Cuff For Neuronal Sensing In The Locust
Fowlkes, Jason Development Of Nanoporous Picoliter Reaction Vessels For The Characterization Of Biochemical Systems
(Invited) Maskless Nanolithography Approaches Utilizing Electron-Beam-Induced Deposition
Mass–Transport And Reaction-Rate Limited Growth Modes During Electron–Beam Induced Deposition
Frankel, Robert Aluminum Oxides As Metamaterials For Enhancement Of 193nm Lithography
Frasure, Kent Improvement In Line Width Roughness (LWR) By Post-Processing
Frendberg, Eric (Invited) Advanced Imaging Via Pixelated Phase Masks And Inverse Lithography
Friedli, Vinzenz Optimization Of Nozzle-Based Gas Injection Systems For Focused Electron- And Ion- Beam Induced Processing
Stiffness, Density And Quality Of High Aspect Ratio Cu/C Nanostructures Produced By Focused Electron-Beam Induced Deposition
Frommer, Jane Self-Assembly Of DNA Origami Nanostructures On Lithographically Patterned Surfaces
Fryer, David Effect Of Microstructure On Deprotection Kinetics In Photoresist
Fu, Peng-Fei Structure-Property Relationship Of Photocurable Silsesquioxane Resists For Nanoimprint Lithography
Fu, Tsu-Yi Gas Field Ion Source From An Ir/W<111> Single-Atom Tip
Fu, Zengli Graphene Transistors Fabricated Via Transfer-Printing In Device Active-Areas On Large Wafer
Fujii, Toshiaki (Invited) Transmission Electron Microscopy Sample Preparation Employing A "Triple" Beam Instrument
Fujita, Jun-ichi Multilevel Visualization Of The Local Electric Field At A Sharp Probe Apex By Scanning Electron Microscopy

G

Gabbiani, Fabrizio A Multi-Electrode Cuff For Neuronal Sensing In The Locust
Gabor, Nathaniel (Invited) Speed and Spin-Orbit: New Results in Carbon Nanotubes
Gadegaard, Nicolaj (Invited) Controlling Cell Behaviour Using Nanopatterned Surfaces
Gaeta, Alexander (Invited) Speed and Spin-Orbit: New Results in Carbon Nanotubes
Gale, Debra Biofunctionalization For Enhanced Photoluminescence Of Nanopatterned Silica From The Diatom Cyclotella Sp.
Gallatin, Gregg Impact Of Base And PAG Loading On Intrinsic Resolution In EUV Resists
Gamble, Thomas Fabrication Of Porous Nanochannels Using Nanoparticles And Application To The Transport Of DNA Molecules
Gan, Fuwan (Invited) Challenges In Nanofabrication Of Strong-Confinement Photonic Devices
Gao, Jinming Imprinted Large-Scale High Density Polymer Nanopillars For Various Applications
García, Jesús Impedimetric Biosensing With Interdigitated Arrays Of Nano Electrodes Fabricated By Nanoimprint Lithography
Gautam, D.R. Dissolution Optimization Of Highly Etch-Resistant, Anthracene-Based Molecular Resists
Gauzner, Gene Patterned Media Using Step And Flash Imprint Lithography
Challenges In 1 Teradot/In.2 Dot Nanoimprint Lithography For Bit-Patterned Media
Geiss, Reinhard Fabrication Of Large-Area Negative-Index Metamaterial By Electron-Beam-Lithography
George, Matthew Inorganic Photoresist Materials For Direct Fabrication Of 3D Photonic Crystals Using Phase Mask Lithography
Gerhold, Michael FIB Fabrication Of Metallic Nanostructures On End-Faces Of Cleaved Optical Fibers For Chemical Sensing Applications
Ghassemi, Saba Fabrication Of Elastometer Pillar Arrays With Modulated Stiffness For Cellular Force Measurements
Ghatnekar-Nilsson, Sara Nanoelectromechanical Mass Sensor Fabricated By Nanoimprint Lithography
Gil, Dario (Invited) The Role Of Computational Modeling In Semiconductor Lithography:Progressing Towards The Virtual Fab
Gleeson, James FIB Fabrication Of Metallic Nanostructures On End-Faces Of Cleaved Optical Fibers For Chemical Sensing Applications
Gnan, Marco Optical Characterisation Of An HSQ Lithography Process
Goethals, Mieke Experimental Validation Of Full-Field Extreme Ultraviolet Lithography Flare And Shadowing Corrections
Gogolides, Evangelos (Invited) High-Aspect-Ratio Plasma-Induced Nanotexturing Of Polymers (PDMS PMMA, PEEK, ...) For Protein Adsorption Applications
Dissolution Optimization Of Highly Etch-Resistant, Anthracene-Based Molecular Resists
Goldberg, Kenneth Latest Results From The SEMATECH Berkeley Extreme Ultraviolet Microfield Exposure Tool
Actinic Euv Mask Inspection Beyond 0.25 NA
Goldner, A. Formation Of Nano Holes By An Electron Beam- Induced Etching Process
Gonsalves, Kenneth Understanding The Effects Of Photoacid Distribution Homogeneity And Diffusivity On Lithography Performance In Polymer-Bound PAG Photoresists
Goodman, Russell Contributions Of Resist Polymers To Innate Material Roughness
Gopalakrishnan, Kailash (Invited) Storage Class Memory
Gotkis, Yehiel (Invited) Interfacial Mesoscopic Structuring As A Highly Probable Origin Of The Mysterious “LER Fundamental 5nm Limit“
Gourgon, Cecile NIL Processes And Material Characterization On Transparent Substrates For Optical Applications,  Nicolas Chaix
Gourgon, Cécile Comparison Of Monomer And Polymer Resists In Thermal Nanoimprint Lithography
Goyal, Amit Self-Assembly Of Individual Vertically Aligned Carbon Nanotubes
Graczyk, Mariusz Nanoelectromechanical Mass Sensor Fabricated By Nanoimprint Lithography
Gray, Stephen Fabrication Of Metallic Nano-Slit Waveguides With Sharp Bends
Greene, Eric (Invited) Nanoscale “Curtain Rods” For The Study Of Protein-DNA Interactions
Greenzweig, Yuval E-Beam Nano-Machining For Circuit Edit: An Invasiveness Study
Gross, Gerard (Invited) The European “MAGIC” Initiative On Massively Parallel Electron Beam Lithography - Resist Technology Status
Gross, Gerhard (Invited) Projection Mask-Less Patterning (PMLP) For Nanotechnology Applications
Groves, Jay (Invited) Deconstructing Receptor Signaling With Nanopatterned Supported Membranes
Gruetzner, Gabi Design Of Polymers For Thermal Nanoimprint Lithography Based On Rheological Investigations
Guan, Yingfeng (Invited) Maskless Nanolithography Approaches Utilizing Electron-Beam-Induced Deposition
Gunther, Norman Fabrication Of Carbon Nanotube Field Emission Guns For Potential Maskless Lithography
Guo, Hongjie An Atom Beam Lithography Tool For Fabricating Dense Nanostructure Arrays
Guo, L. Jay  Metal Transfer Assisted Nanolithography On Flexible Substrate
Plasma-Etch Fabrication Of High Aspect Ratio Freestanding Silicon Nanogratings As Deep UV Filters
Structure-Property Relationship Of Photocurable Silsesquioxane Resists For Nanoimprint Lithography
Gutu, Timothy Biofunctionalization For Enhanced Photoluminescence Of Nanopatterned Silica From The Diatom Cyclotella Sp.

H

Hadad, Benyimin Formation Of Nano Holes By An Electron Beam- Induced Etching Process
Häffner, Michael (Invited) Catalyst Patterning For Carbon Nanotube Growth On Elevating Posts By Self-Aligned Double-Layer Electron Beam Lithography
Hagen, C.W. (Invited) 1 nm Patterning
Hagen, Cornelis W. Brightness Measurements Of A Gallium Liquid Metal Ion Source
Hagiwara, Ryoji Application Of Vector Scanning In FIB Photomask Repair System
Image Quality Improvement In Fib Photomask Repair System
Hamaguchi, A. Contrast Reversal Effect In SEM Due To Charging
Hamakubo, Takao Development Of The Compact Low-Energy Soft X-Ray CT Equipment For The Soft Material Structural Analysis
Hamamoto, Kazuhiro Coherent Euv Scattering Microscopy
Han, Hakseung Actinic Euv Mask Inspection Beyond 0.25 NA
Han, Woosun Study Of Machine To Machine Overlay Error For Sub-60-Nm Memory Devices
Hannon, James (Invited) Chemical Functionalization For The Selective Placement Of Single-Walled Carbon Nanotubes
Hanssen, James A Novel, High Brightness Ion Source Based On Laser Cooled Atoms
Harteneck, Bruce Quasi-Zero Average Refractive Index Photonic Crystals Metamaterials Collimating Infrared Light Over Large Scale
Hartley, J Tools For Resist Heating Analysis And Compensation For Electron Beam Tools
Hartley, John Charging And Error Budgets In Electron Beam Lithography Tools
Optical Wafer Height And Tilt Sensor For Electron Beam Lithography System
Hasegawa, Hirokazu Integration Of Electron Beam Lithography With Block Copolymer Self-Assembly For 10nm Scale Nanofabrication
Hassanein, Elsayed Impact Of Base And PAG Loading On Intrinsic Resolution In EUV Resists
Hastings, J. Todd Self-Assembled Monolayer Fiduical Grids For Spatial-Phase-Locked Electron-Beam Lithography
Hastings, Jeffrey Todd The Effect Of Thin Metal Over-Layers On The Electron Beam Exposure Of Poly-Methyl Methacrylate
Hastings, Todd FPGA Implementation Of Real-Time Spatial-Phase Locking For Electron Beam Lithography
Optical Properties Of Sputtered Fluorinated Ethylene Propylene
Hayashi, Kunito Potential Of Rotary Stage Electron Beam Mastering System For Fabricating Patterned Magnetic Media
He, Jianhua Spin-Dependent Scattering Off Neutral Donors In Silicon Field-Effect Transistors
Hedhili, M. N. Carbon Film Growth And Mitigation On Model Electron-Irradiated EUVL Mirror Capping Layers: TiO2 And Ru
Heeren, Andreas (Invited) Catalyst Patterning For Carbon Nanotube Growth On Elevating Posts By Self-Aligned Double-Layer Electron Beam Lithography
Heilmann, Ralf Spatial-Frequency Multiplication With Multilayer Interference Lithography
Fabrication Of 200 Nm Period Blazed Transmission Gratings On Silicon-On-Insulator Wafers
Helgert, Christian Fabrication Of Large-Area Negative-Index Metamaterial By Electron-Beam-Lithography
Henderson, Clifford Single Component Molecular Resists With Covalently Bound Photoacids
Next Generation Chemically Amplified Molecular Resists For E-Beam Lithography Based On Epoxide Cross-Linking
Understanding The Effects Of Photoacid Distribution Homogeneity And Diffusivity On Lithography Performance In Polymer-Bound PAG Photoresists
Henion, Jack Chip-Based Microfabricated Electrospinning Nozzles
Hermans, Jan Experimental Validation Of Full-Field Extreme Ultraviolet Lithography Flare And Shadowing Corrections
Herrero, Fred A. Plasma-Etch Fabrication Of High Aspect Ratio Freestanding Silicon Nanogratings As Deep UV Filters
Hersam, Mark (Invited) Probing The Structure And Properties Of Individual Molecules On Silicon Surfaces
Hesselberth, Marcel Investigation Of Morphological Changes In Platinum Nano-Structures Created By Focused Electron-Beam-Induced Deposition
Hessman, Dan Nanoelectromechanical Mass Sensor Fabricated By Nanoimprint Lithography
Hill, David Ultra Compact Interferometric Lithography System Realized With A Desk-Top Extreme Ultraviolet Laser
Hill, Shannon A Novel, High Brightness Ion Source Based On Laser Cooled Atoms
Hinsberg, William Polymer Self-Assembly For Nanopatterning
Hirai, Yoshihiko Experimental And Theoretical Study On The Demolding Mechanics Experimental And Theoretical Study On The Demolding Mechanics In Imprint Process
Experimental And Numerical Analysis On Recovery Of Polymer Deformation After Demolding In Hot-Embossing Process
Hochleitner, Gottfried Effect Of Gaseous Additives On Electron Beam Induced Deposition
Hoef, Brian Latest Results From The SEMATECH Berkeley Extreme Ultraviolet Microfield Exposure Tool
Hoffman, Galen Relief And Trench Formation On Chalcogenide Thin-Films Using Electron Beams
Hofmann, Thorsten (Invited) Applications Of Focused Electron Beam Processing
Hofsäss, Hans Self-Aligned Graphitic Nanowires In Diamond-Like Carbon
Hohle, Christoph (Invited) The European “MAGIC” Initiative On Massively Parallel Electron Beam Lithography - Resist Technology Status
Detailed Characterization Of HSQ For E-Beam Application In DRAM Pilot Line Environment
Holzwarth, Charles (Invited) Challenges In Nanofabrication Of Strong-Confinement Photonic Devices
Fabrication Strategies For Filter Banks Based On Microring Resonators
Hon, Min-Hsiung Polyimide Hierarchical Structures Via Imprinting And Dewetting
Hone, James Fabrication Of Nanoscale Bioarrays For The Study Of Cytoskeletal Protein Binding Interactions Using Nano-Imprint Lithography
Fabrication Of Elastometer Pillar Arrays With Modulated Stiffness For Cellular Force Measurements
Hong-Shi, Kuo Investigation of Single-Walled Carbon Nanotubes With LEEPS Microscope, Chang Che-Cheng
Hopman, Wico C.L. Reducing Optical Losses In Focused-Ion-Beam Etched Silicon
Horsley, David Light Modulation With A Nano-Patterned Diffraction Grating And MEMS Pixel
Hoshino, Hiromi Process Variation-Aware 3-Dimensional Proximity Effect Correction For Electron Beam Direct Writing At 45 Nm Node And Beyond
Houkes, Annemarie (Invited) MAPPER: High Throughput Maskless Lithography
Howe, Roger Mask-Less Wet Etching Using Laser Induced Local Heating
Hu, Walter Imprinted Large-Scale High Density Polymer Nanopillars For Various Applications
Stability Of HSQ Nano-Lines Defined By E-Beam Lithography
Hua, Jui- Ming FDTD Study Of Near Field Phase-Shifting Lithography For High-Precision Fabrication Of Nano-Image Profiles
Huan, Po-Hsun Diffusers With Both Surface-Relief And Particle-Diffusing Functions Fabricated Using Hybrid Extrusion Roller Embossing
Huang, Po-Hsun Large-Area Microlens Arrays Fabricated By Integrated Gas-Assisted UV-Curing Embossing With UV-LED Array Lamp
Huang, Tzu-Chien Diffusers With Both Surface-Relief And Particle-Diffusing Functions Fabricated Using Hybrid Extrusion Roller Embossing
Huang, Xiaoxia Estimation Of Remaining Resist Profile Without Exposure And Development Simulations In E-Beam Lithography
Huh, Sungmin Effects Of Mask Absorber Structures On The EUV Lithography
Hung, A. Self-Assembly Of DNA Origami Nanostructures On Lithographically Patterned Surfaces
Huq, Ejaz Electron Beam Lithography For Distributed Bragg Reflectors In SU-8
Hwang, Ing-Shouh Gas Field Ion Source From An Ir/W<111> Single-Atom Tip
Hwang, Sung Ho Full Field Imprint Masks Using Variable Shape Beam Pattern Generators
Hwangbo, Chang Kwon Absorber Stack With Transparent Conductive Compound Material For EUV Lithography Mask

I

Icard, Beatrice (Invited) The European “MAGIC” Initiative On Massively Parallel Electron Beam Lithography - Resist Technology Status
Ichihashi, Toshinari Resisitivity Change Of The Diamond-Like Carbon
Ikeda, Yuta Multilevel Visualization Of The Local Electric Field At A Sharp Probe Apex By Scanning Electron Microscopy
Ilani, S. (Invited) Speed and Spin-Orbit: New Results in Carbon Nanotubes
Ilic, Rob Optical Excitation Of In-Plane Modes Of Nanoelectromechanical Oscillators For Selective Detachment Of Microspheres
Ilievski, F. (Invited) Si-Containing Block Copolymers For Self-Assembled Nanolithography Applications
Imprints, Molecular Full Field Imprint Masks Using Variable Shape Beam Pattern Generators
Patterned Media Using Step And Flash Imprint Lithography
Imre, Alexandra Espacer Protective Surface Coat For Cutting-Edge Ion-Beam Lithography
In, Hyun Jin Carbon Nanotube-Based Magnetic Actuation Of Origami Membranes
Ing-Shouh, Hwang Investigation of Single-Walled Carbon Nanotubes With LEEPS Microscope, Chang Che-Cheng
Ippen, Eric Fabrication Strategies For Filter Banks Based On Microring Resonators
Ippen, Erich (Invited) Challenges In Nanofabrication Of Strong-Confinement Photonic Devices
Iqbal, Zafar Self-Assembly Of Individual Vertically Aligned Carbon Nanotubes
Iriye, Yasuroh Experimental And Numerical Analysis On Recovery Of Polymer Deformation After Demolding In Hot-Embossing Process
Irmscher, M. Optimum Dose Distribution For Argon Ion Multi-Beam Sputtering Of Microlens Array Templates
Irmscher, Mathias (Invited) The European “MAGIC” Initiative On Massively Parallel Electron Beam Lithography - Resist Technology Status
Ishihara, Jyunya Experimental And Theoretical Study On The Demolding Mechanics Experimental And Theoretical Study On The Demolding Mechanics In Imprint Process
Ishihara, Sunao Resisitivity Change Of The Diamond-Like Carbon
Ishikawa, Kiyoshi Fabrication Of The Nanoimprint Mold Using Inorganic Electron Beam Resist With Post Exposure Bake
Islam, Saif λ/12 Sub-wavelength Optical Lithography With A Smooth Superlens
Isoyan, Artak 40 Nm Pitch Extreme Ultraviolet Interferometric Lithography
Israel, Intel E-Beam Nano-Machining For Circuit Edit: An Invasiveness Study
Itani, Toshiro (Invited) Latent Image Formation In Chemically Amplified Extreme Ultraviolet Resists With Low Activation Energy For Deprotection Reaction
Novel Negative-Tone Molecular Resist For EUV Lithography
Dissolution Characteristics Of Chemically Amplified EUV Resist
Ivanchikov, A. Modeling Of Charge And Discharge In Scanning Electron Microscopy
Iwasaki, Koji (Invited) Transmission Electron Microscopy Sample Preparation Employing A "Triple" Beam Instrument
Iwasaki, Takuya Experimental And Numerical Analysis On Recovery Of Polymer Deformation After Demolding In Hot-Embossing Process
Izumi, Akira Hydrogen Radical Cleaning Of Carbon Contamination On EUV Mask

J

Jacobson, Peter Model Studies Of The Photocatalytic Removal Of Carbon From Titanium Dioxide
Jager, Remco (Invited) MAPPER: High Throughput Maskless Lithography
Jahnes, Christopher (Invited) Fluorinated Diamond-Like Carbon Templates For High Resolution Nanoimprint Lithography
Jarrahi, Mona High-Speed Optical Beam-Steering Based On Phase-Arrayed Waveguides
Javey, Ali Roll Printing Of Synthetic Nanowires For Novel Sensor And Electronic Applications
Jiang, Fan 40 Nm Pitch Extreme Ultraviolet Interferometric Lithography
Jiao, Jun Biofunctionalization For Enhanced Photoluminescence Of Nanopatterned Silica From The Diatom Cyclotella Sp.
Jiménez, Sonia Fernández 50 Nm Resolution Extreme Ultraviolet Imaging With A Desktop-Size Laser
Joest, Michael (Invited) Applications Of Focused Electron Beam Processing
Johann, Bartha W. Detailed Characterization Of HSQ For E-Beam Application In DRAM Pilot Line Environment
Jonckheere, Rik Experimental Validation Of Full-Field Extreme Ultraviolet Lithography Flare And Shadowing Corrections
Jones, Gideon Latest Results From The SEMATECH Berkeley Extreme Ultraviolet Microfield Exposure Tool
Jones, Robert Direct Write Electron Beam Patterning Of DNA:Complex Thin Films
Joshi, Vishwanath Controlled Chemical Mechanical Polishing Of Polysilicon And Silicon-Dioxide For Si Based Single-Electron Device With Oxide Tunnel Barriers
Joubert, Olivier Impact Of Plasma Etching Processes On 193 nm Photoresist: Etch Resistance And Line Width Roughness
Juarros, A. Refined Coarse-Grain Modeling Of Stamp Deformation In Nanoimprint Lithography
Jung, Y.S. (Invited) Si-Containing Block Copolymers For Self-Assembled Nanolithography Applications
Jung, Yeon Sik Hydrogen Silsesquioxane Nano-Posts As Decoys For Guiding The Self-Assembly Of Block Copolymers

K

Kaertner, Franz (Invited) Challenges In Nanofabrication Of Strong-Confinement Photonic Devices
Fabrication Strategies For Filter Banks Based On Microring Resonators
Kaito, Takashi Resisitivity Change Of The Diamond-Like Carbon
Kakabakos, Sotirios (Invited) High-Aspect-Ratio Plasma-Induced Nanotexturing Of Polymers (PDMS PMMA, PEEK, ...) For Protein Adsorption Applications
Kakutani, Yukinobu Protection And Reduction Of Surface Oxidation Of Mo/Si Multilayers For EUVL Projection Optics By Control Of Hydrocarbon Gas Atmosphere
Kampherbeek, Bert Jan (Invited) The European “MAGIC” Initiative On Massively Parallel Electron Beam Lithography - Resist Technology Status
(Invited) MAPPER: High Throughput Maskless Lithography
Kanda, Kazuhiro Resisitivity Change Of The Diamond-Like Carbon
Kaneyama, Koji Dissolution Characteristics Of Chemically Amplified EUV Resist
Kang, Hee Young Absorber Stack With Transparent Conductive Compound Material For EUV Lithography Mask
Kang, Huiman Directed Assembly Of Asymmetric Ternary Block Copolymer-Homopolymer Blends Thin Films Into Checkerboard Trimming Chemical Pattern
Kang, Myung-Gyu  Metal Transfer Assisted Nanolithography On Flexible Substrate
Kang, Shuhui (Invited) Advances In Neutron Research Methods For Photoresists Fundamentals
Kanje, M. (Invited) Neurite Outgrowth on Nanomodified Surfaces
Karade, Yogesh Guided Phase Separation Of Polymer Blends For Patterns Varying From Micron To Nanometer Length Scales
Kawata, Hiroaki Experimental And Theoretical Study On The Demolding Mechanics Experimental And Theoretical Study On The Demolding Mechanics In Imprint Process
Kayama, Masayo Experimental And Theoretical Study On The Demolding Mechanics Experimental And Theoretical Study On The Demolding Mechanics In Imprint Process
Keathley, Phillip Optical Properties Of Sputtered Fluorinated Ethylene Propylene
Kehagias, Nikolaos Losses Compensation In Metallic Nanocomposite Polymer By Optical Gain: Application To Nanoimprinted Microcavities
Keil, Katja (Invited) The European “MAGIC” Initiative On Massively Parallel Electron Beam Lithography - Resist Technology Status
Detailed Characterization Of HSQ For E-Beam Application In DRAM Pilot Line Environment
Kemp, Charles Actinic Euv Mask Inspection Beyond 0.25 NA
Kercher, Dan Integration Of Electron Beam Lithography With Block Copolymer Self-Assembly For 10nm Scale Nanofabrication
Kercher, Dan 65mm Disk Patterning At 500 Gb/In2 With Full Surface Area Coverage From Diblock Copolymer Templates
Kern, Dieter Paul (Invited) Catalyst Patterning For Carbon Nanotube Growth On Elevating Posts By Self-Aligned Double-Layer Electron Beam Lithography
Kershner, Ryan Self-Assembly Of DNA Origami Nanostructures On Lithographically Patterned Surfaces
Kewell, Adrian Nanoelectromechanical Mass Sensor Fabricated By Nanoimprint Lithography
Khan, Maroof H. Reducing Mode-Transition Loss In Silicon-On-Insulator Strip Waveguide Bends
Khilo, Anatoly Fabrication Strategies For Filter Banks Based On Microring Resonators
Khusnatdinov, Niyaz Patterned Media Using Step And Flash Imprint Lithography
Kim, Philip Toward Carbon Based Electronics: Graphene, a  New Opportunity
Kim, Byung Gook Resist Charging Effect In Photomask: Its Impact On Pattern Placement Error And Critical Dimension
Kim, Byung-Sung (Invited) Electron Beam Projection Nanopatterning Using Crystal Lattice Images Obtained From High Resolution Transmission Electron Microscopy
Kim, Dongwan Effects Of Mask Absorber Structures On The EUV Lithography
Kim, Evgenia Optical Negative Index Meta-Materials At 1.55 µm Wavelength And Geometry Dependency Studies
Kim, Ho-Cheol Polymer Self-Assembly For Nanopatterning
Kim, Hochul Study Of Machine To Machine Overlay Error For Sub-60-Nm Memory Devices
Kim, Hoon Effects Of Mask Absorber Structures On The EUV Lithography
Kim, Hoyeon Full Field Imprint Masks Using Variable Shape Beam Pattern Generators
Kim, Hyun Chul Superfocusing Of Light Using A Metallic/Dielectric Nano-Optic Lens
Focusing Of Plasmonic Micro Zone Plate-Based Metallic Structures Covered By A Dielectric Layer
Kim, Hyun-Mi Fabrication Of Si Nano-Pillar Array With An Areal Density Of 1012/In2 And Its Nanoimprint Lithography Application
(Invited) Electron Beam Projection Nanopatterning Using Crystal Lattice Images Obtained From High Resolution Transmission Electron Microscopy
The Modification Of Aperture System For Atomic Image Projection Electron-Beam Lithography (AIPEL)
Two-Step Development Method Of Hydrogen Silsesquioxane Resist For High-Density Electron Beam Nanopatterning
Kim, Insung Experimental Validation Of Full-Field Extreme Ultraviolet Lithography Flare And Shadowing Corrections
Kim, Ki-Bum Fabrication Of Si Nano-Pillar Array With An Areal Density Of 1012/In2 And Its Nanoimprint Lithography Application
(Invited) Electron Beam Projection Nanopatterning Using Crystal Lattice Images Obtained From High Resolution Transmission Electron Microscopy
The Modification Of Aperture System For Atomic Image Projection Electron-Beam Lithography (AIPEL)
Two-Step Development Method Of Hydrogen Silsesquioxane Resist For High-Density Electron Beam Nanopatterning
Kim, S. -J. Fabrication Of 3-D Micro- And Nano- Structures By Focused-Ion-Beam (FIB) Machining Systems
Kim, Sang-Gook Carbon Nanotube-Based Magnetic Actuation Of Origami Membranes
Kim, Seong-Sue Effects Of Mask Absorber Structures On The EUV Lithography
Coherent Euv Scattering Microscopy
Kim, Sungwon Self-Aligned Asymmetric Recess Technique With E-Beam Lithography
Kinion, Doug Nanoscale To Millimeter Scale Milling With A Focused Ion Beam Instrument
Kinoshita, Hiroo Coherent Euv Scattering Microscopy
Kishimoto, Junki Coherent Euv Scattering Microscopy
Klein, Christof (Invited) The European “MAGIC” Initiative On Massively Parallel Electron Beam Lithography - Resist Technology Status
Klein, Edwin J. Reducing Optical Losses In Focused-Ion-Beam Etched Silicon
Kley, Ernst-Bernhard Fabrication Of Large-Area Negative-Index Metamaterial By Electron-Beam-Lithography
Knowles, Ralph Nanofabrication By Ultra-High Resolution Environmental Scanning Electron Microscopy
Ko, Fu-Hsiang 3D Mask Topographic Effects In EUV Lithography
Protein-Induced Electrical Variation On Gold-Silicide Embedded Nanowires
Hybridization Sensing By Electrical Enhancement With Nanoparticles In Nano-Gap
Ko, Hyungduk Superfocusing Of Light Using A Metallic/Dielectric Nano-Optic Lens
Focusing Of Plasmonic Micro Zone Plate-Based Metallic Structures Covered By A Dielectric Layer
Kobayashi, Kazuhiko Potential Of Rotary Stage Electron Beam Mastering System For Fabricating Patterned Magnetic Media
Kodama, Tatsuhiko Development Of The Compact Low-Energy Soft X-Ray CT Equipment For The Soft Material Structural Analysis
Koh, Yumin Self-Aligned Asymmetric Recess Technique With E-Beam Lithography
Koida, Keigo Protection And Reduction Of Surface Oxidation Of Mo/Si Multilayers For EUVL Projection Optics By Control Of Hydrocarbon Gas Atmosphere
Koishikawa, Atsushi Development Of The Compact Low-Energy Soft X-Ray CT Equipment For The Soft Material Structural Analysis
Kojima, Akira Sub-50nm Resolution Surface Electron Emission Lithography Using Nano-Si Ballistic Electron Emitter
Kometani, Reo Resisitivity Change Of The Diamond-Like Carbon
Kondr, Viktor 50 Nm Resolution Extreme Ultraviolet Imaging With A Desktop-Size Laser
Kondratovich, Marianna Optical Excitation Of In-Plane Modes Of Nanoelectromechanical Oscillators For Selective Detachment Of Microspheres
Koshida, Nobuyoshi Sub-50nm Resolution Surface Electron Emission Lithography Using Nano-Si Ballistic Electron Emitter
Kozakai, Tomokazu Application Of Vector Scanning In FIB Photomask Repair System
Image Quality Improvement In Fib Photomask Repair System
Kozawa, Takahiro (Invited) Latent Image Formation In Chemically Amplified Extreme Ultraviolet Resists With Low Activation Energy For Deprotection Reaction
Multispur In Chemically Amplified Electron Beam Resists
Dissolution Characteristics Of Chemically Amplified EUV Resist
Krauser, Johann Self-Aligned Graphitic Nanowires In Diamond-Like Carbon
Kretz, Johannes (Invited) The European “MAGIC” Initiative On Massively Parallel Electron Beam Lithography - Resist Technology Status
Detailed Characterization Of HSQ For E-Beam Application In DRAM Pilot Line Environment
Kritsun, Oleg Improving Lithography Pattern Fidelity And Line-Edge Roughness By Reducing Laser Speckle
Krug, David Polyhedral Oligomeric Silsesquioxane (POSS) Functional Patterns Directly Fabricated By Nanoimprint Lithography
Krüger, Jan Nanoelectromechanical Mass Sensor Fabricated By Nanoimprint Lithography
Kruit, P. (Invited) 1 nm Patterning
Kruit, Pieter Brightness Measurements Of A Gallium Liquid Metal Ion Source
Sputtering Limits Versus Signal To Noise Limits In The Observation Of Sn Balls In A Ga Ions Microscope
Transformation Of Polycrystalline Tungsten To Monocrystalline Tungsten W(100) And Its Potential Application In Schottky Emitters
Experiments Towards A High Brightness 100-Electron-Beam Source
Effect Of The Electric Field On The Decay Of A Schottky Electron Emitter Tip End: A Step Flow Model
Kruppa, Walter (Invited) Electron-Transparent Cantilevers For TEM Visualization Of Nanodevices
Krylov, Slava Optical Excitation Of In-Plane Modes Of Nanoelectromechanical Oscillators For Selective Detachment Of Microspheres
Kuba, Yukio Potential Of Rotary Stage Electron Beam Mastering System For Fabricating Patterned Magnetic Media
Kuemmeth, F. (Invited) Speed and Spin-Orbit: New Results in Carbon Nanotubes
Kumise, Takaaki Novel Negative-Tone Molecular Resist For EUV Lithography
Kuo, David Patterned Media Using Step And Flash Imprint Lithography
Challenges In 1 Teradot/In.2 Dot Nanoimprint Lithography For Bit-Patterned Media
Kuo, Hong-Shi Gas Field Ion Source From An Ir/W<111> Single-Atom Tip
Kuo, S. S. Using Reversal Imprint Lithography To Pattern Textured Metal Fims For Surface Plasmonic Device Applications
Kurashima, Yuichi Low Energy Ion Beam Machining Of Si Layer Deposited On An ULE Substrate For EUVL Optics - Evaluation Of Surface Roughness
Kurdi, Bulent N. (Invited) Storage Class Memory
Kutchoukov, Vladimir Experiments Towards A High Brightness 100-Electron-Beam Source
Kwok, Wai (Invited) Advanced Imaging Via Pixelated Phase Masks And Inverse Lithography
Kye, Jongwook Line Edge Roughness Impacts On Overlay

L

La Fontaine, Bruno Improving Lithography Pattern Fidelity And Line-Edge Roughness By Reducing Laser Speckle
Latest Results From The SEMATECH Berkeley Extreme Ultraviolet Microfield Exposure Tool
LaBrake, Dwayne Patterned Media Using Step And Flash Imprint Lithography
Lafferty, Neal Aluminum Oxides As Metamaterials For Enhancement Of 193nm Lithography
Photomask Image Enhancement Using Grating Generated Surface Waves
Lai, Fu-Der FDTD Study Of Near Field Phase-Shifting Lithography For High-Precision Fabrication Of Nano-Image Profiles
Laine, Richard Polyhedral Oligomeric Silsesquioxane (POSS) Functional Patterns Directly Fabricated By Nanoimprint Lithography
Laitinen, Mikko Interdigitated Electrode Structures For Osteoblast Growth Studies
Lalovic, Ivan Improving Lithography Pattern Fidelity And Line-Edge Roughness By Reducing Laser Speckle
Lam, Michael Pattern Specific Optical Models
Lambertini, Vito NIL Processes And Material Characterization On Transparent Substrates For Optical Applications,  Nicolas Chaix
Landis, Stefan NIL Processes And Material Characterization On Transparent Substrates For Optical Applications,  Nicolas Chaix
Lassiter, Matthew Nanoscale Electron Beam Induced Etching (EBIE)
Lavery, Kristopher (Invited) Advances In Neutron Research Methods For Photoresists Fundamentals
Lawson, Richard Single Component Molecular Resists With Covalently Bound Photoacids
Next Generation Chemically Amplified Molecular Resists For E-Beam Lithography Based On Epoxide Cross-Linking
Understanding The Effects Of Photoacid Distribution Homogeneity And Diffusivity On Lithography Performance In Polymer-Bound PAG Photoresists
Lecarme, Olivier Capillary Forces Assembly In Microfluidic Cell: Faster Assembly By Accelerating The Particles Convective Flux And Integration Into Complex Pattern
Lee, Cheng-Tsung Single Component Molecular Resists With Covalently Bound Photoacids
Next Generation Chemically Amplified Molecular Resists For E-Beam Lithography Based On Epoxide Cross-Linking
Understanding The Effects Of Photoacid Distribution Homogeneity And Diffusivity On Lithography Performance In Polymer-Bound PAG Photoresists
Lee, Dong Gun Effects Of Mask Absorber Structures On The EUV Lithography
Coherent Euv Scattering Microscopy
Lee, Hyo-Sung Fabrication Of Si Nano-Pillar Array With An Areal Density Of 1012/In2 And Its Nanoimprint Lithography Application
(Invited) Electron Beam Projection Nanopatterning Using Crystal Lattice Images Obtained From High Resolution Transmission Electron Microscopy
The Modification Of Aperture System For Atomic Image Projection Electron-Beam Lithography (AIPEL)
Two-Step Development Method Of Hydrogen Silsesquioxane Resist For High-Density Electron Beam Nanopatterning
Lee, Hyung Woo Carbon Nanotube-Based Magnetic Actuation Of Origami Membranes
Lee, J.M. High Density Nanostructure Fabrication by Electron Beam Lithography, Zs. Szabo
Lee, Jae Jong Fabrication Of Si Nano-Pillar Array With An Areal Density Of 1012/In2 And Its Nanoimprint Lithography Application
Lee, Jun-Wei ZnO Nanowires Grown On Cone-Shaped Zinc Nanostructures By Thermal Oxidation
Lee, Junghyeon Study Of Machine To Machine Overlay Error For Sub-60-Nm Memory Devices
Lee, Kim Patterned Media Using Step And Flash Imprint Lithography
Challenges In 1 Teradot/In.2 Dot Nanoimprint Lithography For Bit-Patterned Media
Lee, Sihyeung Study Of Machine To Machine Overlay Error For Sub-60-Nm Memory Devices
Lee, Soo-Young Estimation Of Remaining Resist Profile Without Exposure And Development Simulations In E-Beam Lithography
Lee, Thomas H. High-Speed Optical Beam-Steering Based On Phase-Arrayed Waveguides
Lee, W. H. Using Colloidal Lithography To Fabricate Semitransparent Metal Anodes In Organic Solar Cells
Using Reversal Imprint Lithography To Pattern Textured Metal Fims For Surface Plasmonic Device Applications
Letzkus, F. Optimum Dose Distribution For Argon Ion Multi-Beam Sputtering Of Microlens Array Templates
Leu, Ing-Chi Polyimide Hierarchical Structures Via Imprinting And Dewetting
Leu, Joshua Templated Self-Assembly Of Sub-10nm Quantum Dots
Levinson, Harry Improving Lithography Pattern Fidelity And Line-Edge Roughness By Reducing Laser Speckle
Line Edge Roughness Impacts On Overlay
Lezec, Henri (Invited) Nanophotonics Lab-On-A-Chip Sculpted By Focused-Ion-Beam Milling: Direct Characterization Of Negative-Index Metamaterials Operating In The Visible
Li, Huifeng Improving Organic Thin-Film Transistor Performance By Nanoimprint-Induced Chain Ordering
Microfluidic MDM Structure As A Tunable Optical Filter
Optical Antennas: A Boost For Infrared Detection
Li, Jianliang Resist Bias Measured From Iso-Focal Structure
Li, K-L Protein-Induced Electrical Variation On Gold-Silicide Embedded Nanowires
Li, W. Direct Write Electron Beam Patterning Of DNA:Complex Thin Films
Li, Wen-Di Fabrication Of Wafer-Scale Nano-Split-Ring Metamaterials By Nanoimprint Without Direct-Write In Mold Making
(Invited) Quantized-Patterning Using Nanoimprinted-Blanks
Fabrication And Measurement Of Solar-Blind Aluminum Nano-Grid UV Filters By Nanoimprint Lithography And Edge Patterning
Li, Xiuling Efficient Nanoscale Pattern Transfer Process For Porous Silicon
Li, Xuema Optical Negative Index Meta-Materials At 1.55 µm Wavelength And Geometry Dependency Studies
Fabrication Of Amorphous Metallic Nanowires For IC Interconnects By Nanoimprint Lithography
Liang, Ted The Effects Of Wet And Dry Cleaning Methods On The Chemical Composition And Morphology Of The Ru Capping Layer Of The Extreme Ultraviolet (EUV) Mask Blanks
Liang, Xiaogan Graphene Transistors Fabricated Via Transfer-Printing In Device Active-Areas On Large Wafer
(Invited) Quantized-Patterning Using Nanoimprinted-Blanks
Buried Silicon Dioxide Nanochannels Fabricated By Nanoimprint, Etching And Self-Sealing, Self-Limited Thermal Oxidation
A Novel Method For Fabricating Sub-16 Nm Footprint T-Gate Nanoimprint Molds
Liau, Chung-Chi ZnO Nanowires Grown On Cone-Shaped Zinc Nanostructures By Thermal Oxidation
Lim, Ki-Phil Fabrication Of Si Nano-Pillar Array With An Areal Density Of 1012/In2 And Its Nanoimprint Lithography Application
Lin-Gibson, Sheng Continuous Patterns With Height Gradients By Nanoimprint Lithography And Thermal Gradient Annealing
Lin, C. H. Using Colloidal Lithography To Fabricate Semitransparent Metal Anodes In Organic Solar Cells
Lin, Chun-Hung 3D Mask Topographic Effects In EUV Lithography
Lin, Chun-Yueh Gas Field Ion Source From An Ir/W<111> Single-Atom Tip
Lin, Eric (Invited) Advances In Neutron Research Methods For Photoresists Fundamentals
Lin, Nancy Continuous Patterns With Height Gradients By Nanoimprint Lithography And Thermal Gradient Annealing
Lin, Y. H. Using Colloidal Lithography To Fabricate Semitransparent Metal Anodes In Organic Solar Cells
Liu, Chi-Chun In Situ Characterization Of Block Copolymer Ordering On Chemically Nanopatterned Surfaces By Time-Resolved SAXS
Liu, Guoliang In Situ Characterization Of Block Copolymer Ordering On Chemically Nanopatterned Surfaces By Time-Resolved SAXS
Liu, Haoning Aberration Correction For Electron Beam Inspection, Metrology And Lithography
Liu, Ran Electron Beam Lithography For Distributed Bragg Reflectors In SU-8
Liu, Sheng Self-Assembly Of Individual Vertically Aligned Carbon Nanotubes
Liu, Wenchao Relief And Trench Formation On Chalcogenide Thin-Films Using Electron Beams
Liu, Xuefeng Evaluation Of Electron Energy Spread In Csbr Based Photocathodes
Liu, Zhi Evaluation Of Electron Energy Spread In Csbr Based Photocathodes
Livengood, Richard H. E-Beam Nano-Machining For Circuit Edit: An Invasiveness Study
Lo, Cheuk Chi Spin-Dependent Scattering Off Neutral Donors In Silicon Field-Effect Transistors
Lobo, Charlene Nanofabrication By Ultra-High Resolution Environmental Scanning Electron Microscopy
Direct Writing Of High Resolution, Radially-Symmetric Nanostructures By Simultaneous Electron Beam Induced Deposition And Etching
Loeschner, Hans (Invited) The European “MAGIC” Initiative On Massively Parallel Electron Beam Lithography - Resist Technology Status
(Invited) Projection Mask-Less Patterning (PMLP) For Nanotechnology Applications
Optimum Dose Distribution For Argon Ion Multi-Beam Sputtering Of Microlens Array Templates
Loeser, John G. Large-Area Microlens Arrays Fabricated By Integrated Gas-Assisted UV-Curing Embossing With UV-LED Array Lamp
Diffusers With Both Surface-Relief And Particle-Diffusing Functions Fabricated Using Hybrid Extrusion Roller Embossing
Logeeswaran, VJ λ/12 Sub-wavelength Optical Lithography With A Smooth Superlens
Lok, Sjoerd Extreme Ultraviolet Lithography: Status And Prospects
Loopstra, Erik Extreme Ultraviolet Lithography: Status And Prospects
Lopez, Gabriel Fabrication Of Porous Nanochannels Using Nanoparticles And Application To The Transport Of DNA Molecules
Lorusso, Gian Experimental Validation Of Full-Field Extreme Ultraviolet Lithography Flare And Shadowing Corrections
Lozano, Paulo The Use Of Ionic Liquid Ion Sources (ILIS) In FIB Applications
Lu, Ming Fabrication Of Metallic Nano-Slit Waveguides With Sharp Bends
Lu, Yi-Hsien Gas Field Ion Source From An Ir/W<111> Single-Atom Tip
Lui, Nicki Mask-Less Wet Etching Using Laser Induced Local Heating
Lunsford, Patrick (Invited) Stability Of Self-Assembled Monolayer Surfactant Coating In Thermal Nanoimprint
Luo, Gang Nanoelectromechanical Mass Sensor Fabricated By Nanoimprint Lithography
Lyon, Steve Spin-Dependent Scattering Off Neutral Donors In Silicon Field-Effect Transistors
Lysaght, Michael Nanofabrication By Ultra-High Resolution Environmental Scanning Electron Microscopy
Direct Writing Of High Resolution, Radially-Symmetric Nanostructures By Simultaneous Electron Beam Induced Deposition And Etching

M

Ma, Yuansheng Line Edge Roughness Impacts On Overlay
Machida, Yasuhide Process Variation-Aware 3-Dimensional Proximity Effect Correction For Electron Beam Direct Writing At 45 Nm Node And Beyond
Macintyre, Douglas Optical Characterisation Of An HSQ Lithography Process
Mack, Chris Stochastic Approach To Modeling Line Edge Roughness In Photolithography
Madey, Theodore E. Carbon Film Growth And Mitigation On Model Electron-Irradiated EUVL Mirror Capping Layers: TiO2 And Ru
Maeda, Ryutaro Experimental And Numerical Analysis On Recovery Of Polymer Deformation After Demolding In Hot-Embossing Process
Mahmood, Fahad Mask-Less Wet Etching Using Laser Induced Local Heating
Makarewicz, Joseph Fabrication Of Carbon Nanotube Field Emission Guns For Potential Maskless Lithography
Maldonado, Juan R. Evaluation Of Electron Energy Spread In Csbr Based Photocathodes
Mallast, Siomone Quality Assessment Of Anti-Sticking Layers For T-NIL
Manakli, Serdar (Invited) The European “MAGIC” Initiative On Massively Parallel Electron Beam Lithography - Resist Technology Status
Manandhar, Pradeep Design And Fabrication Of Vertical Nanowire Device Arrays
Maniura, Katharina Fabrication Of Elastometer Pillar Arrays With Modulated Stiffness For Cellular Force Measurements
Mansfield, Scott (Invited) The Role Of Computational Modeling In Semiconductor Lithography:Progressing Towards The Virtual Fab
Marconi, Mario 50 Nm Resolution Extreme Ultraviolet Imaging With A Desktop-Size Laser
Ultra Compact Interferometric Lithography System Realized With A Desk-Top Extreme Ultraviolet Laser
Martin, Mickael Impact Of Plasma Etching Processes On 193 nm Photoresist: Etch Resistance And Line Width Roughness
Martin, Noel Nanoscale To Millimeter Scale Milling With A Focused Ion Beam Instrument
Martinez, Jose Novel SU8 Optical Waveguide Microgripper For Simultaneous Micromanipulation And Optical Detection
Martz, Dale 50 Nm Resolution Extreme Ultraviolet Imaging With A Desktop-Size Laser
Masbou, Marc Mask-Less Wet Etching Using Laser Induced Local Heating
Mastropaolo, Enrico Electrothermal Actuation Studies On Silicon Carbide Resonators
Materials Fabrication Of Si Nano-Pillar Array With An Areal Density Of 1012/In2 And Its Nanoimprint Lithography Application
Matsuda, Osamu Application Of Vector Scanning In FIB Photomask Repair System
Image Quality Improvement In Fib Photomask Repair System
Matsui, Shinji Resisitivity Change Of The Diamond-Like Carbon
Fabrication Of High Aspect Si Pillers By Deep Reactive Ion Etching Using Nanoimprinted HSQ Masks
Maximov, Ivan Nanoelectromechanical Mass Sensor Fabricated By Nanoimprint Lithography
Mayergoyz, Isaak (Invited) Eigen Mode Analysis Of Plasmon Resonances In Nanoparticles
McClelland, Jabez A Novel, High Brightness Ion Source Based On Laser Cooled Atoms
McCord, Mark (Invited) Electron Beam Inspection Of In-Process Semiconductor Wafers: How, Why, And What’s Next?
McEuen, Paul (Invited) Speed and Spin-Orbit: New Results in Carbon Nanotubes
Mecerreyes, David Losses Compensation In Metallic Nanocomposite Polymer By Optical Gain: Application To Nanoimprinted Microcavities
Melvin, Lawrence S. III Abbe-SVD: Compact Abbe’s Kernel Generation For Microlithography Aerial Image Simulation Using Singular- Value Decomposition Method
Melvin, Lawrence Resist Bias Measured From Iso-Focal Structure
An Exploration Of Etch Step Interactions In The Dual Patterning Process For Process Modeling
Menguelti, Kevin Impact Of Plasma Etching Processes On 193 nm Photoresist: Etch Resistance And Line Width Roughness
Menon, Rajesh A Prototype Commercial System For Massively-Parallel Maskless Zone-Plate-Array Lithography (ZPAL)
Menoni, Carmen 50 Nm Resolution Extreme Ultraviolet Imaging With A Desktop-Size Laser
Ultra Compact Interferometric Lithography System Realized With A Desk-Top Extreme Ultraviolet Laser
Merino, S. Refined Coarse-Grain Modeling Of Stamp Deformation In Nanoimprint Lithography
Micheel, Christine Self-Assembly Of DNA Origami Nanostructures On Lithographically Patterned Surfaces
Michler, Johann Optimization Of Nozzle-Based Gas Injection Systems For Focused Electron- And Ion- Beam Induced Processing
Stiffness, Density And Quality Of High Aspect Ratio Cu/C Nanostructures Produced By Focused Electron-Beam Induced Deposition
Miller, David A. B. High-Speed Optical Beam-Steering Based On Phase-Arrayed Waveguides
Miller, Mike Patterned Media Using Step And Flash Imprint Lithography
Miyamoto, Iwao Low Energy Ion Beam Machining Of Si Layer Deposited On An ULE Substrate For EUVL Optics - Evaluation Of Surface Roughness
Miyano, Y. Contrast Reversal Effect In SEM Due To Charging
Miyazaki, Takeshi Potential Of Rotary Stage Electron Beam Mastering System For Fabricating Patterned Magnetic Media
Miyoshi, Motosuke Development Of The Compact Low-Energy Soft X-Ray CT Equipment For The Soft Material Structural Analysis
Mocella, Vito Quasi-Zero Average Refractive Index Photonic Crystals Metamaterials Collimating Infrared Light Over Large Scale
Mochiji, Kozo Property Of In-Vacuum Direct Photo-Etching Of PTFE Brought By High Energy Synchrotron Radiation Irradiation
Mohamed, Khairudin UV Curable Nanoimprint Lithography For Replicating Three Dimensional Structures
Mohammad, M.A. High Density Nanostructure Fabrication by Electron Beam Lithography, Zs. Szabo
Möllenbeck, Saskia Contact Angles In A Thermal Imprint Process
Quality Assessment Of Anti-Sticking Layers For T-NIL
Montelius, Lars Nanoelectromechanical Mass Sensor Fabricated By Nanoimprint Lithography
Moon, Euclid E. Nanometer-Level Alignment And Global Positioning To A Substrate-Embedded Coordinate System
Morecroft, D. Sub-15 Nm Half-Pitch Nanoimprint Molds Using High Resolution Negative Tone Resist And Reactive Ion Etching
Morgan, Ray (Invited) The European “MAGIC” Initiative On Massively Parallel Electron Beam Lithography - Resist Technology Status
Morris, Christopher J. (Invited) Micro-Scale Self-Assembly Via Capillary Forces
Morton, Keith Buried Silicon Dioxide Nanochannels Fabricated By Nanoimprint, Etching And Self-Sealing, Self-Limited Thermal Oxidation
Mountfield, Keith Electron Beam Lithography For Fabricating Heat Assisted Magnetic Recording Heads
Mouri, Yuriko Low Energy Ion Beam Machining Of Si Layer Deposited On An ULE Substrate For EUVL Optics - Evaluation Of Surface Roughness
Mukherjee, Pran Plasma-Etch Fabrication Of High Aspect Ratio Freestanding Silicon Nanogratings As Deep UV Filters
Mulders, Hans Focused Electron-Beam-Induced Deposition Of Platinum At Very Low Landing Energies
Investigation Of Morphological Changes In Platinum Nano-Structures Created By Focused Electron-Beam-Induced Deposition
Munro, Eric Aberration Correction For Electron Beam Inspection, Metrology And Lithography
Murali, Raghunath Mitigation Of Microloading Effect In Nanoimprint Mask Fabrication
Muramatsu, Masashi Application Of Vector Scanning In FIB Photomask Repair System
Image Quality Improvement In Fib Photomask Repair System
Murano-Perez, Fransesc Dynamic Stencil Lithography On Full Wafer Scale
Myers, Alan Experimental Validation Of Full-Field Extreme Ultraviolet Lithography Flare And Shadowing Corrections

N

Naburgh, E.P. Optimum Dose Distribution For Argon Ion Multi-Beam Sputtering Of Microlens Array Templates
Nair, Pradeep (Invited) Deconstructing Receptor Signaling With Nanopatterned Supported Membranes
Nakamae, Koji Application Of Vector Scanning In FIB Photomask Repair System
Image Quality Improvement In Fib Photomask Repair System
Nakamatsu, Ken-ichiro Fabrication Of High Aspect Si Pillers By Deep Reactive Ion Etching Using Nanoimprinted HSQ Masks
Nam, Dong Seok Resist Charging Effect In Photomask: Its Impact On Pattern Placement Error And Critical Dimension
Nam, Sung-Wook Fabrication Of Si Nano-Pillar Array With An Areal Density Of 1012/In2 And Its Nanoimprint Lithography Application
(Invited) Electron Beam Projection Nanopatterning Using Crystal Lattice Images Obtained From High Resolution Transmission Electron Microscopy
The Modification Of Aperture System For Atomic Image Projection Electron-Beam Lithography (AIPEL)
Two-Step Development Method Of Hydrogen Silsesquioxane Resist For High-Density Electron Beam Nanopatterning
Naulleau, Patrick Latest Results From The SEMATECH Berkeley Extreme Ultraviolet Microfield Exposure Tool
Impact Of Base And PAG Loading On Intrinsic Resolution In EUV Resists
Nealey, Paul F. Directed Assembly Of Asymmetric Ternary Block Copolymer-Homopolymer Blends Thin Films Into Checkerboard Trimming Chemical Pattern
Impact Of Trench Width Roughness On The Directed Self-Assembly Of Block Copolymers On Topographic Substrates
Nealey, Paul In Situ Characterization Of Block Copolymer Ordering On Chemically Nanopatterned Surfaces By Time-Resolved SAXS
40 Nm Pitch Extreme Ultraviolet Interferometric Lithography
Nelson, Erik Inorganic Photoresist Materials For Direct Fabrication Of 3D Photonic Crystals Using Phase Mask Lithography
Nemoto, Yoshimi Fabrication Of Anti-Reflection Structures And Carbon Nanofibers Using Only Ion Beam Irradiation To Glassy Carbon
Neureuther, Andrew Quantitatively Engineering Surface Plasmon Coupling Through Computer Simulation
Nguyen, Cattien Fabrication Of Carbon Nanotube Field Emission Guns For Potential Maskless Lithography
Nguyen, Kim Fabrication Of Nanoscale Bioarrays For The Study Of Cytoskeletal Protein Binding Interactions Using Nano-Imprint Lithography
Niakoula, Dimitra Latest Results From The SEMATECH Berkeley Extreme Ultraviolet Microfield Exposure Tool
Impact Of Base And PAG Loading On Intrinsic Resolution In EUV Resists
Dissolution Optimization Of Highly Etch-Resistant, Anthracene-Based Molecular Resists
Nichol, Anthony Carbon Nanotube-Based Magnetic Actuation Of Origami Membranes
Niemann, Darrell Fabrication Of Carbon Nanotube Field Emission Guns For Potential Maskless Lithography
Niibe, Masahito Protection And Reduction Of Surface Oxidation Of Mo/Si Multilayers For EUVL Projection Optics By Control Of Hydrocarbon Gas Atmosphere
Niihara, Koichi Resisitivity Change Of The Diamond-Like Carbon
Nishiyama, Iwao Hydrogen Radical Cleaning Of Carbon Contamination On EUV Mask
Nishiyama, Yasushi Hydrogen Radical Cleaning Of Carbon Contamination On EUV Mask
Nix, Anne-Katrin Self-Aligned Graphitic Nanowires In Diamond-Like Carbon
Notte, John (Invited) Elemental Analysis With The Helium Ion Microscope
Nounu, Hatem An Atom Beam Lithography Tool For Fabricating Dense Nanostructure Arrays
Nozik, Arthur J. Third Generation Solar Photon Conversion Based on Multiple Exciton Generation in Semiconductor Quantum Dots
Numata, Atsushi Low Energy Ion Beam Machining Of Si Layer Deposited On An ULE Substrate For EUVL Optics - Evaluation Of Surface Roughness
Nygard, Kim In Situ Characterization Of Block Copolymer Ordering On Chemically Nanopatterned Surfaces By Time-Resolved SAXS

O

O'Reilly, Thomas B. Linewidth Uniformity In Lloyd’s Mirror Interference Lithography Systems
Ocola, Leonidas E. Espacer Protective Surface Coat For Cutting-Edge Ion-Beam Lithography
Ocola, Leonidas An Atom Beam Lithography Tool For Fabricating Dense Nanostructure Arrays
Fabrication Of Metallic Nano-Slit Waveguides With Sharp Bends
Nanofabrication Of Super High-Aspect Ratio Structures In HSQ From Direct-Write E-Beam Lithography And Hot Development
Ogino, Kozo Process Variation-Aware 3-Dimensional Proximity Effect Correction For Electron Beam Direct Writing At 45 Nm Node And Beyond
Ohlberg, Doug Fabrication Of Amorphous Metallic Nanowires For IC Interconnects By Nanoimprint Lithography
Ohyi, Hideyuki Potential Of Rotary Stage Electron Beam Mastering System For Fabricating Patterned Magnetic Media
Sub-50nm Resolution Surface Electron Emission Lithography Using Nano-Si Ballistic Electron Emitter
Oizumi, Hiroaki Hydrogen Radical Cleaning Of Carbon Contamination On EUV Mask
Oizumi, Hiroaki Novel Negative-Tone Molecular Resist For EUV Lithography
Okamoto, Kazumasa Multispur In Chemically Amplified Electron Beam Resists
Olynick, Deirdre An Alternative Electron Beam Exposure Mechanism For Hydrogen Silsesquioxane – A Raman And FTIR Study
Quasi-Zero Average Refractive Index Photonic Crystals Metamaterials Collimating Infrared Light Over Large Scale
Onishi, Yuki Experimental And Numerical Analysis On Recovery Of Polymer Deformation After Demolding In Hot-Embossing Process
Orloff, Jon A Novel, High Brightness Ion Source Based On Laser Cooled Atoms
Orlov, Alexei Controlled Chemical Mechanical Polishing Of Polysilicon And Silicon-Dioxide For Si Based Single-Electron Device With Oxide Tunnel Barriers
Orozco, Jahir Impedimetric Biosensing With Interdigitated Arrays Of Nano Electrodes Fabricated By Nanoimprint Lithography
Oster, Jens (Invited) Applications Of Focused Electron Beam Processing
Ozkan, Mihri (Invited) Nanopatterning And Assembly Of Electronics

P

Pai, I-Ting Polyimide Hierarchical Structures Via Imprinting And Dewetting
Pain, Laurent (Invited) The European “MAGIC” Initiative On Massively Parallel Electron Beam Lithography - Resist Technology Status
Panepucci, Roberto Novel SU8 Optical Waveguide Microgripper For Simultaneous Micromanipulation And Optical Detection
Pang, S. W. Fabrication Of Organic Light Emitting Diode Arrays By Reversal Imprint Lithography
Control of DNA Motion in Microchannels Integrated with Dual Electrodes
Panniello, A. Losses Compensation In Metallic Nanocomposite Polymer By Optical Gain: Application To Nanoimprinted Microcavities
Pargon, Erwine Impact Of Plasma Etching Processes On 193 nm Photoresist: Etch Resistance And Line Width Roughness
Parikh, Dhara A Multi-Electrode Cuff For Neuronal Sensing In The Locust
Park, Doewon (Invited) Electron-Transparent Cantilevers For TEM Visualization Of Nanodevices
Park, Hyunsoo Improving Organic Thin-Film Transistor Performance By Nanoimprint-Induced Chain Ordering
Microfluidic MDM Structure As A Tunable Optical Filter
Solvent-Etching And Dewetting Techniques For Residual Layer Removal In Thermal Nanoimprint
Park, Jeong The Effects Of Wet And Dry Cleaning Methods On The Chemical Composition And Morphology Of The Ru Capping Layer Of The Extreme Ultraviolet (EUV) Mask Blanks
Park, Su Yeon Fabrication Of Si Nano-Pillar Array With An Areal Density Of 1012/In2 And Its Nanoimprint Lithography Application
Parkin, Stuart (Invited) Race Track Memory!
Partlo, Bill Improving Lithography Pattern Fidelity And Line-Edge Roughness By Reducing Laser Speckle
Patsis, George Dissolution Optimization Of Highly Etch-Resistant, Anthracene-Based Molecular Resists
Pauliac-Vaujour, Sebastien Improvement Of High Resolution Lithography Capabilities By Using Amorphous Carbon Hard Masks
Hybrid High Resolution Lithography (E-Beam/DUV) And Etch Process For Achieving Stacked Nanowire MOSFETs
Pease, Fabian Preferential Orientation Effects In Partial Melt Laser Crystallization Of Silicon
The Prospects Of Free Electron Analog To Digital Technology
Mask-Less Wet Etching Using Laser Induced Local Heating
Evaluation Of Electron Energy Spread In Csbr Based Photocathodes
Pease, R. F. W. Low Temperature Limits For Nano-Graphoepitaxy Of Semiconductors
Pease, R. Fabian W. High-Speed Optical Beam-Steering Based On Phase-Arrayed Waveguides
Impedance Modulation In Coaxial Nanoneedle Biosensor
Multicriteria Optimization In Sensor Design And Fabrication For DNA Thermosequencing Platform
Pease, R. Fabian X-Ray Diffraction Microscopy: Reconstruction With Partial Magnitude And Spatial A Priori Information
Peijster, Jerry (Invited) MAPPER: High Throughput Maskless Lithography
Peng, Can Fabrication Of Wafer-Scale Nano-Split-Ring Metamaterials By Nanoimprint Without Direct-Write In Mold Making
A Novel Method For Fabricating Sub-16 Nm Footprint T-Gate Nanoimprint Molds
Pérez-Murano, Francesc Impedimetric Biosensing With Interdigitated Arrays Of Nano Electrodes Fabricated By Nanoimprint Lithography
Perret, Corine NIL Processes And Material Characterization On Transparent Substrates For Optical Applications,  Nicolas Chaix
Pertsch, Thomas Fabrication Of Large-Area Negative-Index Metamaterial By Electron-Beam-Lithography
Petford-Long, Amanda Espacer Protective Surface Coat For Cutting-Edge Ion-Beam Lithography
Petric, Paul (Invited) Reflective Electron Beam Lithography (REBL), A Novel Approach To High Speed Maskless Ebeam Direct Write Lithography
Petrou, Panagiota (Invited) High-Aspect-Ratio Plasma-Induced Nanotexturing Of Polymers (PDMS PMMA, PEEK, ...) For Protein Adsorption Applications
Peyrade, David Capillary Forces Assembly In Microfluidic Cell: Faster Assembly By Accelerating The Particles Convective Flux And Integration Into Complex Pattern
Pianetta, Piero X-Ray Diffraction Microscopy: Reconstruction With Partial Magnitude And Spatial A Priori Information
Evaluation Of Electron Energy Spread In Csbr Based Photocathodes
Pickard, Dan Preferential Orientation Effects In Partial Melt Laser Crystallization Of Silicon
Picraux, S. T. Design And Fabrication Of Vertical Nanowire Device Arrays
Pina-Hernandez, Carlos Structure-Property Relationship Of Photocurable Silsesquioxane Resists For Nanoimprint Lithography
Pinedo, Tatiana Capillary Forces Assembly In Microfluidic Cell: Faster Assembly By Accelerating The Particles Convective Flux And Integration Into Complex Pattern
Placido, T. Losses Compensation In Metallic Nanocomposite Polymer By Optical Gain: Application To Nanoimprinted Microcavities
Platzgummer, Elmar (Invited) Projection Mask-Less Patterning (PMLP) For Nanotechnology Applications
Optimum Dose Distribution For Argon Ion Multi-Beam Sputtering Of Microlens Array Templates
Platzgummer, Elmat (Invited) The European “MAGIC” Initiative On Massively Parallel Electron Beam Lithography - Resist Technology Status
Ponizovskaya, Ekaterina Optical Negative Index Meta-Materials At 1.55 µm Wavelength And Geometry Dependency Studies
Tunable Optical Gain For Negative Index Materials By Integration Of Near-Infrared Emitting Nanocrystals
Ponomareko, Alexander 50 Nm Resolution Extreme Ultraviolet Imaging With A Desktop-Size Laser
Popova, Vera Polyhedral Oligomeric Silsesquioxane (POSS) Functional Patterns Directly Fabricated By Nanoimprint Lithography
Popovic, Milos (Invited) Challenges In Nanofabrication Of Strong-Confinement Photonic Devices
Porod, Wolfgang Thermal Infrared Detection Using Antenna-Coupled Metal-Oxide-Metal Diode Detectors
Prabhu, Vivek (Invited) Advances In Neutron Research Methods For Photoresists Fundamentals
Provine, J Mask-Less Wet Etching Using Laser Induced Local Heating
Pshenay-Severin, Ekaterina Fabrication Of Large-Area Negative-Index Metamaterial By Electron-Beam-Lithography
Putkonen, Matti Interdigitated Electrode Structures For Osteoblast Growth Studies
Putna, Steve Improvement In Line Width Roughness (LWR) By Post-Processing

Q

Qi, Minghao Generating Manhattan Patterns Via Cutting And Stitching Of Gratings
Qi, Minghao Reducing Mode-Transition Loss In Silicon-On-Insulator Strip Waveguide Bends
Qu, XinPing Electron Beam Lithography For Distributed Bragg Reflectors In SU-8
Quimonda (Invited) The European “MAGIC” Initiative On Massively Parallel Electron Beam Lithography - Resist Technology Status

R

Rachmady, Willy Improvement In Line Width Roughness (LWR) By Post-Processing
Rack, Philip (Invited) Maskless Nanolithography Approaches Utilizing Electron-Beam-Induced Deposition
Mass–Transport And Reaction-Rate Limited Growth Modes During Electron–Beam Induced Deposition
Nanoscale Electron Beam Induced Etching (EBIE)
Rad, Leili Baghaei X-Ray Diffraction Microscopy: Reconstruction With Partial Magnitude And Spatial A Priori Information
Raghunathan, S Tools For Resist Heating Analysis And Compensation For Electron Beam Tools
Rahkila, Paavo Interdigitated Electrode Structures For Osteoblast Growth Studies
Rahman, Mahmud Fabrication Of Carbon Nanotube Field Emission Guns For Potential Maskless Lithography
Rajashekar, Ananda Sagari Arcot Interdigitated Electrode Structures For Osteoblast Growth Studies
Rakich, Peter (Invited) Challenges In Nanofabrication Of Strong-Confinement Photonic Devices
Ralph, D.C. (Invited) Speed and Spin-Orbit: New Results in Carbon Nanotubes
Ram, Rajeev (Invited) The Challenge Of CMOS Photonics And Electronics For Enhanced Microprocessor Performance
Fabrication Strategies For Filter Banks Based On Microring Resonators
Ramos, Raphael Impact Of Plasma Etching Processes On 193 nm Photoresist: Etch Resistance And Line Width Roughness
Rangelow, Ivo (Invited) Scanning Proximal Probes For Parallel Imaging And Lithography
Raptis, Ioannis Dissolution Optimization Of Highly Etch-Resistant, Anthracene-Based Molecular Resists
Ratnagiri, VenkatSubramanian Kavasseri The Effects Of Molecular Weight On Resist Evaluation Parameters In Poly(Methylmethacrylate) Developed At Sub-Zero Temperatures
Rauscher, Michael (Invited) Transmission Electron Microscopy Sample Preparation Employing A "Triple" Beam Instrument
Raveh, Amir E-Beam Nano-Machining For Circuit Edit: An Invasiveness Study
Reano, Ronald Relief And Trench Formation On Chalcogenide Thin-Films Using Electron Beams
Reboud, Vincent Losses Compensation In Metallic Nanocomposite Polymer By Optical Gain: Application To Nanoimprinted Microcavities
Redmond, Garreth Losses Compensation In Metallic Nanocomposite Polymer By Optical Gain: Application To Nanoimprinted Microcavities
Regonda, Suresh Stability Of HSQ Nano-Lines Defined By E-Beam Lithography
Rekawa, Senajith Actinic Euv Mask Inspection Beyond 0.25 NA
Rendina, Ivo Quasi-Zero Average Refractive Index Photonic Crystals Metamaterials Collimating Infrared Light Over Large Scale
Resnick, Douglas Full Field Imprint Masks Using Variable Shape Beam Pattern Generators
Patterned Media Using Step And Flash Imprint Lithography
Retolaza, A. Refined Coarse-Grain Modeling Of Stamp Deformation In Nanoimprint Lithography
Retterer, Scott Development Of Nanoporous Picoliter Reaction Vessels For The Characterization Of Biochemical Systems
(Invited) Maskless Nanolithography Approaches Utilizing Electron-Beam-Induced Deposition
Rettner, Charles T. (Invited) Storage Class Memory
Rettner, Charles Polymer Self-Assembly For Nanopatterning
Reuther, Freimut Design Of Polymers For Thermal Nanoimprint Lithography Based On Rheological Investigations
Reynolds, Jackson An Atom Beam Lithography Tool For Fabricating Dense Nanostructure Arrays
Ribaya, Bryan Fabrication Of Carbon Nanotube Field Emission Guns For Potential Maskless Lithography
Riehle, M. (Invited) Controlling Cell Behaviour Using Nanopatterned Surfaces
Ro, Hyun Wook Continuous Patterns With Height Gradients By Nanoimprint Lithography And Thermal Gradient Annealing
Polyhedral Oligomeric Silsesquioxane (POSS) Functional Patterns Directly Fabricated By Nanoimprint Lithography
Roberts, Jeanette Contributions Of Resist Polymers To Innate Material Roughness
Rocca, Jorge 50 Nm Resolution Extreme Ultraviolet Imaging With A Desktop-Size Laser
Ultra Compact Interferometric Lithography System Realized With A Desk-Top Extreme Ultraviolet Laser
Rockward, Willie Ultra Compact Interferometric Lithography System Realized With A Desk-Top Extreme Ultraviolet Laser
Roelkens, Gunther Focused-Ion-Beam Lithography For Prototyping Of Silicon Photonic Components
Rogers, John Inorganic Photoresist Materials For Direct Fabrication Of 3D Photonic Crystals Using Phase Mask Lithography
Rokitski, Slava Improving Lithography Pattern Fidelity And Line-Edge Roughness By Reducing Laser Speckle
Romero, Iván García Design Of Polymers For Thermal Nanoimprint Lithography Based On Rheological Investigations
Ronse, Kurt Experimental Validation Of Full-Field Extreme Ultraviolet Lithography Flare And Shadowing Corrections
Rorrer, Gregory Biofunctionalization For Enhanced Photoluminescence Of Nanopatterned Silica From The Diatom Cyclotella Sp.
Rosenbluth, Alan (Invited) The Role Of Computational Modeling In Semiconductor Lithography:Progressing Towards The Virtual Fab
Ross, C.A. (Invited) Si-Containing Block Copolymers For Self-Assembled Nanolithography Applications
Ross, Caroline Hydrogen Silsesquioxane Nano-Posts As Decoys For Guiding The Self-Assembly Of Block Copolymers
Rossitto, Emmanuela Capillary Forces Assembly In Microfluidic Cell: Faster Assembly By Accelerating The Particles Convective Flux And Integration Into Complex Pattern
Rothemund, Paul Self-Assembly Of DNA Origami Nanostructures On Lithographically Patterned Surfaces
Rottmayer, Robert Electron Beam Lithography For Fabricating Heat Assisted Magnetic Recording Heads
Challenges In 1 Teradot/In.2 Dot Nanoimprint Lithography For Bit-Patterned Media
Rouse, John Aberration Correction For Electron Beam Inspection, Metrology And Lithography
Roy, Ananya An Atom Beam Lithography Tool For Fabricating Dense Nanostructure Arrays
Ruan, Junru Optical Wafer Height And Tilt Sensor For Electron Beam Lithography System
Ruiz, Ricardo 65mm Disk Patterning At 500 Gb/In2 With Full Surface Area Coverage From Diblock Copolymer Templates
Russell, Phillip FIB Fabrication Of Metallic Nanostructures On End-Faces Of Cleaved Optical Fibers For Chemical Sensing Applications

S

Saeki, Akinori Multispur In Chemically Amplified Electron Beam Resists
Sahoo, Pratap Kumar Fabrication Of Fresnel Zone Plates By Holography In The Extreme Ultraviolet Region
Sajavaara, Timo Interdigitated Electrode Structures For Osteoblast Growth Studies
Sakaya, Noriyuki Coherent Euv Scattering Microscopy
Salaita, Khalid (Invited) Deconstructing Receptor Signaling With Nanopatterned Supported Membranes
Samantaray, Chandan Self-Assembled Monolayer Fiduical Grids For Spatial-Phase-Locked Electron-Beam Lithography
The Effect Of Thin Metal Over-Layers On The Electron Beam Exposure Of Poly-Methyl Methacrylate
Samarelli, Antonio Optical Characterisation Of An HSQ Lithography Process
Sanders, Daniel Polymer Self-Assembly For Nanopatterning
Sansa, Marc Dynamic Stencil Lithography On Full Wafer Scale
Santillan, Julius Joseph (Invited) Latent Image Formation In Chemically Amplified Extreme Ultraviolet Resists With Low Activation Energy For Deprotection Reaction
Sarkar, Sankha Subhra Fabrication Of Fresnel Zone Plates By Holography In The Extreme Ultraviolet Region
Satapathy, Dillip In Situ Characterization Of Block Copolymer Ordering On Chemically Nanopatterned Surfaces By Time-Resolved SAXS
Satija, Sushil (Invited) Advances In Neutron Research Methods For Photoresists Fundamentals
Savu, Veronica Dynamic Stencil Lithography On Full Wafer Scale
Schattenburg, Mark Spatial-Frequency Multiplication With Multilayer Interference Lithography
Fabrication Of 200 Nm Period Blazed Transmission Gratings On Silicon-On-Insulator Wafers
Scheer, Hella-Christin Contact Angles In A Thermal Imprint Process
Quality Assessment Of Anti-Sticking Layers For T-NIL
Schenkel, Thomas (Invited) Single-Atom Doping And Single Atom Device Development
Spin-Dependent Scattering Off Neutral Donors In Silicon Field-Effect Transistors
Schenker, Richard (Invited) Advanced Imaging Via Pixelated Phase Masks And Inverse Lithography
Scherer, Axel Diffractive Optical Element Fabrication By Electron Beam Lithography
Schift, H. Refined Coarse-Grain Modeling Of Stamp Deformation In Nanoimprint Lithography
Schipotinin, Andreas An Alternative Electron Beam Exposure Mechanism For Hydrogen Silsesquioxane – A Raman And FTIR Study
Schmid, Gerard Patterned Media Using Step And Flash Imprint Lithography
Schrauwen, Jonathan Reducing Optical Losses In Focused-Ion-Beam Etched Silicon
Focused-Ion-Beam Lithography For Prototyping Of Silicon Photonic Components
Schuck, Jim An Alternative Electron Beam Exposure Mechanism For Hydrogen Silsesquioxane – A Raman And FTIR Study
Schvartzman, Mark Fabrication Of Nanoscale Bioarrays For The Study Of Cytoskeletal Protein Binding Interactions Using Nano-Imprint Lithography
(Invited) Fluorinated Diamond-Like Carbon Templates For High Resolution Nanoimprint Lithography
Schwind, G. A. Range Of Validity Of Field Emission Equations
Selinidis, Kosta Full Field Imprint Masks Using Variable Shape Beam Pattern Generators
Sensor, Berkeley Light Modulation With A Nano-Patterned Diffraction Grating And MEMS Pixel
Seo, Hwan-Seok Effects Of Mask Absorber Structures On The EUV Lithography
Seo, Kwangseok Self-Aligned Asymmetric Recess Technique With E-Beam Lithography
Sfez, Bruno Inorganic Photoresist Materials For Direct Fabrication Of 3D Photonic Crystals Using Phase Mask Lithography
Shah, Uday Improvement In Line Width Roughness (LWR) By Post-Processing
Sharping, Jay (Invited) Speed and Spin-Orbit: New Results in Carbon Nanotubes
Sheetz, Michael Fabrication Of Nanoscale Bioarrays For The Study Of Cytoskeletal Protein Binding Interactions Using Nano-Imprint Lithography
Fabrication Of Elastometer Pillar Arrays With Modulated Stiffness For Cellular Force Measurements
Shell, Melissa Improvement In Line Width Roughness (LWR) By Post-Processing
Shen, Hao Reducing Mode-Transition Loss In Silicon-On-Insulator Strip Waveguide Bends
Shen, Ron Optical Negative Index Meta-Materials At 1.55 µm Wavelength And Geometry Dependency Studies
Shenoy, Rohit S. (Invited) Storage Class Memory
Shibata, Mayumi Experimental And Theoretical Study On The Demolding Mechanics Experimental And Theoretical Study On The Demolding Mechanics In Imprint Process
Shin, Jangho Study Of Machine To Machine Overlay Error For Sub-60-Nm Memory Devices
Shizuno, Miyako Fabrication Of The Nanoimprint Mold Using Inorganic Electron Beam Resist With Post Exposure Bake
Shu, Zhen Electron Beam Lithography For Distributed Bragg Reflectors In SU-8
Sidorkin, Vadim Influence Of Resist Temperature During Exposure On Ultra-High Resolution Electron Beam Lithography Using HSQ Resist
Sidorov, Oleg E-Beam Nano-Machining For Circuit Edit: An Invasiveness Study
Sijbrandij, Sybren (Invited) Elemental Analysis With The Helium Ion Microscope
Simmons, Michelle Engineered Materials for Single Atom Architectures for Computation
Simpson, Michael Development Of Nanoporous Picoliter Reaction Vessels For The Characterization Of Biochemical Systems
(Invited) Maskless Nanolithography Approaches Utilizing Electron-Beam-Induced Deposition
Mass–Transport And Reaction-Rate Limited Growth Modes During Electron–Beam Induced Deposition
Singh, Vivek Effect Of Microstructure On Deprotection Kinetics In Photoresist
Sirotkin, V. Refined Coarse-Grain Modeling Of Stamp Deformation In Nanoimprint Lithography
Sirotkin, Vadim Optimization Of Droplet Volume For UV-NIL
Siuti, Piro Development Of Nanoporous Picoliter Reaction Vessels For The Characterization Of Biochemical Systems
Skinner, Jack Light Modulation With A Nano-Patterned Diffraction Grating And MEMS Pixel
Slot, Erwin (Invited) MAPPER: High Throughput Maskless Lithography
Smith, Bruce Enhancement Of Hyper-NA Imaging Through Selective TM Polarization
Aluminum Oxides As Metamaterials For Enhancement Of 193nm Lithography
Photomask Image Enhancement Using Grating Generated Surface Waves
Smith, Henry I. Nanometer-Level Alignment And Global Positioning To A Substrate-Embedded Coordinate System
Linewidth Uniformity In Lloyd’s Mirror Interference Lithography Systems
A Prototype Commercial System For Massively-Parallel Maskless Zone-Plate-Array Lithography (ZPAL)
Smith, Henry (Invited) Challenges In Nanofabrication Of Strong-Confinement Photonic Devices
Fabrication Strategies For Filter Banks Based On Microring Resonators
Smith, Noel Nanoscale To Millimeter Scale Milling With A Focused Ion Beam Instrument
Snider, Gregory Controlled Chemical Mechanical Polishing Of Polysilicon And Silicon-Dioxide For Si Based Single-Electron Device With Oxide Tunnel Barriers
Snow, Arthur W. (Invited) Electron-Transparent Cantilevers For TEM Visualization Of Nanodevices
Solak, Harun H. Fabrication Of Fresnel Zone Plates By Holography In The Extreme Ultraviolet Region
Solak, Harun In Situ Characterization Of Block Copolymer Ordering On Chemically Nanopatterned Surfaces By Time-Resolved SAXS
Soles, Christopher Continuous Patterns With Height Gradients By Nanoimprint Lithography And Thermal Gradient Annealing
Polyhedral Oligomeric Silsesquioxane (POSS) Functional Patterns Directly Fabricated By Nanoimprint Lithography
Somorjai, Gabor The Effects Of Wet And Dry Cleaning Methods On The Chemical Composition And Morphology Of The Ru Capping Layer Of The Extreme Ultraviolet (EUV) Mask Blanks
Sooryakumar, R Relief And Trench Formation On Chalcogenide Thin-Films Using Electron Beams
Sorel, Marc Optical Characterisation Of An HSQ Lithography Process
Spaeth, Hans Direct Write Electron Beam Patterning Of DNA:Complex Thin Films
Sreenivasan, S.V. Full Field Imprint Masks Using Variable Shape Beam Pattern Generators
Patterned Media Using Step And Flash Imprint Lithography
Stacey, Nick Full Field Imprint Masks Using Variable Shape Beam Pattern Generators
Standiford, Keith A Modeling Approach For Shot Noise Effect On Feature Roughness
Stebler, Camille (Invited) Transmission Electron Microscopy Sample Preparation Employing A "Triple" Beam Instrument
Steckl, Andrew Direct Write Electron Beam Patterning Of DNA:Complex Thin Films
Steenbrink, Stijn (Invited) MAPPER: High Throughput Maskless Lithography
Stepanova, M. High Density Nanostructure Fabrication by Electron Beam Lithography, Zs. Szabo
Stojanovic, Vladimir (Invited) The Challenge Of CMOS Photonics And Electronics For Enhanced Microprocessor Performance
Strain, Michael Optical Characterisation Of An HSQ Lithography Process
Striccoli, Marinella Losses Compensation In Metallic Nanocomposite Polymer By Optical Gain: Application To Nanoimprinted Microcavities
Stuen, Karl In Situ Characterization Of Block Copolymer Ordering On Chemically Nanopatterned Surfaces By Time-Resolved SAXS
Suga, Osamu Hydrogen Radical Cleaning Of Carbon Contamination On EUV Mask
Sugatani, Shinji (Invited) Application And Technology Of EBDW (Electron Beam Direct Writing):The Impact On Its Business Circumstances
Sugiyama, Yasuhiko Application Of Vector Scanning In FIB Photomask Repair System
Image Quality Improvement In Fib Photomask Repair System
Sun, Jirun Continuous Patterns With Height Gradients By Nanoimprint Lithography And Thermal Gradient Annealing
Sun, Steven Evaluation Of Electron Energy Spread In Csbr Based Photocathodes
Sun, Yi-Ting Large-Area Microlens Arrays Fabricated By Integrated Gas-Assisted UV-Curing Embossing With UV-LED Array Lamp
Sundberg, Linda Polymer Self-Assembly For Nanopatterning
Suzuki, Hidekazu (Invited) Transmission Electron Microscopy Sample Preparation Employing A "Triple" Beam Instrument
Suzuki, Ikumi Multilevel Visualization Of The Local Electric Field At A Sharp Probe Apex By Scanning Electron Microscopy
Suzuki, Tsuneo Resisitivity Change Of The Diamond-Like Carbon
Svintsov, A. Refined Coarse-Grain Modeling Of Stamp Deformation In Nanoimprint Lithography
Svintsov, Alexander Optimum Dose Distribution For Argon Ion Multi-Beam Sputtering Of Microlens Array Templates
Optimization Of Droplet Volume For UV-NIL
Swanson, L. W. Range Of Validity Of Field Emission Equations
Szikszai, Laszlo Detailed Characterization Of HSQ For E-Beam Application In DRAM Pilot Line Environment

T

Tada, Yasuhiko Integration Of Electron Beam Lithography With Block Copolymer Self-Assembly For 10nm Scale Nanofabrication
Tagawa, Seiichi (Invited) Latent Image Formation In Chemically Amplified Extreme Ultraviolet Resists With Low Activation Energy For Deprotection Reaction
Multispur In Chemically Amplified Electron Beam Resists
Dissolution Characteristics Of Chemically Amplified EUV Resist
Takagi, Hideki Experimental And Numerical Analysis On Recovery Of Polymer Deformation After Demolding In Hot-Embossing Process
Takahashi, Haruo (Invited) Transmission Electron Microscopy Sample Preparation Employing A "Triple" Beam Instrument
Takahashi, Masaharu Experimental And Numerical Analysis On Recovery Of Polymer Deformation After Demolding In Hot-Embossing Process
Takaoka, Osamu Application Of Vector Scanning In FIB Photomask Repair System
Image Quality Improvement In Fib Photomask Repair System
Takenaka, Mikihito Integration Of Electron Beam Lithography With Block Copolymer Self-Assembly For 10nm Scale Nanofabrication
Talin, A. Alec Light Modulation With A Nano-Patterned Diffraction Grating And MEMS Pixel
Tanase, Mihaela Espacer Protective Surface Coat For Cutting-Edge Ion-Beam Lithography
Tang, Jing Tunable Optical Gain For Negative Index Materials By Integration Of Near-Infrared Emitting Nanocrystals
Taniguchi, Jun Fabrication Of The Nanoimprint Mold Using Inorganic Electron Beam Resist With Post Exposure Bake
Fabrication Of Anti-Reflection Structures And Carbon Nanofibers Using Only Ion Beam Irradiation To Glassy Carbon
Tanimoto, Sayaka Evaluation Of Electron Energy Spread In Csbr Based Photocathodes
Teepen, Tijs (Invited) MAPPER: High Throughput Maskless Lithography
Tejnil, Edita Pattern Specific Optical Models
Tekniker, Fundacion Refined Coarse-Grain Modeling Of Stamp Deformation In Nanoimprint Lithography
Tesch, Paul Nanoscale To Millimeter Scale Milling With A Focused Ion Beam Instrument
Thiault, Jerome Impact Of Plasma Etching Processes On 193 nm Photoresist: Etch Resistance And Line Width Roughness
Thiel, Bradley Direct Writing Of High Resolution, Radially-Symmetric Nanostructures By Simultaneous Electron Beam Induced Deposition And Etching
Thomas, Darrell Development Of Nanoporous Picoliter Reaction Vessels For The Characterization Of Biochemical Systems
Thomas, Edwin Hydrogen Silsesquioxane Nano-Posts As Decoys For Guiding The Self-Assembly Of Block Copolymers
Thoms, Stephen Optical Characterisation Of An HSQ Lithography Process
Thrum, Frank (Invited) The European “MAGIC” Initiative On Massively Parallel Electron Beam Lithography - Resist Technology Status
Tirumala, Vijay Nanofabrication Of Super High-Aspect Ratio Structures In HSQ From Direct-Write E-Beam Lithography And Hot Development
Tiwari, Badri Thermal Infrared Detection Using Antenna-Coupled Metal-Oxide-Metal Diode Detectors
Tolbert, Laren Single Component Molecular Resists With Covalently Bound Photoacids
Next Generation Chemically Amplified Molecular Resists For E-Beam Lithography Based On Epoxide Cross-Linking
Torres, Clivia M. Sotomayor Losses Compensation In Metallic Nanocomposite Polymer By Optical Gain: Application To Nanoimprinted Microcavities
Tortai, Jean-Hervé  Epoxy Silsesquioxane Resists For UV Nanoimprint Lithography
Toth, Milos Nanofabrication By Ultra-High Resolution Environmental Scanning Electron Microscopy
Direct Writing Of High Resolution, Radially-Symmetric Nanostructures By Simultaneous Electron Beam Induced Deposition And Etching
Trautmann, Christina Self-Aligned Graphitic Nanowires In Diamond-Like Carbon
Tseng, Yu-Chih Capacitive Characterization Of The Schottky Contact Between Metal And Semiconducting Carbon Nanotube
Tserepi, Angeliki (Invited) High-Aspect-Ratio Plasma-Induced Nanotexturing Of Polymers (PDMS PMMA, PEEK, ...) For Protein Adsorption Applications
Tsong, Tien T. Gas Field Ion Source From An Ir/W<111> Single-Atom Tip
Tsougeni, Katerina (Invited) High-Aspect-Ratio Plasma-Induced Nanotexturing Of Polymers (PDMS PMMA, PEEK, ...) For Protein Adsorption Applications
Tsuchiya, Masatoshi Development Of The Compact Low-Energy Soft X-Ray CT Equipment For The Soft Material Structural Analysis
Tuchfeld, Eduard Patterned Epitaxial Nanomagnets For Novel Logic Devices
Tulevski, George (Invited) Chemical Functionalization For The Selective Placement Of Single-Walled Carbon Nanotubes
Tünnermann, Andreas Fabrication Of Large-Area Negative-Index Metamaterial By Electron-Beam-Lithography
Twigg, Mark E. (Invited) Electron-Transparent Cantilevers For TEM Visualization Of Nanodevices
Tyryshkin, Alexei Spin-Dependent Scattering Off Neutral Donors In Silicon Field-Effect Transistors
Tzou, Tsong Tien Investigation of Single-Walled Carbon Nanotubes With LEEPS Microscope, Chang Che-Cheng

U

Ukita, Yoshiaki Property Of In-Vacuum Direct Photo-Etching Of PTFE Brought By High Energy Synchrotron Radiation Irradiation
Ukraintsev, Vladimir Enabling Nanometrology For High Aspect Ratio Structures With Carbon Nanotube AFM Probes
Unno, Noriyuki Fabrication Of The Nanoimprint Mold Using Inorganic Electron Beam Resist With Post Exposure Bake
Utke, Ivo Optimization Of Nozzle-Based Gas Injection Systems For Focused Electron- And Ion- Beam Induced Processing
Stiffness, Density And Quality Of High Aspect Ratio Cu/C Nanostructures Produced By Focused Electron-Beam Induced Deposition
Utsumi, Yuichi Property Of In-Vacuum Direct Photo-Etching Of PTFE Brought By High Energy Synchrotron Radiation Irradiation

v

van Dalfsen, K. High Density Nanostructure Fabrication by Electron Beam Lithography, Zs. Szabo
van de Peut, Ton (Invited) MAPPER: High Throughput Maskless Lithography
van Delft, F.C.M.J.M. Optimum Dose Distribution For Argon Ion Multi-Beam Sputtering Of Microlens Array Templates
van den Boogaart, Marc A. F. Dynamic Stencil Lithography On Full Wafer Scale
van der Drift, Emile Influence Of Resist Temperature During Exposure On Ultra-High Resolution Electron Beam Lithography Using HSQ Resist
van der Veen, Friso In Situ Characterization Of Block Copolymer Ordering On Chemically Nanopatterned Surfaces By Time-Resolved SAXS
van der Veen, Johannes Friso Fabrication Of Fresnel Zone Plates By Holography In The Extreme Ultraviolet Region
van Dorp, W.F. (Invited) 1 nm Patterning
Van Thourhout, Dries Reducing Optical Losses In Focused-Ion-Beam Etched Silicon
Focused-Ion-Beam Lithography For Prototyping Of Silicon Photonic Components
van Veen, Alexander (Invited) MAPPER: High Throughput Maskless Lithography
Vidali, Veroniki Dissolution Optimization Of Highly Etch-Resistant, Anthracene-Based Molecular Resists
Vinogradov, Alexander 50 Nm Resolution Extreme Ultraviolet Imaging With A Desktop-Size Laser
Visnapuu, Mari-Liis (Invited) Nanoscale “Curtain Rods” For The Study Of Protein-DNA Interactions
Vivas, Javier Romero Losses Compensation In Metallic Nanocomposite Polymer By Optical Gain: Application To Nanoimprinted Microcavities
Vizioz, Christian Hybrid High Resolution Lithography (E-Beam/DUV) And Etch Process For Achieving Stacked Nanowire MOSFETs
Vlachopoulou, Maria-Elena (Invited) High-Aspect-Ratio Plasma-Induced Nanotexturing Of Polymers (PDMS PMMA, PEEK, ...) For Protein Adsorption Applications
Vo-Dinh, Tuan FIB Fabrication Of Metallic Nanostructures On End-Faces Of Cleaved Optical Fibers For Chemical Sensing Applications
Volker (Invited) Applications Of Focused Electron Beam Processing

W

Wachulak, Przemyslaw 50 Nm Resolution Extreme Ultraviolet Imaging With A Desktop-Size Laser
Ultra Compact Interferometric Lithography System Realized With A Desk-Top Extreme Ultraviolet Laser
Wagner, Raymond Direct Writing Of High Resolution, Radially-Symmetric Nanostructures By Simultaneous Electron Beam Induced Deposition And Etching
Wallace, John 40 Nm Pitch Extreme Ultraviolet Interferometric Lithography
Wallow, Tom Latest Results From The SEMATECH Berkeley Extreme Ultraviolet Microfield Exposure Tool
Wallraff, Gregory Self-Assembly Of DNA Origami Nanostructures On Lithographically Patterned Surfaces
Walsh, Michael A Prototype Commercial System For Massively-Parallel Maskless Zone-Plate-Array Lithography (ZPAL)
Wang, Chuandao Model Studies Of The Photocatalytic Removal Of Carbon From Titanium Dioxide
Wang, Chuqing Resist Bias Measured From Iso-Focal Structure
Wang, Liping Aberration Correction For Electron Beam Inspection, Metrology And Lithography
Wang, Mingxing Understanding The Effects Of Photoacid Distribution Homogeneity And Diffusivity On Lithography Performance In Polymer-Bound PAG Photoresists
Wang, S-Y λ/12 Sub-wavelength Optical Lithography With A Smooth Superlens
Wang, Shih-Yuan Optical Negative Index Meta-Materials At 1.55 µm Wavelength And Geometry Dependency Studies
Tunable Optical Gain For Negative Index Materials By Integration Of Near-Infrared Emitting Nanocrystals
Wang, Ying (Invited) Quantized-Patterning Using Nanoimprinted-Blanks
Wanzenboeck, Heinz Effect of Microstructures on Growth of Human Epithelial Layers
Effect Of Gaseous Additives On Electron Beam Induced Deposition
Ward, Bill (Invited) Elemental Analysis With The Helium Ion Microscope
Watanabe, Takeo Coherent Euv Scattering Microscopy
Watts, Michael (Invited) Challenges In Nanofabrication Of Strong-Confinement Photonic Devices
Welander, Adam M. Impact Of Trench Width Roughness On The Directed Self-Assembly Of Block Copolymers On Topographic Substrates
Welander, Adam In Situ Characterization Of Block Copolymer Ordering On Chemically Nanopatterned Surfaces By Time-Resolved SAXS
Weller, Dieter Patterned Media Using Step And Flash Imprint Lithography
Whitlow, Harry James Interdigitated Electrode Structures For Osteoblast Growth Studies
Wi, Jung-Sub Fabrication Of Si Nano-Pillar Array With An Areal Density Of 1012/In2 And Its Nanoimprint Lithography Application
(Invited) Electron Beam Projection Nanopatterning Using Crystal Lattice Images Obtained From High Resolution Transmission Electron Microscopy
The Modification Of Aperture System For Atomic Image Projection Electron-Beam Lithography (AIPEL)
Two-Step Development Method Of Hydrogen Silsesquioxane Resist For High-Density Electron Beam Nanopatterning
Wiederrecht, Gary Fabrication Of Metallic Nano-Slit Waveguides With Sharp Bends
Wieland, Marco (Invited) MAPPER: High Throughput Maskless Lithography
Wilkinson, C. (Invited) Controlling Cell Behaviour Using Nanopatterned Surfaces
Williams, R. Stanley Optical Negative Index Meta-Materials At 1.55 µm Wavelength And Geometry Dependency Studies
Fabrication Of Amorphous Metallic Nanowires For IC Interconnects By Nanoimprint Lithography
Tunable Optical Gain For Negative Index Materials By Integration Of Near-Infrared Emitting Nanocrystals
Wind, Shalom Fabrication Of Nanoscale Bioarrays For The Study Of Cytoskeletal Protein Binding Interactions Using Nano-Imprint Lithography
(Invited) Fluorinated Diamond-Like Carbon Templates For High Resolution Nanoimprint Lithography
Fabrication Of Elastometer Pillar Arrays With Modulated Stiffness For Cellular Force Measurements
(Invited) Nanoscale “Curtain Rods” For The Study Of Protein-DNA Interactions
Wissen, Matthias Contact Angles In A Thermal Imprint Process
Quality Assessment Of Anti-Sticking Layers For T-NIL
Witte, Daniel Low Temperature Limits For Nano-Graphoepitaxy Of Semiconductors
Preferential Orientation Effects In Partial Melt Laser Crystallization Of Silicon
Mask-Less Wet Etching Using Laser Induced Local Heating
Wolfe, John An Atom Beam Lithography Tool For Fabricating Dense Nanostructure Arrays
A Multi-Electrode Cuff For Neuronal Sensing In The Locust
Woo, Sang-Gyun Resist Charging Effect In Photomask: Its Impact On Pattern Placement Error And Critical Dimension
Wu, Tsai-wei 65mm Disk Patterning At 500 Gb/In2 With Full Surface Area Coverage From Diblock Copolymer Templates
Wu, Wei Optical Negative Index Meta-Materials At 1.55 µm Wavelength And Geometry Dependency Studies
Fabrication Of Amorphous Metallic Nanowires For IC Interconnects By Nanoimprint Lithography
Tunable Optical Gain For Negative Index Materials By Integration Of Near-Infrared Emitting Nanocrystals
λ/12 Sub-wavelength Optical Lithography With A Smooth Superlens
Wu, Wen-li (Invited) Advances In Neutron Research Methods For Photoresists Fundamentals

X

Xia, Deying Fabrication Of Porous Nanochannels Using Nanoparticles And Application To The Transport Of DNA Molecules
Xia, Qiangfei Optical Negative Index Meta-Materials At 1.55 µm Wavelength And Geometry Dependency Studies
Fabrication Of Amorphous Metallic Nanowires For IC Interconnects By Nanoimprint Lithography
Tunable Optical Gain For Negative Index Materials By Integration Of Near-Infrared Emitting Nanocrystals
Xiao, Shijun Reducing Mode-Transition Loss In Silicon-On-Insulator Strip Waveguide Bends
Xiao, Shuaigang Electron Beam Lithography For Fabricating Heat Assisted Magnetic Recording Heads
(Invited) >1 Tdot/In.2 Bit Patterned Media Template Fabrication By Directed Polymer Self-Assembly
Challenges In 1 Teradot/In.2 Dot Nanoimprint Lithography For Bit-Patterned Media
Xie, Pen Enhancement Of Hyper-NA Imaging Through Selective TM Polarization
Xu, Hongqi Nanoelectromechanical Mass Sensor Fabricated By Nanoimprint Lithography
Xu, Yuan Challenges In 1 Teradot/In.2 Dot Nanoimprint Lithography For Bit-Patterned Media

Y

Yakshinskiy, B. V. Carbon Film Growth And Mitigation On Model Electron-Irradiated EUVL Mirror Capping Layers: TiO2 And Ru
Yamabe, Masaki Electron Beams In Individual Column Cells Of Multi Column Cell (MCC) System
Yamada, Akio Electron Beams In Individual Column Cells Of Multi Column Cell (MCC) System
Yamaguchi, Hiroshi Three-Dimensional (3D) Alignment With 10-nm Order Accuracy In Electron-Beam Lithography On Rotated Sample for 3D Nanofabrication
Yamamoto, Shigeaki Property Of In-Vacuum Direct Photo-Etching Of PTFE Brought By High Energy Synchrotron Radiation Irradiation
Yamamoto, Yo (Invited) Transmission Electron Microscopy Sample Preparation Employing A "Triple" Beam Instrument
Yamazaki, Kenji Three-Dimensional (3D) Alignment With 10-nm Order Accuracy In Electron-Beam Lithography On Rotated Sample for 3D Nanofabrication
Yamazaki, Y. Contrast Reversal Effect In SEM Due To Charging
Yan, Minjun The Effects Of Molecular Weight On Resist Evaluation Parameters In Poly(Methylmethacrylate) Developed At Sub-Zero Temperatures
Yan, Qiliang Resist Bias Measured From Iso-Focal Structure
Yan, Su-Jan Hybridization Sensing By Electrical Enhancement With Nanoparticles In Nano-Gap
Yang, J. Joshua Fabrication Of Amorphous Metallic Nanowires For IC Interconnects By Nanoimprint Lithography
Yang, J. K. W Sub-15 Nm Half-Pitch Nanoimprint Molds Using High Resolution Negative Tone Resist And Reactive Ion Etching
Yang, Joel Optimum Exposure Parameters For High-Resolution Scanning Electron Beam Lithography
Hydrogen Silsesquioxane Nano-Posts As Decoys For Guiding The Self-Assembly Of Block Copolymers
Yang, Sen-Yeu Large-Area Microlens Arrays Fabricated By Integrated Gas-Assisted UV-Curing Embossing With UV-LED Array Lamp
Diffusers With Both Surface-Relief And Particle-Diffusing Functions Fabricated Using Hybrid Extrusion Roller Embossing
Yang, XiaoMin Electron Beam Lithography For Fabricating Heat Assisted Magnetic Recording Heads
(Invited) >1 Tdot/In.2 Bit Patterned Media Template Fabrication By Directed Polymer Self-Assembly
Challenges In 1 Teradot/In.2 Dot Nanoimprint Lithography For Bit-Patterned Media
Yang, Yugu FPGA Implementation Of Real-Time Spatial-Phase Locking For Electron Beam Lithography
Yasaka, Anto Application Of Vector Scanning In FIB Photomask Repair System
Image Quality Improvement In Fib Photomask Repair System
Yasuda, Hiroshi Electron Beams In Individual Column Cells Of Multi Column Cell (MCC) System
Yasuda, Masaaki Experimental And Theoretical Study On The Demolding Mechanics Experimental And Theoretical Study On The Demolding Mechanics In Imprint Process
Ye, Jun X-Ray Diffraction Microscopy: Reconstruction With Partial Magnitude And Spatial A Priori Information
Yemini, M. Formation Of Nano Holes By An Electron Beam- Induced Etching Process
Yeo, Jeongho Study Of Machine To Machine Overlay Error For Sub-60-Nm Memory Devices
Yoon, Fern Stability Of HSQ Nano-Lines Defined By E-Beam Lithography
Yoon, Kwang-Sub Full Field Imprint Masks Using Variable Shape Beam Pattern Generators
Yoshida, Hiroshi Integration Of Electron Beam Lithography With Block Copolymer Self-Assembly For 10nm Scale Nanofabrication
Younkin, Todd Improvement In Line Width Roughness (LWR) By Post-Processing
Yu, Zhaoning Optical Negative Index Meta-Materials At 1.55 µm Wavelength And Geometry Dependency Studies
λ/12 Sub-wavelength Optical Lithography With A Smooth Superlens
Challenges In 1 Teradot/In.2 Dot Nanoimprint Lithography For Bit-Patterned Media
Yueh, Wang Improvement In Line Width Roughness (LWR) By Post-Processing
Single Component Molecular Resists With Covalently Bound Photoacids
Next Generation Chemically Amplified Molecular Resists For E-Beam Lithography Based On Epoxide Cross-Linking
Understanding The Effects Of Photoacid Distribution Homogeneity And Diffusivity On Lithography Performance In Polymer-Bound PAG Photoresists

Z

Zaitsev, S. Refined Coarse-Grain Modeling Of Stamp Deformation In Nanoimprint Lithography
Zaitsev, Sergey Optimum Dose Distribution For Argon Ion Multi-Beam Sputtering Of Microlens Array Templates
Optimization Of Droplet Volume For UV-NIL
Zalkind, S. Carbon Film Growth And Mitigation On Model Electron-Irradiated EUVL Mirror Capping Layers: TiO2 And Ru
Zeiss, Carl (Invited) Elemental Analysis With The Helium Ion Microscope
Zelsmann, Marc  Epoxy Silsesquioxane Resists For UV Nanoimprint Lithography
Losses Compensation In Metallic Nanocomposite Polymer By Optical Gain: Application To Nanoimprinted Microcavities
Comparison Of Monomer And Polymer Resists In Thermal Nanoimprint Lithography
Zhang, Feng A Prototype Commercial System For Massively-Parallel Maskless Zone-Plate-Array Lithography (ZPAL)
Zhang, L Tools For Resist Heating Analysis And Compensation For Electron Beam Tools
Zhang, Yanxia Experiments Towards A High Brightness 100-Electron-Beam Source
Zhao, Lin Generating Manhattan Patterns Via Cutting And Stitching Of Gratings
Zhao, Wei Relief And Trench Formation On Chalcogenide Thin-Films Using Electron Beams
Zhao, Xiaomei Imprinted Large-Scale High Density Polymer Nanopillars For Various Applications
Zhao, Yong Spatial-Frequency Multiplication With Multilayer Interference Lithography
Zhong, Zhaohui (Invited) Speed and Spin-Orbit: New Results in Carbon Nanotubes
Zhou, Jianming Enhancement Of Hyper-NA Imaging Through Selective TM Polarization
Zhou, Jing Continuous Patterns With Height Gradients By Nanoimprint Lithography And Thermal Gradient Annealing
Zorzos, Anthony The Use Of Ionic Liquid Ion Sources (ILIS) In FIB Applications
Zuckermann, Ronald (Invited) DNA Directed Assembly Of Nanoparticles Linear Structure For Nanophotonics
Zurbuchen, Thomas H. Plasma-Etch Fabrication Of High Aspect Ratio Freestanding Silicon Nanogratings As Deep UV Filters

EIPBN Abstracts