EIPBN

EIPBN 2008 Table of Contents


Section Abstract and Authors
1A-1
Plenary Session

Third Generation Solar Photon Conversion Based on Multiple Exciton Generation in Semiconductor Quantum Dots, Arthur J. Nozik, National Renewable Energy Laboratory

One potential, long-term approach to high efficiency solar cells is to utilize the unique properties of quantum dot nanostructures to control the relaxation dynamics of photogenerated carriers to produce either enhanced photocurrent through efficient photogenerated electron-hole pair multiplication or enhanced photopotential through hot electron transport and transfer processes.

1A-2
Plenary Session

Toward Carbon Based Electronics: Graphene, a  New Opportunity, Philip Kim, Columbia University

The exotic quantum transport behaviors have been observed in graphene that appear even at room temperature. We will discuss electronic transport measurements in patterned locally gated graphene nanostructures. Various unusual quantum transport phenomena will be discussed in connection with novel electronic device applications.

1A-3
Plenary Session

Engineered Materials for Single Atom Architectures for Computation, Michelle Simmons, University of New South Wales

The main driving force behind the microelectronics industry is the ability to pack ever more features onto a silicon chip. However, after 2015 there is no known technological route to reduce device sizes below 10nm. In this talk we demonstrate a complete fabrication strategy towards atomic-scale device fabrication in silicon.

1A-4
Plenary Session

Patterned Magnetic Recording Media, Tom Albrecht, HItachi Global Storage Technologies

Nanopatterned magnetic media is a promising route to achieving thermal stability at high recording densities.        The fabrication strategy includes creation of a master pattern by e-beam and self-assembly, high volume pattern replication via UV-cure nanoimprinting, and reactive ion or ion beam etching of the magnetic thin film.  Patterned media may be extendible to densities as high as 10 Tbit/in2.

2A-1
Nanopatterning and Energy

(Invited) Nanostructured Optoelectronics, Vladimir Bulovic,

Molecules, polymers, and nanocrystal quantum dots can be assembled into large area functional optoelectronic devices that surpass performance of today’s state-of-the-art technologies.    As an example of nano-technology evolution, the talk will highlight advancements in use of colloidaly-synthesized quantum dots to fabricate light emitting devices of high color quality.

2A-2
Nanopatterning and Energy

(Invited) Nanostructures For High Efficiency Photovoltaics Harry Atwater, California Institute of Technology

I will discuss the photovoltaics marketplaces, current technologies and also promising new approaches to ultrahigh efficiency thin film multi-junction solar cells, options for earth-abundant materials, semiconductor nanowire-based solar cells and plasmonic structures for enhanced light absorption that open up new design approaches to  very thin photovoltaic devices.

2A-3
Nanopatterning and Energy

Imprinted Large-Scale High Density Polymer Nanopillars For Various Applications, Mukti Aryal, Fatih Buyukserin, Xiaomei Zhao, Jinming Gao and Walter Hu, University of Texas at Dallas

Nanoimprint molds of high-density nanopores over a 2 cm square area or larger are fabricated using free standing anodized aluminum oxide (AAO) membrane as a mask for plasma etching of Si. With the mold, large areas of high aspect ratio polymer nanopillars have been produced for various applications, e.g. nanomedicine, solar cells, and tissue engineering. 

2A-4
Nanopatterning and Energy

Using Colloidal Lithography To Fabricate Semitransparent Metal Anodes In Organic Solar Cells, W. H. Lee, H. L. Chen*, S. Y. Chuang, Y. H. Lin, C. H. Lin and W. F. Su,National Taiwan University, *National Cheng Kung University

The conductivity of ITO is far from optimal value for high-efficiency organic solar cells with large area. We alter the anode by thin Au film with hole array as a transparent electrode. The enhanced exciton generation near the interval between P3HT:PCBM and electrodes can elevate the organic solar cell performance.

2B-1
Nano-Optic Devices I

(Invited) The Challenge Of CMOS Photonics And Electronics For Enhanced Microprocessor Performance, Vladimir Stojanovic and Rajeev Ram, Massachusetts Institute of Technology

The reasons for putting photonics on CMOS microprocessor chips for higher performance, and the fabrication challenges are described.

2B-2
Nano-Optic Devices I

(Invited) Challenges In Nanofabrication Of Strong-Confinement Photonic Devices, Tymon Barwicz*, Milos Popovic, Charles Holzwarth, Michael Watts, Peter Rakich, Fuwan Gan, Marcus Dahlem, Franz Kaertner, Erich Ippen and Henry Smith, Massachusetts Institute of Technology, *IBM T.J. Watson Research Center

Photonic devices employing strong confinement of light have unique and desirable characteristics. However, their successful realization poses unique fabrication challenges that are not of significant concern for micro- and nano-electronic devices. Here, we focus on the main distinctive challenges in nanofabrication of strong-confinement photonic devices and review our recent achievements.

2B-3
Nano-Optic Devices I

Fabrication Of Wafer-Scale Nano-Split-Ring Metamaterials By Nanoimprint Without Direct-Write In Mold Making, Wen-Di Li, Can Peng, Shufeng Bai and Stephen Chou, Princeton University

We demonstrate an approach to fabricate nanoimprint molds with complex patterns (e.g. split rings) on wafer scale without using any direct-write. The method is based on fabrication of a scaffold-mold with simple scaffold patterns and modification of the scaffold structures into the desired nanopatterns using nanoimprint and other processing steps.

2B-4
Nano-Optic Devices I

(Invited) Eigen Mode Analysis Of Plasmon Resonances In Nanoparticles, Isaak Mayergoyz, University of Maryland

A novel eigen mode approach to the analysis of plasmon resonances in nanoparticles will be presented. The time-dynamics of excitation and dephasing of plasmon modes as well as their coupling to incident radiation will be discussed in detail. Optical controllability (gating) of plasmon resonances in semiconductor nanoparticles will be outlined.

2C-1
LER/Resists

(Invited) Advances In Neutron Research Methods For Photoresists Fundamentals, Vivek Prabhu, Shuhui Kang, Kristopher Lavery, Eric Lin, Wen-li Wu and Sushil Satija, National Institute of Standards and Technology

This paper reports on the development of neutron scattering and reflectivity methods to probe the latent image and in situ developed image with nanometer resolution for next-generation chemically amplified photoresists.

2C-2
LER/Resists

(Invited) Interfacial Mesoscopic Structuring As A Highly Probable Origin Of The Mysterious “LER Fundamental 5nm Limit“, Yehiel Gotkis, KLA Tencor

LER is one of the stumbling blocks in SC technology. Leveling at 5 nm it refuses to show “improving” responses, provoking the term “LER fundamental limit” to appear.   Highly interfacial (no bulk) ultra-thin films become dynamically very non-uniform. Phenomena, inducing long-range LER and complicating progress in LER improvement are discussed.

2C-3
LER/Resists

Contributions Of Resist Polymers To Innate Material Roughness, Theodore Fedynyshyn, David Astolfi, Russell Goodman, Susan Cann and Jeanette Roberts*, Lincoln Laboratories, MIT, *Intel Corporation

We have applied an AFM-based technique to measure intrinsic material roughness (IMR) of different resist polymers to IMR, including polyhydroxystyrene, polymethacrylate and fluorinated polymers. The IMR of these polymers with both EUV and DUV exposure was determined and similarities and differences between exposures at the two wavelengths will be described.

2C-4
LER/Resists

An Alternative Electron Beam Exposure Mechanism For Hydrogen Silsesquioxane – A Raman And FTIR Study, Deirdre Olynick, Andreas Schipotinin, Stefano Cabrini and Jim Schuck, Lawrence Berkeley National Laboratory

FTIR and Raman spectra of HSQ in baked and exposed samples show the presence of the SiH2 bond indicating cross-linking during exposure can occur via a redistribution reaction and which does not require hydroxyl groups.  Field enhancing plasmonic devices for high resolution Raman studies will also be addressed.

2C-5
LER/Resists

Improvement In Line Width Roughness (LWR) By Post-Processing, Manish Chandhok, Kent Frasure, Steve Putna, Todd Younkin, Willy Rachmady, Uday Shah, Wang Yueh and Melissa Shell, Intel Corporation

Transistor performance can be impacted when the 3σ LWR is greater than 10% of the gate CD.  So, for 22 nm node devices LWR < 2.2 nm is required.  We will present post-processing techniques to reduce LWR and show ~2 nm LWR for 40 nm hp features using EUV lithography.

3A-1
Nanoimprint I

(Invited) Stability Of Self-Assembled Monolayer Surfactant Coating In Thermal Nanoimprint, Patrick Lunsford and Xing Cheng, Texas A&M University

Surfactant stability is an important issue for large-scale and high-throughput nanoimprint. In this paper, we study the degradation mechanism and thermal durability of self-assembled monolayers in thermal nanoimprint by surface analysis techniques.

3A-2
Nanoimprint I

Fabrication Of Organic Light Emitting Diode Arrays By Reversal Imprint Lithography, B. L. Cardozo and S. W. Pang, University of Michigan

We have developed a new technique, based on reversal imprint, which offers the advantages of high resolution and minimal polymer processing for the fabrication of organic light emitting diodes.  Multiple layers of metal and polymers can be transferred in a single imprint with features down to 100 nm.

3A-3
Nanoimprint I

Full Field Imprint Masks Using Variable Shape Beam Pattern Generators, Douglas Resnick, Kosta Selinidis, Nick Stacey, S.V. Sreenivasan, Kwang-Sub Yoon*, Sung Ho Hwang* and Hoyeon Kim*, Molecular Imprints, *Samsung Electronics Co.

Critical to the success of imprint lithography is the manufacturing 1X Imprint Masks. We address the progress made towards full field imprint masks suitable for the fabrication of CMOS circuits. A 38nm half-pitch NAND Flash device was used as a demonstration vehicle. Both CD and image placement results are presented.

3A-4
Nanoimprint I

Continuous Patterns With Height Gradients By Nanoimprint Lithography And Thermal Gradient Annealing, Yifu Ding, HyunWook Ro, Jirun Sun, Jing Zhou, Nancy Lin, Sheng Lin-Gibson and Christopher Soles, National Institute of Standards and Technology

We created a height gradient onto the nanoimprinted polymer patterns by annealing with a thermal gradient.  Both the direction and degree of the height gradient can be quantitatively controlled.  These patterned substrates serve as efficient platforms for combinatorial studies of surface topology related phenomena such as cell responses.

3A-5
Nanoimprint I

Polyhedral Oligomeric Silsesquioxane (POSS) Functional Patterns Directly Fabricated By Nanoimprint Lithography, Hyun Wook Ro, Vera Popova*, Yifu Ding, Kyle Alvine, David Krug*, Richard Laine* and Christopher Soles, National Institute of Standards and Technology, *University of Michigan

In this study, POSS-based organosilicate materials are used to create high modulus patterns by nanoimprint lithography that can be used as a daughter mold for subsequent thermal or UV forms of NIL.

3A-6
Nanoimprint I

Fabrication Of Si Nano-Pillar Array With An Areal Density Of 1012/In2 And Its Nanoimprint Lithography Application, Jung-Sub Wi, Hyo-Sung Lee, Ki-Phil Lim, Sung-Wook Nam, Hyun-Mi Kim, Ki-Bum Kim, Su Yeon Park* and Jae Jong Lee*, Seoul National University, *Korea Institute of Machinery and Materials

We will present the critical fabrication issues in the fabrication of high density nano-structures and report the results of 25 nm pitch Si nano-pillar array and 15 nm pitch resist nano-dot array. Si nano-pillar patterns with 25 nm pitch were successfully transferred to the polymer surface by nanoimprint lithography.

3B-1
Photon Beam Technology I

(Invited) Silver Superlenses For Near-Field Optical Nanolithography, Richard Blaikie, University of Canterbury

Near-field lithography offers potentially unlimited resolution, but the mask-resist contact is problematic.  Is there equivalent to the pellicle for physically separating the mask and resist in near-field lithography?  Silver superlenses offer one option, and the properties and prospects for using these as pellicles in near-field lithography will be discussed here.

3B-2
Photon Beam Technology I

(Invited) Advanced Imaging Via Pixelated Phase Masks And Inverse Lithography, Richard Schenker, Srinivas Bollepalli, Wai Kwok, Eric Frendberg and Yan Borodovsky, Intel Corporation

PPMs can act as variable high-transmission attenuated phase shift mask where the phase pixel configuration simultaneously optimizes OPC (Optical Proximity Correction) and SRAF (Sub-Resolution Assist Feature) generation.  We describe the advantages and challenges of using Pixelated Phase Masks with Inverse Lithography methods for patterning complex logic wafer patterns.

3B-3
Photon Beam Technology I

Light Modulation With A Nano-Patterned Diffraction Grating And MEMS Pixel, Jack Skinner, A. Alec Talin and David Horsley*, Sandia National Laboratories, *Berkeley Sensor and Actuator Center

We have designed, fabricated, and tested an electrostatically-actuated MEMS-based light modulator with a nanopatterned diffraction grating. Optical modulation in excess of 67% is demonstrated with an electrostatic drive voltage of under 15 V and a switching speed of 0.08 ms.

3B-4
Photon Beam Technology I

Improving Lithography Pattern Fidelity And Line-Edge Roughness By Reducing Laser Speckle, Oleg Kritsun, Ivan Lalovic*, Slava Rokitski*, Bill Partlo*, Bruno La Fontaine, Nigel Farrar* and Harry Levinson, Advanced Micro Devices, *Cymer

In this paper we present a detailed study of the impact of coherence, or laser speckle, of current-generation 193nm Argon Fluoride (ArF) excimer sources on lithographic patterning.  We discuss single-pulse measurement of laser spceckle.  Finally, we propose methods to improve CD uniformity and LWR by modification of the coherence of the illumination source.

3B-5
Photon Beam Technology I

(Invited) The Role Of Computational Modeling In Semiconductor Lithography:Progressing Towards The Virtual Fab, Dario Gil, Jaione Tirapu Azpiroz*, Alan Rosenbluth, Scott Mansfield*, IBM T.J. Watson Research Center, *IBM Semiconductor Research and Development Center

In this paper, we review the progression of computational modeling in supporting the semiconductor industry. The exploitation of deep computing and an integrated multiscale modeling and simulation flow, involving processes, devices, and technologies, is leading to a new engineering design paradigm: a full Virtual Fab for semiconductor development and nanotechnology.

3C-1
EB/Maskless I

(Invited) Electron Beam Projection Nanopatterning Using Crystal Lattice Images Obtained From High Resolution Transmission Electron Microscopy, Hyo-Sung Lee, Byung-Sung Kim, Hyun-Mi Kim, Jung-Sub Wi, Sung-Wook Nam, Ki-Bum Kim and Yoshihiro Arai*, Seoul National University, *JEOL Ltd.

We propose a new electron beam projection lithography technique for patterning nanometer scale periodic structures, based on the crystalline image observed by high resolution transmission electron microscopy (HRTEM). Using this technique, we successfully fabricated various periodic arrays of dot and line patterns using Si and β-Si3N4 as the mask materials.

3C-2
EB/Maskless I

(Invited) Reflective Electron Beam Lithography (REBL), A Novel Approach To High Speed Maskless Ebeam Direct Write Lithography, Paul Petric, KLA-Tencor

The Reflective Electron Beam Lithography (REBL) system and program is described.  The goal of REBL is to achieve a high throughput maskless lithography system capable of several wafers per hour at the 45nm node and beyond.

3C-3
EB/Maskless I

Optimum Exposure Parameters For High-Resolution Scanning Electron Beam Lithography, Bryan Cord, Joel Yang and Karl Berggren, Massachusetts Institute of Technology

Using both simulations of the beam scattering and secondary electron behavior and experimental characterization, we have investigated the effects of beam energy and resist thickness on SEBL resolution and will present a model for determining the optimum exposure parameters for a given SEBL process.

3C-4
EB/Maskless I

(Invited) The European “MAGIC” Initiative On Massively Parallel Electron Beam Lithography - Resist Technology Status, Laurent Pain, Beatrice Icard,CEA-LETI,  Bert Jan Kampherbeek, MAPPER Lithography, Gerard Gross, Christof Klein, Hans Loeschner, Elmat Platzgummer,IMS Nanofabrication AG,  Ray Morgan,Synopsys Inc.,  Serdar Manakli,STMicroelectronics,  Johannes Kretz, Christoph Hohle, Kai Choi, Frank Thrum, Quimonda, Dresden BmbH, Katja Keil, Fraunhofer CNT, and Mathias Irmscher, IMS Chips

With the cost increase of lithography, ML2 represents an option for future technologies. In Europe, a new project, called “MAGIC”, started January 1st. The target is to deliver in 2009 one alpha platform with its infrastructure. An overview of this program will be given with a special highlight on resist.

3C-5
EB/Maskless I

Charging And Error Budgets In Electron Beam Lithography Tools, John Hartley, State University of New York at Albany

It is well known that electron beam lithography tools are prone to drift.  Some of the sources of drift are well understood and readily quantified.  This paper explicitly examines contributions due to particle contamination, voids in conductive coatings and contamination induced insulating films on conductive surfaces.

4A-1
Diblock Copolymers

(Invited) Si-Containing Block Copolymers For Self-Assembled Nanolithography Applications, C.A. Ross, Y.S. Jung, V.P. Chuang and F. Ilievski, Massachusetts Institute of Technology

We describe block copolymer lithography based on pattern formation and pattern transfer from two Si-containing diblock copolymers, polystyrene-polyferrocenyldimethylsilane (PS-PFS) and polystyrene-polydimethylsiloxane (PS-PDMS). The self-assembled patterns of lines and dots are templated using topographic features.

4A-2
Diblock Copolymers

Directed Assembly Of Asymmetric Ternary Block Copolymer-Homopolymer Blends Thin Films Into Checkerboard Trimming Chemical Pattern, Huiman Kang and Paul F. Nealey, University of Wisconsin

Degree of perfection and domain uniformity of assembled asymmetric ternary block copolymer/homopolymer blends thin films was quantified as a function of overall composition of polymers and total homopolymer fraction in the blends, and the pattern area fractions of checkerboard trimming chemical patterns (dashed lines and, alternating lines and dashed lines).

4A-3
Diblock Copolymers

Polymer Self-Assembly For Nanopatterning, Joy Cheng, Daniel Sanders, Ho-Cheol Kim, Charles Rettner, William Hinsberg and Linda Sundberg, IBM Almaden Research Center

This paper discusses our efforts in developing robust new orientation control materials and simple, low-cost processes for integration of block copolymer self-assembly into practical lithography schemes.

4A-4
Diblock Copolymers

Impact Of Trench Width Roughness On The Directed Self-Assembly Of Block Copolymers On Topographic Substrates, Adam M. Welander, Paul F. Nealey, Heidi Cao* and Robert Bristol*, University of Wisconsin-Madison, *Intel Corporation

We investigate the constraints on the quality of topographic features needed for the directed self-assembly of block copolymers, showing the impact of trench width roughness on feature size uniformity and defect formation.

4A-5
Diblock Copolymers

In Situ Characterization Of Block Copolymer Ordering On Chemically Nanopatterned Surfaces By Time-Resolved SAXS, Karl Stuen, Chi-Chun Liu, Adam Welander, Guoliang Liu, Juan de Pablo, Paul Nealey, Dillip Satapathy*, Kim Nygard*, Oliver Bunk*, Harun Solak* and Friso van der Veen*, University of Wisconsin-Madison, *Paul Scherrer Institut

The annealing of block copolymer films on chemically nanopatterned surfaces was observed in situ using time-resolved SAXS.  The experiment was made possible by the XIL and cSAXS beamlines at the Swiss Light Source.  The experiment provides evidence for the mechanism of ordering and may help develop improved annealing processes.

4B-1
Metamaterials

(Invited) Nanophotonics Lab-On-A-Chip Sculpted By Focused-Ion-Beam Milling: Direct Characterization Of Negative-Index Metamaterials Operating In The Visible, Henri Lezec, K. Chau, J. Dionne*, H. Atwater* National Institute of Standards and Technology,*California Institute of Technology

Focused-ion-beam milling is used to fabricate a monolithic nanophotonics "lab on a chip" for direct characterization of two- and three-dimensional negative-index plasmonic metamaterials operating in the visible.

4B-2
Metamaterials

Optical Negative Index Meta-Materials At 1.55 µm Wavelength And Geometry Dependency Studies, Wei Wu, Ekaterina Ponizovskaya, Evgenia Kim*, Alexander Bratkovsky, Zhaoning Yu, Qiangfei Xia, Xuema Li, Ron Shen*, Shih-Yuan Wang and R. Stanley Williams, Hewlett-Packard Laboratories, *University of California

We investigated geometry dependence of optical negative refractive index materials (NIMs), and fabricated “fishnet”-like NIMs that exhibit the minimum of index n at 1.56 micron range using nanoimprint lithography.

4B-3
Metamaterials

Quasi-Zero Average Refractive Index Photonic Crystals Metamaterials Collimating Infrared Light Over Large Scale, Stefano Cabrini, Deirdre Olynick, Allan Chang, Bruce Harteneck, Scott Dhuey, Vito Mocella*, Principia Dardano* and Ivo Rendina*, University of California, *CNR-IMM

A device based on negative refraction properties of PhC in combination with positive index has been fabrication and optical characterized. The limitation of coupling efficiency is overcome by enhancing the evanescent component by accurate PhC termination. A collimated propagation along  2mm device is demonstrated theoretically and experimentally for the first time.

4B-4
Metamaterials

Quantitatively Engineering Surface Plasmon Coupling Through Computer Simulation, Daniel Ceperley and Andrew Neureuther, University of California, Berkeley

We demonstrate a novel means of accurately quantifying surface plasmon generation efficiencies in the presence of low-angle radiation through a combination of signal flow graphs and Finite Difference Time Domain Device Under Test simulations.  We demonstrate the methodology on two devices: 2D nano-wire gratings and Daguerreotypes (arrays of nano-particles).

4B-5
Metamaterials

Using Reversal Imprint Lithography To Pattern Textured Metal Fims For Surface Plasmonic Device Applications, S. Y. Chuang, H. L. Chen, W. H. Lee, S. S. Kuo, T. H. Chen and S. H. Chen*, National Taiwan University, *National Nano Device Laboratory

Sub-wavelength metal hole-array and corrugated structures have surface plasmons resonance phenomena and extraordinary transmission. Here we demonstrate a reversal imprint method without additional pressure, named “Reversal imprint in metal, RIM” to pattern metal films with hole-array and corrugated structures by inking and embossing processes, respectively.

4C-1
Ion Beam

A Novel, High Brightness Ion Source Based On Laser Cooled Atoms, James Hanssen, Shannon Hill, Jabez McClelland and Jon Orloff, National Institute of Standards and Technology

We will present measurements of the emittance and brightness of a novel ion source derived from laser cooled chromium atoms.  We have measured emittance and brightness values and will show that this source has excellent potential for high-resolution focused ion beam applications.

4C-2
Ion Beam

The Use Of Ionic Liquid Ion Sources (ILIS) In FIB Applications, Anthony Zorzos and Paulo Lozano, Massachusetts Institute of Technology

In this paper we present an overview of ILIS sources and we show some preliminary results of their performance in a FIB column. We also discuss how are they implemented, their limitations and prospective applications.

4C-3
Ion Beam

Brightness Measurements Of A Gallium Liquid Metal Ion Source, Cornelis W. Hagen, Emile Fokkema and Pieter Kruit, Delft University of Technology

The virtual source size of a Gallium liquid metal ion source was measured for ion emission currents ranging from 1 to 10 microamps, and found to increase from 50 to 80 nm. This is ascribed to the trajectory displacement caused by the stochastic Coulomb interactions in the source region.

4C-4
Ion Beam

An Atom Beam Lithography Tool For Fabricating Dense Nanostructure Arrays, Barry Craver, Ananya Roy, Hongjie Guo, Jackson Reynolds, Hatem Nounu, Leonidas Ocola* and John Wolfe, University of Houston, *Argonne National Laboratory

Atom beam lithography (ABL) is a proximity exposure technique where a broad beam of energetic neutral atoms floods a stencil mask and transmitted beamlets transfer the mask pattern to resist on a substrate. This paper reports progress toward a practical ABL technology for fabricating dense nanostructure arrays.

4C-5
Ion Beam

Sputtering Limits Versus Signal To Noise Limits In The Observation Of Sn Balls In A Ga Ions Microscope, Vincenzo Castaldo and Pieter Kruit, Delft University

In SEMS the limit to the resolution is essentially optical; in the FIBs the main limit is the sputtering. An experimental procedure to establish the resolution of FIBs for imaging purposes is proposed: together with simulations and theoretical studies it will be useful to characterise traditional and new FIB systems.

5A-1
Cell Pattern Interactions

(Invited) Neurite Outgrowth on Nanomodified Surfaces, M. Kanje, Lund University

Research toward answering the question of whether nanomodification of surfaces can be used to guide nerve cell processes, neurites, on intended chip surfaces will be presented.

5A-2
Cell Pattern Interactions

(Invited) Controlling Cell Behaviour Using Nanopatterned Surfaces, Nicolaj Gadegaard, M. Dalby, C. Wilkinson, M. Riehle, University of Glasgow

Over the years we have developed a fast and flexible electron beam lithography approach to pattern large areas with nanometric patterns to study cell-substrate interactions. We have demonstrated how such surfaces may significantly influence orientation, adhesion and proliferation. Recently, using stem cells, we have been able to influence their differentiation.

5A-3
Cell Pattern Interactions

(Invited) Deconstructing Receptor Signaling With Nanopatterned Supported Membranes, Khalid Salaita, Pradeep Nair* and Jay Groves, University of California, *Lawrence Berkeley National Laboratory

There is a need for developing biologically-relevant patterning approaches directed at understanding the role of micro- and nanoscale organization involved in cell signaling. In this talk, we will examine the role of spatial organization in two classes of signaling pathways using hybrid live cell-supported membrane junctions.

5A-4
Cell Pattern Interactions

Fabrication Of Nanoscale Bioarrays For The Study Of Cytoskeletal Protein Binding Interactions Using Nano-Imprint Lithography, Mark Schvartzman, Kim Nguyen, Justin Abramson, James Hone, Michael Sheetz and Shalom Wind, Columbia University

Nanoscale bioarrays are fabricated by nanoimprint lithography for the purpose of studying the spatial dependence of cytoskeletal protein binding interactions.

5B-1
EUV

Latest Results From The SEMATECH Berkeley Extreme Ultraviolet Microfield Exposure Tool, Patrick Naulleau, Christopher Anderson*, Jerrin Chiu, Kim Dean**, Paul Denham, Kenneth Goldberg, Brian Hoef, Gideon Jones, Bruno La Fontaine***, Dimitra Niakoula and Tom Wallow***, Lawrence Berkeley National Laboratory, *University of California, Berkeley, **SEMATECH, ***Advanced Micro Devices

Here we present an update on the SEMATECH Berkeley microfield exposure tool summarizing some of the latest test and characterization results. Printing results at 20-nm half pitch lines and spaces and 30-nm contacts are presented. The improtance of system level contributers to LER is also discussed.

5B-2
EUV

Experimental Validation Of Full-Field Extreme Ultraviolet Lithography Flare And Shadowing Corrections, Gian Lorusso, Alan Myers, Insung Kim, Mieke Goethals, Rik Jonckheere, Jan Hermans, Bart Baudemprez And Kurt Ronse, IMEC

We discuss our current approach for flare mitigation and shadowing correction for EUVL. We demonstrate that it is possible to implement an effective flare mitigation strategy. We detail an approach to compensate for pattern placement error and bias caused by shadowing. Finally, we characterize experimentally the impact of flare and shadowing and our mitigation strategies.

5B-3
EUV

Hydrogen Radical Cleaning Of Carbon Contamination On EUV Mask, Toshihisa Anazawa, Yasushi Nishiyama, Hiroaki Oizumi, Iwao Nishiyama, Osamu Suga, Kazuki Abe* and Akira Izumi*, MIRAI, *Kyushu Institute of Technology

Carbon contamination on EUV mask has been removed with hydrogen radical cleaning technique. The cleaning rate was 0.37 nm/min. In addition, the effects of hydrogen radical cleaning process on the materials used in EUV mask like absorber and low-reflection layer have been investigated.

5B-4
EUV

Actinic Euv Mask Inspection Beyond 0.25 NA, Kenneth Goldberg, Erik Anderson, Senajith Rekawa, Charles Kemp and Hakseung Han*, Lawrence Berkeley National Laboratory, *SEMATECH

The SEMATECH Berkeley Actinic Inspection Tool (AIT) is a zoneplate microscope providing high quality aerial image measurements. We upgraded the AIT to provide multiple image magnifications, and several inspection NA values up to 0.35 NA equivalent. We report improved imaging including resolution below 100-nm on the mask side (25-nm wafer).

5B-5
EUV

Extreme Ultraviolet Lithography: Status And Prospects, Jos Benschop, Vadim Banine, Sjoerd Lok and Erik Loopstra, ASML

The paper discusses most critical items for being  EUV Imaging and EUV source. Results obtained with the Alpha Demo tools will be shared, and potential solutions to remaining challenges will be discussed. It will be explained how EUV can realize high productivity (>100 wfr/hr) and small resolutions (<22 nm)

5B-6
EUV

40 Nm Pitch Extreme Ultraviolet Interferometric Lithography, Artak Isoyan, Fan Jiang, Yang-Chun (Jeff) Cheng, John Wallace, Mikhail Efremov, Paul Nealey and Franco Cerrina, University of Wisonsin-Madison

Our activity is based on the novel EUV-IL beamline at University of Wisconsin-Madison. We have recently completed several characterization studies, and as a result modified several key components to improve resolution and usability. We will present the first results of exposures for some prototypical resist materials.

5C-1
Metrology, Align, Inspect

(Invited) Electron Beam Inspection Of In-Process Semiconductor Wafers: How, Why, And What’s Next?, Mark McCord, KLA-Tencor

Electron beam inspection (EBI) has evolved into a powerful technique for finding hidden electrical defects on in-process silicon wafers.  This talk will address both capabilities and limits of EBI, and look into future technologies to address those limits.

5C-2
Metrology, Align, Inspect

Aberration Correction For Electron Beam Inspection, Metrology And Lithography, Eric Munro, John Rouse, Haoning Liu and Liping Wang, Munro’s Electron Beam Software Ltd.

Schemes for correcting aberrations of electron beam inspection, metrology and lithography systems are investigated with computer simulations.  Examples shown include a quadrupole/octopole Cs/Cc corrector for CD SEM, a hexapole planator for correcting field curvature in projection e-beam lithography, and a mirror corrector for reflection e-beam projection lithography.

5C-3
Metrology, Align, Inspect

Nanometer-Level Alignment And Global Positioning To A Substrate-Embedded Coordinate System, Euclid E. Moon and Henry I. Smith, Massachusetts Institute of Technology

We implement a method for acquiring position information continuously at any location across a large-area IR-transparent substrate. IR illumination of an interrogation mark and a checkerboard pattern that spans the backside of the substrate results in a fringe pattern that enables unambiguous, sub-nanometer detectivity at arbitrary locations across the substrate.

5C-4
Metrology, Align, Inspect

FPGA Implementation Of Real-Time Spatial-Phase Locking For Electron Beam Lithography, Yugu Yang and Todd Hastings, University of Kentucky

Spatial-phase locked electron-beam lithography (SPLEBL) has been developed to provide feedback control of electron-beam position by monitoring the signal from a fiducial grid on the substrate. In order to provide spatial-phase locking at the significantly higher pixel rates, we have implemented both raster-scan and vector-scan phase-locking algorithms on a FPGA.

5C-5
Metrology, Align, Inspect

Resist Charging Effect In Photomask: Its Impact On Pattern Placement Error And Critical Dimension, Jin Choi, Dong Seok Nam, Byung Gook Kim, Sang-Gyun Woo and Han Ku Cho, Samsung Electronics

Based on simulation and experiment, we discuss electron beam charging effect in FEP-171 resist and its impact on placement error and critical dimension of a pattern.

6A-1
Nanobio Devices

Direct Write Electron Beam Patterning Of DNA:Complex Thin Films, Robert Jones, Hans Spaeth, W. Li and Andrew Steckl, University of Cincinnati

We report the first use of direct write electron beam patterning (DW-EBL) of nanoscale DNA:complex materials.  85 – 300 nm spin coated DNA:complex thin films were exposed with a Raith 150 DW-EBL tool yielding both positive or negative relief features depending on the development process with 100 nanometer/micron size resolution.

6A-2
Nanobio Devices

Control of DNA Motion in Microchannels Integrated with Dual Electrodes, B. L. Cardozo and S. W. Pang, University of Michigan

We have demonstrated the control of focusing and intermixing of nanoparticles in a fluidic system by controlling the channel geometry as a step toward the analysis of single molecule DNA-protein interactions. Focusing of the particles is enhanced as the focusing angle is increased, and is independent of the average particle velocity.

6A-3
Nanobio Devices

Development Of Nanoporous Picoliter Reaction Vessels For The Characterization Of Biochemical Systems, Scott Retterer, Piro Siuti*, Darrell Thomas, Jason Fowlkes, Michael Simpson and Mitchel Doktycz, Oak Ridge National Laboratory, *University of Tennessee

In pursuit of the development of a synthetic platform for carrying out biochemical reactions that combines the virtues of small volume containment and selective chemical transport with the robustness of inorganic materials we have developed nanoporous containers that facilitate the spatial confinement of large biomolecules in solution while allowing the exchange of small molecules with the surrounding microenvironment.

6A-4
Nanobio Devices

Nanoelectromechanical Mass Sensor Fabricated By Nanoimprint Lithography, Gang Luo, Sara Ghatnekar-Nilsson, Dan Hessman, Ivan Maximov, Adrian Kewell*, Jan Krüger*, Mariusz Graczyk, Hongqi Xu and Lars Montelius, Lund University, *BioSensia Ltd.

A novel mass sensor, which is laterally deflectable cantilvers array, is introduced. The device is fabricated based on either hot embossing or UV nanoimprint lithography. Both the optical readout and the electrical readout for the resonance frequency of the mass sensor were realied.

6A-5
Nanobio Devices

Fabrication Of Porous Nanochannels Using Nanoparticles And Application To The Transport Of DNA Molecules, Deying Xia, Thomas Gamble, Gabriel Lopez and Steven Brueck, University of New Mexico

Porous enclosed nanochannel structures was fabricated with interefeometric lithography, self-assembly and high-temperature clacination. The application in biological separation and detection was demonstrated with transport of DNA in these channels. Interesting dynamic phenomena of DNA transport, concentration enhancement, and single molecule motion in porous nanochannels was observed.

6B-1
Resists

(Invited) Latent Image Formation In Chemically Amplified Extreme Ultraviolet Resists With Low Activation Energy For Deprotection Reaction, Takahiro Kozawa, Seiichi Tagawa, Julius Joseph Santillan* and Toshiro Itani*, Osaka University, *Semiconductor Leading Edge Technologies

Even when a diffusion-controlled rate is assumed for deprotection, the efficiency is inadequate for 22 nm fine patterning. For 22 nm fabrication with 5-10 mJ cm-2 exposure dose, the increase in acid generation efficiency and polymer absorption is essentially required.

6B-2
Resists

Single Component Molecular Resists With Covalently Bound Photoacids, Richard Lawson, Cheng-Tsung Lee, Clifford Henderson, Laren Tolbert and Wang Yueh*, Georgia Institute of Technology, *Intel Corporation

We have synthesized and characterized a series of positive tone single component molecular resists, both with and without covalently tethered photoacids. Their performance will be compared and discussed. They show an improvement in LER over conventional resists with a low LER of 3.3 nm.

6B-3
Resists

Effect Of Microstructure On Deprotection Kinetics In Photoresist, David Fryer, Vivek Singh and Srinivas Bollepalli, Intel Corporation

This is an experimental and modeling study of the effect of polymer chain microstructure on deprotection kinetics in photoresist. The results indicate that for high levels of neighboring repeat units in a photoresist, the latent image contrast can improve by 10% over the case with only isolated pendants.

6B-4
Resists

 Epoxy Silsesquioxane Resists For UV Nanoimprint Lithography, Julia De Girolamo, Mustapha Chouiki, Jean-Hervé Tortai, Marc Zelsmann and Jumana BousseyLTM-CNRS

In this paper, we present results on the development of low-viscosity hybrid organic / inorganic UV-NIL resists based on polyhedral silsesquioxane (SSQ) functionalized with photo-polymerizable aliphatic epoxy groups. These materials are very attractive because they present a high thermal and mechanical resistance as well as a reduced dielectric constant (low-k).

6B-5
Resists

Stability Of HSQ Nano-Lines Defined By E-Beam Lithography, Suresh Regonda, Mukti Aryal, Fern Yoon and Walter Hu, University of Texas

The stability of HSQ nanolines under various conditions is studied. Line pitch, width, aspect ratio, and development temperature can determine the line stability states, such as stable, clustering, wavy, or completely collapsed lines. HSQ lines are transferred into Si on insulator substrate to make Si nanowire transistors.

6C-1
Imaging/Microscopy

(Invited) Scanning Proximal Probes For Parallel Imaging And Lithography, Ivo Rangelow, Technical University of Ilmenau

In last 20, years Scanning Proximal Probes Technology (SPPT) has become a major instrument for research in multiple disciplines.  In this paper we describe our approach for increasing the speed of SPP systems using cantilever arrays for parallel operation of self-actuated piezoresistive cantilevers and employing a fast scanning stage.

6C-2
Imaging/Microscopy

50 Nm Resolution Extreme Ultraviolet Imaging With A Desktop-Size Laser, Courtney Brewer, Fernando Brizuela, Dale Martz, Przemyslaw Wachulak, Sonia Fernández Jiménez, Mario Marconi, Jorge Rocca, Carmen Menoni, Weilun Chao*, Erik Anderson*, David Attwood*, Alexander Vinogradov**, Igor Artioukov**, Alexander Ponomareko*** and Viktor Kondr***, Colorado State University, *University of California, Berkeley, **P.N. Lebedev Physical Institute, ***National Technical University

We have developed a microscope based on a desktop-size 46.9 nm capillary discharge laser, with a spatial resolution of 50 nm. With this system we have imaged 50 nm carbon nanotubes in transmission mode, and a partially processed semiconductor chip in reflection mode.

6C-3
Imaging/Microscopy

X-Ray Diffraction Microscopy: Reconstruction With Partial Magnitude And Spatial A Priori Information, Leili Baghaei Rad, Ding Dai, Ian Downes, Jun Ye, Piero Pianetta, R. Fabian Pease, Stanford University

This paper explores the use of x-ray diffraction microscopy for the detection of functional deviations from specification in fabricated devices. An iterative reconstruction algorithm is presented where the a priori information is exploited to partially recover the missing phase information and to estimate missing data.

6C-4
Imaging/Microscopy

Contrast Reversal Effect In SEM Due To Charging, H. Abe*, S. Babin, S. Borisov, A. Hamaguchi*, Y. Miyano* and Y. Yamazaki*, aBeam Technologies, *Toshiba Corporation

It was found that the image contrast of a SiO2 line patterns on silicon reverses when beam voltage is changed. Monte Carlo simulation software with the ability to simulate charging was used to model this phenomenon. It was found that the effect can be explained when taking charging into account.

6C-5
Imaging/Microscopy

Resist Bias Measured From Iso-Focal Structure, Jianliang Li, Chuqing Wang, Qiliang Yan and Lawrence Melvin, Synopsys Inc.

In modern photolithography process model, while the optical simulation has high accuracy, an accurate and fast resist model has yet to be developed.  The wafer data is the mixture of optical and resist effects.  To isolate resist effect, one can check the resist bias in the iso-focal structure.

7A-1
Directed Self Assembly

(Invited) Chemical Functionalization For The Selective Placement Of Single-Walled Carbon Nanotubes, George Tulevski, James Hannon and Ali Afzali, IBM T.J. Watson Research Center

This work will highlight recent progress in the chemical functionalization of SWCNTs to facilitate selective placement into predefined positions on gate oxide surfaces for the fabrication of SWCNT device arrays.

7A-2
Directed Self Assembly

Self-Assembly Of DNA Origami Nanostructures On Lithographically Patterned Surfaces, Gregory Wallraff, Ryan Kershner, Christine Micheel, Ann Fornof,  Luisa Bozano, Charles Rettner,  M. Ersani, Jane Frommer, A. Hung,  Jennifer Cha, Paul Rothemund*, IBM Almaden Research Center, *California Institute of Technology

In this contribution we describe methods for the site specific placement and self-assembly of individual biological nanostructures—DNA origami—on lithographically patterned templates.

7A-3
Directed Self Assembly

Carbon Nanotube-Based Magnetic Actuation Of Origami Membranes, Hyun Jin In, Anthony Nichol, Hyung Woo Lee, Sang-Gook Kim and George Barbastathis, Massachusetts Institute of Technology

A dense array of carbon nanotubes were grown on a foldable membrane. The catalyst, either nickel or cobalt, remains at the top of each nanotube. Consequently, by applying an external magnetic field, each of the nanotubes as well as the entire membrane covered by such nanotubes, could be magnetically actuated.

7A-4
Directed Self Assembly

Self-Assembly Of Individual Vertically Aligned Carbon Nanotubes, Amit Goyal, Sheng Liu, Zafar Iqbal, Reginald Farrow and Linus Fetter*, New Jersey Institute of Technology, *Bell Laboratories

The deposition of single high-aspect-ratio particles such as carbon nanotubes may be done at the center of sub-100 nm windows in insulating thin films over metal using electrophoresis. Vertical field effect transistors and nano-biosensors may now be fabricated in the metal levels of CMOS integrated circuits.

7A-5
Directed Self Assembly

Low Temperature Limits For Nano-Graphoepitaxy Of Semiconductors, Filip Crnogorac, Daniel Witte and R. F. W. Pease, Stanford University

Nano-graphoepitaxy appears to be a promising method for achieving single crystal, orientation controlled semiconductor domains on amorphous substrates. Here we investigate whether nano-graphoepitaxy can be accomplished at low temperature.

7A-6
Directed Self Assembly

Capillary Forces Assembly In Microfluidic Cell: Faster Assembly By Accelerating The Particles Convective Flux And Integration Into Complex Pattern, Tatiana Pinedo, Olivier Lecarme, Emmanuela Rossitto, Kevin Berton and David Peyrade, CNRS-LTM, CEA Grenoble

We propose a new Capillary Force Assembly (CFA) approach which result from the combination of classical CFA combine with control evaporative cooling convection.This presentation will highlight microfluidic cell CFA fabrication and studies dynamically the effect of air-suction and sample temperature on colloids motion. Finally, we will show the assembly of complex nanostructures and discuss plasmonic properties of gold colloidal  assemblies.

7B-1
Beam Induced Processing

(Invited) 1 nm Patterning, W.F. van Dorp, C.W. Hagen, P. Kruit and P.A. Crozier*, Delft University of Technology, *Arizona State University

1 nm dot arrays and 2 nm lines have been written with electron beam induced deposition (EBID). Obtained results, promised capabilities and intrinsic limitations of this fabrication technique will be presented.

7B-2
Beam Induced Processing

Nanofabrication By Ultra-High Resolution Environmental Scanning Electron Microscopy, Milos Toth, Charlene Lobo, Ralph Knowles and Michael Lysaght, FEI Company

Gas mediated electron beam induced processing enables nanofabrication at sub-10 nm length scales. However, the technique is limited by beam spread in bulk substrates, charging, and delocalized processing occurring around the nominal structures. Here, we overcome these problems using environmental scanning electron microscopy (ESEM), and multi-step deposition and etch processes.

7B-3
Beam Induced Processing

Focused Electron-Beam-Induced Deposition Of Platinum At Very Low Landing Energies, Aurelien Botman, Matthijs de Winter* and Hans Mulders*, Philips Research Laboratories, *Utrecht University, **FEI Electron Optics

Focused electron-beam-induced deposition of platinum nano-structures was performed at very low electron landing energies, down to 10 eV. We observe a peak in dissociation efficiency at 140 eV landing energy. We also report on the composition of these structures.

7B-4
Beam Induced Processing

Preferential Orientation Effects In Partial Melt Laser Crystallization Of Silicon, Daniel Witte, Dan Pickard, Filip Crnogorac and Fabian Pease, Stanford University

We have obtained strong <001> out-of-plane preferential orientation in laser-crystallized silicon films on an amorphous silicon dioxide layer. We investigate the effect of laser pulse duration, silicon film thickness, and heat flow rate on the degree of texturing. Crystal growth kinetics and film surface energetics are critical in determining orientation.

7B-5
Beam Induced Processing

(Invited) Applications Of Focused Electron Beam Processing, Klaus Edinger, Nicole Auth, Volker, Boegli, Michael Budach, Harald Dobberstein, Thorsten Hofmann, Michael Joest, Jens Oster, Carl Zeiss SMS-NaWoTec GmbH

This paper will give a brief overview of the current state of research on focused electron beam induced processing and will illustrate the potential of the technique showing several examples of its applications, such as repair of advanced photomasks and a feasibility study of e-beam based circuit editing.

7C-1
Patterned Media/Data Storage

(Invited) Storage Class Memory, Geoffrey W. Burr, Kailash Gopalakrishnan, Rohit S. Shenoy, Charles T. Rettner and Bulent N. Kurdi, IBM Almaden Research Center

Storage-Class Memory combines the benefits of a solid-state memory -- such as high performance and robustness -- with the archival capabilities and low cost of conventional hard-disk magnetic storage.

7C-2
Patterned Media/Data Storage

(Invited) Race Track Memory!, Stuart Parkin, IBM Almaden Research Center

A novel storage-class memory is described in which magnetic domains are used to store information in a “magnetic race-track”.

7C-3
Patterned Media/Data Storage

Integration Of Electron Beam Lithography With Block Copolymer Self-Assembly For 10nm Scale Nanofabrication, Hiroshi Yoshida, Yasuhiko Tada, Satoshi Akasaka*, Mikihito Takenaka*, Hirokazu Hasegawa*, Elizabeth Dobisz** and Dan Kercher**, Hitachi Ltd., *Kyoto University, **Hitachi Global Storage Technologies

Cylinder forming PS-b-PMMA thin films were directed to self-assemble on chemically pre-patterned substrates.  In spite of incompleteness of e-beam lithography applied for pre-patterning, PS-b-PMMA film showed defect-free lattice with 32nm spacing.  The result suggests that self-assembly can clean-up defects in pre-patterned substrate, providing a method for extending e-beam lithographic capabilities.

7C-4
Patterned Media/Data Storage

Electron Beam Lithography For Fabricating Heat Assisted Magnetic Recording Heads, XiaoMin Yang, Shuaigang Xiao, Keith Mountfield and Robert Rottmayer,k Seagate Research Center

One promising technology for achieving 1 Tbit/in2 and beyond is Heat Assisted Magnetic Recording (HAMR). This paper will introduce the basic concept of HAMR and then follow with a fully integrated HAMR head design. Hybrid lithography exposure strategies (e-beam/DUV) have been implemented for fabricating the HAMR head. Several key issues associated with HAMR head fabrication in e-beam lithography will be discussed.

7C-5
Patterned Media/Data Storage

Patterned Media Using Step And Flash Imprint Lithography, S.V. Sreenivasan, Mike Miller, Gerard Schmid, Cynthia Brooks, Niyaz Khusnatdinov, Dwayne LaBrake, Douglas Resnick, Gene Gauzner*, Kim Lee*, David Kuo* and Dieter Weller*, Molecular Imprints, Inc., *Seagate Technology

Patterned media is particularly challenging because of the aggressive feature sizes necessary to achieve storage densities required for manufacturing. In this paper, the ability to fabricate Master Templates, replicate Masters and create Working Templates, and imprint disks using Step and Flash Imprint Lithography are demonstrated.

8A-1
Low Dimensional Devices

(Invited) Probing The Structure And Properties Of Individual Molecules On Silicon Surfaces, Mark Hersam, Northwestern University

Organic molecules mounted on silicon surfaces present unique opportunities for electronics, photonics, and sensing at the nanometer scale.  To help elucidate the potential of organosilicon nanostructures, this talk will outline recent efforts to characterize and manipulate organic chemistry on silicon surfaces down to the single molecule level.

8A-2
Low Dimensional Devices

Graphene Transistors Fabricated Via Transfer-Printing In Device Active-Areas On Large Wafer, Xiaogan Liang, Zengli Fu and Stephen Chou, Princeton University

We report and demonstrate a novel method to precisely print exfoliated high-quality graphene sheets in the device active-areas over entire wafer, thus overcoming the current difficulties in getting large graphene wafers. And we report the fabrication of high performance graphene transistors on the printed graphene.

8A-3
Low Dimensional Devices

Design And Fabrication Of Vertical Nanowire Device Arrays, Pradeep Manandhar, S. G. Choi, S. T. Picraux and E. A. Akhadov, Los Alamos National Laboratory

We report on an alternative approach for fabrication and electrical characterization of nanowire (NW) device arrays in out-of-plane geometry by taking advantage of their inherent growth direction. High spatial registry of the NWs is obtained by an e-beam lithography and electrophoretic assembly process, followed by VLS NW growth and top contact formation.

8A-4
Low Dimensional Devices

(Invited) Nanopatterning And Assembly Of Electronics, Mihri Ozkan, University of California Riverside

New patterning techniques for cellular, bio-inspired, magnetic dot logic and crossbar nanoarchitectures may be more conducive than conventional lithographic processes.  Recent advances of DNA based assembly and patterning, use of diblock copolymers and electric field assisted lithography applicable to nanoarchitectures will be presented.

8A-5
Low Dimensional Devices

(Invited) Speed and Spin-Orbit: New Results in Carbon Nanotubes, F. Kuemmeth, S. Ilani, D.C. Ralph, Zhaohui Zhong, Nathaniel Gabor, Jay Sharping, Alexander Gaeta, and Paul McEuen, Cornell University

We report measurements demonstrating that the spin and orbital motion of electrons are coupled in carbon nanotubes.  We also present ultrafast time-domain measurements of a ballistic electron resonance in a nanotube transistor

8B-1
New Nanopatterning

(Invited) Quantized-Patterning Using Nanoimprinted-Blanks, Stephen Chou, Wen-Di Li, Xiaogan Liang and Ying Wang, Princeton University

A major obstacle in the implementation of quantum lithography has been the lack of a low-cost high-throughput method to create the blanks with pre-patterned tile arrays. Here, we report and demonstrate quantum-patterning/lithography using nanoimprinted-blanks (QUN).

8B-2
New Nanopatterning

Generating Manhattan Patterns Via Cutting And Stitching Of Gratings, Lin Zhao and Minghao Qi, Purdue University

Here we demonstrate a process to generate Manhattan structures with highly smooth sidewalls at 64 nm pitch and 10-15 nm line width. With IL, the process can, in principle, significantly reduce the time and cost required to generate the templates for nanoimprint or optical projection lithography.

8B-3
New Nanopatterning

Chip-Based Microfabricated Electrospinning Nozzles, Leon Bellan, Harold Craighead, Christopher Alpha*, Tom Corso* and Jack Henion*, Cornell University, *Advion Biosciences, Inc.

We have used microfabricated nozzles with inner diameters as small as 5 microns to produce electrospinning jets. Using this system, we were able to produce polymeric nanofibers with various geometries, including beads-on-a-string, straight continuous fiber, and fibers that had broken in flight.

8B-4
New Nanopatterning

(Invited) Micro-Scale Self-Assembly Via Capillary Forces, Christopher J. Morris, US Army Research Laboratories

We demonstrate the self-assembly of micrometer-scale parts using molten alloys with several examples, including the assembly of parts on a two-dimensional template.  The use of two alloys decoupled the mechanical and electrical connection processes, and improved the electrical contact resistance by over two orders of magnitude.

8B-5
New Nanopatterning

Three-Dimensional (3D) Alignment With 10-nm Order Accuracy In Electron-Beam Lithography On Rotated Sample for 3D Nanofabrication, Kenji Yamazaki and Hiroshi Yamaguchi, NTT Corporation

A 10-nm order 3D alignment accuracy obtained using transmission electron signal and sample totation control to less than 1 mrad enables high-degree-of-freedom 3D nanofabrication by EB writing from various direction on micron-order resist blocks formed on a small substract.  Demonstrations using positive and negative resists will be presented.

8C-1
Maskless II

(Invited) Application And Technology Of EBDW (Electron Beam Direct Writing):The Impact On Its Business Circumstances, Shinji Sugatani, e-Shuttle, Inc.

In this presentation, our vision for EBDW (Electron Beam Direct Writing) applied to from circuit development use with shuttle run to small volume manufacturing will be discussed. Effects of innovations achieved by technology will be considered on the viewpoint of business structure change. How those elemental technologies give rise to the impact for the environment of EBDW will be discussed.

8C-2
Maskless II

(Invited) MAPPER: High Throughput Maskless Lithography, Bert Jan Kampherbeek, Guido de Boer, Marco Wieland, Gerard ten Berghe, Annemarie Houkes, Remco Jager, Ton van de Peut, Jerry Peijster, Erwin Slot, Stijn Steenbrink, Tijs Teepen and Alexander van Veen, MAPPER Lithography

MAPPER Lithography will utilize 13,000 parallel e-beams and MEMS electrostatic lens arrays to pattern wafers. The design drivers and more current design details behind MAPPER will be presented. Performance measurements of the demonstrator tool, containing 110 parallel e-beams,will be discussed.

8C-3
Maskless II

(Invited) Projection Mask-Less Patterning (PMLP) For Nanotechnology Applications, Elmar Platzgummer, Hans Loeschner and Gerhard Gross, IMS Nanofabrication AG

Projection Mask-Less Patterning is based on 200x charged particle projection optics providing thousands of electron or ion beams in parallel. The PMLP technique is suitable for 2D and 3D patterning on a large variety of substrates enabling nanotechnology applications. PMLP nanopatterning using 10 keV Argon ions with 22nm-hp/<10nm-sl is demonstrated.

8C-4
Maskless II

Electron Beams In Individual Column Cells Of Multi Column Cell (MCC) System, Akio Yamada, Hiroshi Yasuda and Masaki Yamabe, Association of Super-Advanced Electronics Technologies

We will show beam characteristics, i.e. shaped beam profiles, deflection distances and aberration corrections of the beam in each column cell (CC) of multi column cell (MCC) system.

8C-5
Maskless II

Optimization Of Nozzle-Based Gas Injection Systems For Focused Electron- And Ion- Beam Induced Processing, Vinzenz Friedli, Johann Michler and Ivo Utke, Swiss Federal Laboratories for Materials Testing and Research

To optimize the rate and resolution in maskless direct-write nanostructuring techniques using focused electron- and ion-beams the locally impinging precursor molecule flux to the processing area was measured and simulated. Various supply nozzle designs have been quantitatively evaluated and compared with respect to the accessible peak flux on the substrate.

9A-1
Nanoelectronics

(Invited) Single-Atom Doping And Single Atom Device Development, Thomas Schenkel, Lawrence Berkeley National Laboratory

We describe our technique for single atom doping based on the integration of ion beams with scanning probes and sensitive current measurements.  Ions entering transistor channels cause current upsets that allow ion impact sensing with 100% efficiency enabling systematic studies of single atom devices.

9A-2
Nanoelectronics

Roll Printing Of Synthetic Nanowires For Novel Sensor And Electronic Applications, Ali Javey, University of California, Berkeley

We present wafer-scale assembly of highly ordered, dense, and regular arrays of NWs with high uniformity and reproducibility through a simple contact printing process. The potency and versatility of the method is demonstrated by large-scale, heterogeneous integration of nanowires for image sensor circuitry by utilizing optically active nanowire sensors and high mobility nanowire transistors.

9A-3
Nanoelectronics

Patterned Epitaxial Nanomagnets For Novel Logic Devices, Nathan Emley, David Carlton, Eduard Tuchfeld and Jeff Bokor, University of California, Berkeley

Status on the fabrication of nanomagnetic ensembles considering various epitaxial magnetic systems and patterning procedures will be presented.  It will be shown that by turning two anisotropy terms in the temperature dependent micromagnetic simulations it is possible to create a stable magnetic tranmission line of arbitrary length at room temperature.

9A-4
Nanoelectronics

The Prospects Of Free Electron Analog To Digital Technology, Rafael Aldana and Fabian Pease, Stanford University

Free electron ADC is accomplished by deflecting an electron beam transversally by the sampled voltage, and then quantizing the deflected angle in a detector array. Miniaturization could lead to an improved performance of 8bits@1THz. We study theoretically and experimentally the prospects, challenges and advantages such a miniaturized system presents.

9B-1
Photon Beam Technology II

Spatial-Frequency Multiplication With Multilayer Interference Lithography, Chih-Hao Chang, Yong Zhao, Ralf Heilmann and Mark Schattenburg, Massachusetts Institute of Technology

We report progress in achieving large-area spatial-frequency multiplication using multilayer interference lithography.   In this process, multiple grating layers are patterned with different phase offsets and etched onto a single layer, effectively dividing the period by an integer number.  Using this process, gratings with deep sub-diffraction-limited periods can be achieved.

9B-2
Photon Beam Technology II

High-Speed Optical Beam-Steering Based On Phase-Arrayed Waveguides, Mona Jarrahi, R. Fabian W. Pease, David A. B. Miller and Thomas H. Lee, Stanford University

We present the fastest ever reported optical beam-steering system based on phase-arrayed waveguides. Among many potential applications, such high-speed optical beam-deflector can increase the efficiency of optical scanners and laser printers.

9B-3
Photon Beam Technology II

Ultra Compact Interferometric Lithography System Realized With A Desk-Top Extreme Ultraviolet Laser, Mario Marconi, Przemyslaw Wachulak, Willie Rockward*, David Hill, Erik Anderson**, Carmen Menoni and Jorge Rocca, Colorado State University, *Morehouse College, **Lawrence Berkeley National Laboratories

A compact nanopatterning tool based on an amplitude division interferometer and a compact desk-top size extreme ultraviolet laser is demonstrated. The system is designed to fabricate with short exposure times large area arrays of lines, holes and dots with typical feature size around 100 nm.

9B-4
Photon Beam Technology II

Application Of Vector Scanning In FIB Photomask Repair System, Anto Yasaka, Fumio Aramaki, Masashi Muramatsu, Tomokazu Kozakai, Osamu Matsuda, Yasuhiko Sugiyama, Toshio Doi, Osamu Takaoka, Ryoji Hagiwara and Koji Nakamae*, SII NanoTechnology Inc., *Osaka University

Focused Ion Beam Technology has been widely used to repair defects on photomasks.  Recently, we developed vector scannig system for FIB mask repair tool.  By using this system, we could improve repair performance to satisfy the requirements for the latest photomasks.

9B-5
Photon Beam Technology II

Superzone Blazed Phase Solid Immersion Diffractive Optics For Enhanced Near-Infrared Scanning Laser Microscopy, Edward Coyne , NXP Semiconductors

Superzone blazed phase solid immersion diffractive optics are developed to enhance scanning laser microscopy of integrated silicon circuits. Computer generated holography is used to design the higher order diffraction patterns and a combination of focused gallium implants with subsequent reactive ion etching, achieves the structural phase depths and lateral dimensions

10A-1
Nanoimprint II

Fabrication Of Amorphous Metallic Nanowires For IC Interconnects By Nanoimprint Lithography, Qiangfei Xia, J. Joshua Yang, Wei Wu, Doug Ohlberg, Xuema Li and R. Stanley Williams, Hewlett-Packard Laboratories

Since amorphous materials are lack of gain boundaries and usually have much smoother surfaces, we propose to use amorphous metals instead of ploy-crystalline ones for the IC interconnects. We also fabricated 30 nm wide amorphous metallic nanowires by nanoimprint lithography (NIL) as a demonstration.

10A-2
Nanoimprint II

Buried Silicon Dioxide Nanochannels Fabricated By Nanoimprint, Etching And Self-Sealing, Self-Limited Thermal Oxidation, Keith Morton, Xiaogan Liang and Stephen Chou, Princeton University

We report a novel method for fabricating nanochannels buried in transparent silicon dioxide with well controlled sizes (as small as 10 nm in diameter) using simplified, high-yield fabrication steps including nanoimprint lithography, optimized deep reactive ion etching and self-sealing and self-limiting thermal oxide growth.

10A-3
Nanoimprint II

Improving Organic Thin-Film Transistor Performance By Nanoimprint-Induced Chain Ordering, Dehu Cui, Huifeng Li, Hyunsoo Park and Xing Cheng, Texas A&M University

In this work, we use nanoimprint to induce chain ordering in polymer semiconductors and study the effect of chain ordering on the performance of organic thin-film transistors.

10A-4
Nanoimprint II

 Metal Transfer Assisted Nanolithography On Flexible Substrate, Myung-Gyu Kang and L. Jay Guo, University of Michigan

We demonstrate a nanolithography technique based on metal transfer printing in which transferred metal act as a etch mask for pattern transfer to substrate. This technique can be used on plastic substrate as well as rigid substrate due to low pressure and temperature used in metal transfer.

10B-1
Nano-Optic Devices II

Fabrication Of Metallic Nano-Slit Waveguides With Sharp Bends, Ming Lu, Leonidas Ocola, Stephen Gray and Gary Wiederrecht, Argonne National Laboratory

Metallic nano-slit waveguides are promising candidates for ultra-high-density optical interconnection. Conceptual devices are generally not available in in-plane geometry due to the fabrication difficulties. In this article, we present a new process using traditional semiconductor fabrication techniques such as mix-and-match lithography and electroplating, with potentials in volume production manufacture.

10B-2
Nano-Optic Devices II

Inorganic Photoresist Materials For Direct Fabrication Of 3D Photonic Crystals Using Phase Mask Lithography, Matthew George, Erik Nelson, David Cahill, John Rogers, Paul Braun, Raphi Dror and Bruno Sfez*, University of Illinois, *Soreq Nuclear Research Institute

Direct fabrication of two- and three-dimensional inorganic photonic crystals was achieved by using conformable phase masks to generate high contrast interference patterns within two inorganic photoresists: one oxide based and the other a chalcogenide glass.  The patterning technique and the competing strengths of the two photoresists will be discussed.

10B-3
Nano-Optic Devices II

Fabrication And Measurement Of Solar-Blind Aluminum Nano-Grid UV Filters By Nanoimprint Lithography And Edge Patterning, Wen-Di Li and Stephen Chou, Princeton University

A wafer-scale aluminum grid UV-pass filter fabricated on fused silica substrate using nanoimprint lithography and oblique metal deposition is demonstrated to have peak transmission of 27% at 290nm, cut-off wavelength of 350nm and rejection ratio of 20dB between UV and visible light.

10B-4
Nano-Optic Devices II

Fabrication Strategies For Filter Banks Based On Microring Resonators, Charles Holzwarth, Reja Amatya, Marcus Dahlem, Anatoly Khilo, Franz Kaertner, Eric Ippen, Rajeev Ram and Henry Smith, Massachusetts Institute of Technology

Using filter banks based on microring resonators it is possible to create demultiplexers that are significantly smaller and achieve better performance than current technology.  Here, we present strategies for the fabrication of microring-resonator filter banks including:  accurate resonant-frequency spacing control using scanning-electron-beam lithography, thermal trimming, and thermal stability schemes.

P-2B-1
Nano-Optic Devices I Session Posters

Fabrication Of 200 Nm Period Blazed Transmission Gratings On Silicon-On-Insulator Wafers, Minseung Ahn, Ralf Heilmann and Mark Schattenburg, Massachusetts Institute of Technology

We present progress in the fabrication of 200 nm-period blazed transmission gratings with a 25 µm-pitch support mesh on 3-5 µm thick SOI wafers. We achieved very high etch anisotropy of about 500-1000 on a <110> silicon wafer using a room temperature etching process in a high concentration KOH solution.

P-2B-2
Nano-Optic Devices I Session Posters

Reducing Optical Losses In Focused-Ion-Beam Etched Silicon, Jonathan Schrauwen, Roel Baets, Dries Van Thourhout, Edwin J. Klein*, Feridun Ay*, Wico C.L. Hopman* and Rene. M. De Ridder*, Ghent University, University of Twente

Focused-ion-beam (FIB) is an interesting alternative for prototyping of photonic components because it can directly etch a semiconductor and reach feature sizes < 50 nm. However, silicon exhibits high optical losses after FIB etching. We propose two techniques to reduce these losses: high temperature annealing and iodine enhanced FIB etching.

P-2B-3
Nano-Optic Devices I Session Posters

Thermal Infrared Detection Using Antenna-Coupled Metal-Oxide-Metal Diode Detectors, Jeffrey Bean, Badri Tiwari, Gary Bernstein, Patrick Fay and Wolfgang Porod, University of Notre Dame

Antenna-coupled metal-oxide-metal diodes (ACMOMDs) can be used as detectors of thermal infrared radiation.  ACMOMDs are fabricated using a single electron beam lithography (EBL) step, followed by a shadow metal evaporation.  Detection characteristics such as specific detectivity, noise equivalent power, and signal-to-noise ratio will be presented.

P-2B-4
Nano-Optic Devices I Session Posters

(Invited) DNA Directed Assembly Of Nanoparticles Linear Structure For Nanophotonics, Baoquan Ding, Stefano Cabrini, Ronald Zuckermann and Jeff Bokor, Lawrence Berkeley National Laboratory

Stiff DNA motifs were used here to organize Au nanoparticles to generate linear array of nanoparticles with decreasing sizes and precise control of distance under 10 nm. This linear chain structure has the potential to work as an efficient nanolens.

P-2B-5
Nano-Optic Devices I Session Posters

Optimum Dose Distribution For Argon Ion Multi-Beam Sputtering Of Microlens Array Templates, Sergey Zaitsev, Alexander Svintsov, Christof Ebm*, Stefan Eder-Kapl*, Hans Loeschner*, Elmar Platzgummer*, J. Butschke*, F. Letzkus*, M. Irmscher*, F.C.M.J.M. van Delft**, E.P. Naburgh** and B. Basnar***, IMT RAS, *IMS Nanofabrication AG, **Philips Research, ***Vienna University of Technology

A model based on isotropic local etching is suggested and a fast algorithm is developed for calculation of optimum ion doses for 3D patterning with ion multi-beam sputtering. Comparison with rigorous (but much slower) Ionshaper(R) simulator and with experimental 3D patterning by CHARPAN tool confirms usefulness of the approach.

P-2B-6
Nano-Optic Devices I Session Posters

Fabrication Of Fresnel Zone Plates By Holography In The Extreme Ultraviolet Region, Sankha Subhra Sarkar, Pratap Kumar Sahoo, Harun H. Solak, Christian David and Johannes Friso van der Veen, Paull Scherrer Institut

We demonstrate the fabrication of a Fresnel zone plate by recording the interference pattern between a spherical and a plane wave in the EUV region. The holographic technique offers a path for obtaining FZPs with nanometer scale resolution free from pattern placement errors that influence serial writing methods.

P-2B-7
Nano-Optic Devices I Session Posters

Focused-Ion-Beam Lithography For Prototyping Of Silicon Photonic Components, Jonathan Schrauwen, Gunther Roelkens, Dries Van Thourhout and Roel Baets, Ghent University

We propose a technique for rapid prototyping of novel silicon nanophotonic device concepts with feature sizes as small as 100 nm. It is based on focused-ion-beam lithography on a metallic/ceramic layer stack and pattern transformation into the silicon by Inductively Coupled Plasma etching.

P-2B-8
Nano-Optic Devices I Session Posters

Efficient Nanoscale Pattern Transfer Process For Porous Silicon, Edmond Chow, Ik-Su Chun and Xiuling Li, University of Illinois at Urbana-Champaign

A simple and effective processing technique for 3D nanoscale pattern formation in light emitting porous silicon is reported. The technique is based on metal assisted chemical etching and defined by the 2D nanoscale metal pattern. Structures obtained and their optical properties are characterized and discussed.

P-2B-9
Nano-Optic Devices I Session Posters

FIB Fabrication Of Metallic Nanostructures On End-Faces Of Cleaved Optical Fibers For Chemical Sensing Applications, Anuj Dhawan, James Gleeson*, Michael Gerhold**, Tuan Vo-Dinh and Phillip Russell*, Duke University, *Appalachian State University, **U.S. Army Research Office

FIB fabrication of fiber optic sensors based on plasmonics-active nanostructures formed on cleaved tips of optical fibers. The metallic nanostructures fabricated include nanoparticles, nanorods, and nanoholes in optically thick metallic films. The sensing mechanism is based on detecting shifts in localized plasmon resonances (LSPRs) and surface plasmon resonances (SPR).

P-2B-10
Nano-Optic Devices I Session Posters

NIL Processes And Material Characterization On Transparent Substrates For Optical Applications,  Nicolas Chaix, Cecile Gourgon, Corine Perret, Stefan Landis* And Vito Lambertini**, LTM-CNRS, *CEA-LETI, **FIAT

NIL technology has reached a high maturity level on Silicon wafers, but optical applications require mostly transparent substrates, such glass or soft polymers. The imprinted materials have also specific requirements: their properties have to be studied carefully and linked to their imprint behaviour in relation with the corresponding substrates.

P-2B-11
Nano-Optic Devices I Session Posters

Diffractive Optical Element Fabrication By Electron Beam Lithography, Guy DeRose and Axel Scherer, California Institute of Technology

Optical diffractive elements typically require sub-micron to nano-scale accuracy in fabrication.  For surface structures with more complex three-dimensional geometries, such as gray-scale Damman gratings, electron beam lithography offers the necessary flexibility, control, and accuracy in fabrication. We demonstrate techniques for defining blazed surface diffraction gratings with large areas.

P-2B-12
Nano-Optic Devices I Session Posters

Microfluidic MDM Structure As A Tunable Optical Filter, Huifeng Li, Hyunsoo Park and Xing Cheng, Texas A&M University

In this work we present a novel tunable optical filter based on a microfluidic metal-dielectric-metal structure. This tunable filter is fabricated by transfer-bonding technique and can be integrated into a lab-on-a-chip device for monolithic fluorescence detection.

P-2B-13
Nano-Optic Devices I Session Posters

Reducing Mode-Transition Loss In Silicon-On-Insulator Strip Waveguide Bends, Hao Shen, Maroof H. Khan, Shijun Xiao and Minghao Qi, Purdue University

Mode-transition loss in silicon-on-insulator strip waveguides is reduced from 0.019 dB/transition to 0.0046 dB/transition for a bending radius of 4.5 micrometer, by adding a gradual-transition curved waveguide to connect the bend section and straight section.

P-2B-14
Nano-Optic Devices I Session Posters

Plasma-Etch Fabrication Of High Aspect Ratio Freestanding Silicon Nanogratings As Deep UV Filters, Pran Mukherjee, L. Jay Guo, Thomas H. Zurbuchen and Fred A. Herrero*, University of Michigan, *Goddard Space Flight Center

High aspect ratio (15:1), freestanding silicon nanogratings enable space plasma measurements by filtering solar ultraviolet light.  Addition of ALD oxide films equalize transmission of TE and TM modes, enhancing the effectiveness of UV filtration to unprecedented levels.  Oxygen-heavy DRIE and an innovative carrier allow double-sided processing of a SOI substrate.

P-2B-15
Nano-Optic Devices I Session Posters

Electron Beam Lithography For Distributed Bragg Reflectors In SU-8, Yifang Chen, Zhen Shu*, XinPing Qu*, Ran Liu* and Ejaz Huq, Rutherford Appleton Lab, STFC, *Fudan University

In this paper, we have initiated a nanofabrication technique to fabricate distributed Bragg reflectors (DBR) in an epoxy-based resist, SU-8 supplied by MicroChem. Two different designs of DBRs have been fabricated successfully by electron beam lithography (EBL).

P-2B-16
Nano-Optic Devices I Session Posters

Large-Area Microlens Arrays Fabricated By Integrated Gas-Assisted UV-Curing Embossing With UV-LED Array Lamp, Po-Hsun Huang, Tzu-Chien Ciou, Yi-Ting Sun*, Sen-Yeu Yang and John G. Loeser**, National Taiwan University, *ITRI, **Oregon State University

In this study, a gas-assisted UV-curing embossing process for effective fabrication of large-area microlens array at room temperature and with uniform pressure has been proposed. This process integrates the gas-assisted embossing and the UV-curing embossing processes. Results proves the potential of this process for fabricating large-area microlens array.

P-2B-17
Nano-Optic Devices I Session Posters

Optical Antennas: A Boost For Infrared Detection, Huifeng Li and Xing Cheng, Texas A&M University

In this work, we explore the possibility of using optical antennas to improve the performance of infrared photodetectors. Optical antennas operating at infrared wavelengths are designed, fabricated and characterized.

P-2B-18
Nano-Optic Devices I Session Posters

Losses Compensation In Metallic Nanocomposite Polymer By Optical Gain: Application To Nanoimprinted Microcavities, Vincent Reboud, Nikolaos Kehagias, Marinella Striccoli**, T. Placido**, A. Panniello**, Lucia Curri**, Javier Romero Vivas, Marc Zelsmann*, Juan Alducin***, David Mecerreyes***, Hugh Doyle, Garreth Redmond and Clivia M. Sotomayor Torres, University College Cork, *CEA-LETI, **Universita di Bari, ***Parque Tecnologico de San Sebastia

A strong enhancement in the spontaneous emission intensity of dye chromophores loaded in printable polymer is achieved by coupling the dye emission to surface plasmons of metallic nanorods. The nanocomposite material, embossed into microcavities by NIL, shows good imprint properties. Results suggest the use of nanocomposite materials in prospective nanoplasmonic applications.

P-2C-01
LER/Resists Session Poster

A Modeling Approach For Shot Noise Effect On Feature Roughness, Leonid Baranov and Keith Standiford, KLA-Tencor

A first principles derivation is combined with the assumption of Gaussian blurs to obtain practical yet rigorous enough expressions for modeling shot-noise effects on feature roughness in CAR. These expressions are free from fitting constants. They provide good agreement with Kruit’s Monte Carlo simulations.

P-2C-02
LER/Resists Session Poster

Stochastic Approach To Modeling Line Edge Roughness In Photolithography, Chris Mack, Lithoguru.com

A stochastic modeling approach is used to predict the results of a reaction-diffusion system governing the exposure and post-exposure bake of a chemically amplified photoresist used in semiconductor lithography, allowing the prediction of both the mean value and the standard deviation of the resulting chemical concentrations within the resist at the end of the post-exposure bake.

P-3A-01
Nanoimprint I Session Posters

Polyimide Hierarchical Structures Via Imprinting And Dewetting, I-Ting Pai, Ing-Chi Leu* and Min-Hsiung Hon, National Cheng Kung University, *National United University

A patterned polyimide with hierarchical structure is obtained by imprinting with the assistance of a residual solvent. The present study provides a new approach for fabricating patterns without residual layers and the consequent preparation of hierarchical structures, which is considered to be impossible using the lithographic technique.

P-3A-02
Nanoimprint I Session Posters

UV Curable Nanoimprint Lithography For Replicating Three Dimensional Structures, Khairudin Mohamed, Maan Alkaisi and Richard Blaikie, University of Canterbury

This work presents the technique for fabricating the three dimensional(3D) structures on quartz substrate and replicating the 3D structures using UV curable nanoimprint (UV-NIL).

P-3A-03
Nanoimprint I Session Posters

(Invited) Fluorinated Diamond-Like Carbon Templates For High Resolution Nanoimprint Lithography, Mark Schvartzman, Christopher Jahnes* and Shalom Wind, Columbia University, *IBM T.J. Watson Research Center

Fluorocarbon plasma treatment is used to form a low surface energy diamond-like carbon surface nanoimprint template.  This enables easy separation of template and substrate in applications such as nanoimprint lithography, hot embossing and elastomer molding.

P-3A-04
Nanoimprint I Session Posters

Sub-15 Nm Half-Pitch Nanoimprint Molds Using High Resolution Negative Tone Resist And Reactive Ion Etching, J. K. W Yang, D. Morecroft and K. K Berggren, Massachusetts Institute of Technology

Electron beam lithography is used with hydrogen silsesquioxane (HSQ) resist and salty developer to create high resolution, low pitch patterns. The patterns are transferred into the silicon substrate using reactive ion etching in a hydrogen bromide plasma to create nanoimprint molds with sub-15 nm half-pitch.

P-3A-05
Nanoimprint I Session Posters

Structure-Property Relationship Of Photocurable Silsesquioxane Resists For Nanoimprint Lithography, Carlos Pina-Hernandez, L. Jay Guo and Peng-Fei Fu, University of Michigan

The structure-property relationship of photocurable silsesquioxane resists for Nanoimprint Lithography was evaluated. We found that several physical characteristics strongly depend on the type and the amount of chemical functionalities present in the synthesized material. The understanding of structure-property relationship led to the design of silsesquioxane resins suitable for nanopatterning by NIL.

P-3A-06
Nanoimprint I Session Posters

Fabrication Of The Nanoimprint Mold Using Inorganic Electron Beam Resist With Post Exposure Bake, Noriyuki Unno, Jun Taniguchi, Miyako Shizuno and Kiyoshi Ishikawa*, Tokyo University of Science, *TOKYO OHKA KOGYO CO. LTD

EBL is particularly suitable for fabricating the nanoimprint mold. Thus, low-acceleration-voltage EBL system is desired because of higher sensitivity and less expensive equipment. With post exposure bake, the fine L&S pattern (line-width is 40 nm, space-width is 45nm) nanoimprint mold was obtained using 4 kV EBL system with inorganic resist.

P-3A-07
Nanoimprint I Session Posters

A Novel Method For Fabricating Sub-16 Nm Footprint T-Gate Nanoimprint Molds, Can Peng, Xiaogan Liang and Stephen Chou, Princeton University

A novel method of fabrication of T-gates with sub-20nm foot-width is demonstrated. This method offers two advantages: the T-gate footprint can be substantially smaller than an original one made by EBL, and the footprint and the T-top of the gate can be easily self-aligned during the mold fabrication.

P-3A-08
Nanoimprint I Session Posters

Experimental And Theoretical Study On The Demolding Mechanics Experimental And Theoretical Study On The Demolding Mechanics In Imprint Process, Hiroaki Kawata, Masayo Kayama, Jyunya Ishihara, Mayumi Shibata, Masaaki Yasuda and Yoshihiko Hirai, Osaka Prefecture University

The demolding force is investigated by both experiments and simulation. The demolding force is measured and the side wall press force is simulated.  The dependence on the PMMA thickness by the simulation agrees to that by the experiment qualitatively.  It is found that demolding characteristics depend on the cooling process.

P-3A-09
Nanoimprint I Session Posters

Optimization Of Droplet Volume For UV-NIL, Vadim Sirotkin, Alexander Svintsov and Sergey Zaitsev, Institute of Microelectronics Technology

Now droplets of equal size are dispensed in step and flash (UV-)NIL. To achieve homogeneous residual layer thickness it is suggested to dispense droplets of different sizes depending on the local filling factor of imprinted stamp. An algorithm allowing calculating of optimal droplet size from GDSII data is presented.

P-3A-10
Nanoimprint I Session Posters

Contact Angles In A Thermal Imprint Process, Nicolas Bogdanski, Matthias Wissen, Saskia Möllenbeck and Hella-Christin Scheer, University of Wuppertal

Contact angles between polymer and the stamp / substrate in a thermal imprint process might be used for realistic simulation of imprint processes or to evaluate possible reasons for imprint defects. But how far do measured or calculated angles apply to a thermal imprint?

P-3A-11
Nanoimprint I Session Posters

Quality Assessment Of Anti-Sticking Layers For T-NIL, Hella-Christin Scheer, Siomone Mallast, Saskia Möllenbeck, Matthias Wissen and Nicolas Bogdanski, University of Wuppertal

A vacuum phase anti-sticking layer process was optimised for imprint of optical components. Thus, in addition to imprints and contact angle measurements, the optical quality of the layer was characterised under grazing incidence on a specular surface. Best anti-sticking performance and best optical quality are obtained within different regimes.

P-3A-12
Nanoimprint I Session Posters

Refined Coarse-Grain Modeling Of Stamp Deformation In Nanoimprint Lithography, S. Merino, A. Retolaza, A. Juarros, H. Schift*, V. Sirotkin**, A. Svintsov** and S. Zaitsev**, Fundacion Tekniker, *Paul Scherrer Institute, **Institute of Microelectronics Technology

Refined coarse-grain software is tested. This version of the software takes into account the composition and elastic properties of the imprint setup. The presented comparison of calculated and experimental results confirms the potential of the software as an efficient tool for the reduction of the stamp bending.

P-3A-13
Nanoimprint I Session Posters

Mitigation Of Microloading Effect In Nanoimprint Mask Fabrication, Raghunath Murali, Georgia Institute of Technology

A technique is proposed that significantly reduces the impact of microloading during nanoimprint mask and photomask etch. The method is computationally intensive but does not add any extra process steps and is very repeatable.

P-3A-14
Nanoimprint I Session Posters

Solvent-Etching And Dewetting Techniques For Residual Layer Removal In Thermal Nanoimprint, Hyunsoo Park and Xing Cheng, Texas A&M University

In this paper, solvent-etching and dewetting techniques are developed to remove residual layers in thermal nanoimprint without using reactive-ion etching. The new techniques also open up the possibility of nondestructive patterning of isolated functional polymer structures by thermal nanoimprint for novel applications.

P-3A-15
Nanoimprint I Session Posters

Comparison Of Monomer And Polymer Resists In Thermal Nanoimprint Lithography, Marc Zelsmann, Cécile Gourgon and Jumana Boussey, LTM-CNRS

In this paper, we compare a polymer resist to a thermally curing monomer resist in a full 8 inch wafer thermal nanoimprint lithography process. We show that a liquid monomer solution is much better than a polymer in terms of printing uniformity using exactly the same printing conditions.

P-3A-16
Nanoimprint I Session Posters

Experimental And Numerical Analysis On Recovery Of Polymer Deformation After Demolding In Hot-Embossing Process, Hideki Takagi, Yuki Onishi*, Masaharu Takahashi, Ryutaro Maeda, Yasuroh Iriye*, Takuya Iwasaki and Yoshihiko Hirai**, AIST, *Mizuho Information and Research Institute, **Osaka Prefecture University

In order to achieve high throughput hot-embossing process, recovery of polymer deformation after demolding (so-called spring-back) is quite important. Numerical simulation methods which can analyze the recovery have been desired. We experimentally examined recovery of polymer deformation and numerically simulated the process based on a visco-elastic model of the polymer.

P-3A-17
Nanoimprint I Session Posters

Design Of Polymers For Thermal Nanoimprint Lithography Based On Rheological Investigations, Iván García Romero, Freimut Reuther, Marion Fink and Gabi Gruetzner, Micro Resist Technology GmbH

Bulk thermoplastic mr-I 8000E (acrylate based polymer with Tg 115°C) is characterised by dynamic-mechanical-thermal analysis (DMTA) above the glass transition. The zero viscosity and the entanglement molecular weight (Me) were calculated. The most beneficial molecular weight was derived from Me and the pattern transfer fidelity.

P-3B-01
Nanoimprint I Session Posters

Linewidth Uniformity In Lloyd’s Mirror Interference Lithography Systems, Thomas B. O'Reilly and Henry I. Smith, Massachusetts Institute of Technology

A model has been developed to predict linewidth variation in Lloyd's mirror interference lithography systems. The model accounts for spatial variations in both dose and contrast, and can be used to determine the limits of the performance of IL systems.

P-3B-02
Nanoimprint I Session Posters

Enhancement Of Hyper-NA Imaging Through Selective TM Polarization, Bruce Smith, Jianming Zhou and Pen Xie, Rochester Institute of Technology

Selective TE polarization has become a necessary component of hyperNA lithography.  There is, however, less modulation loss using TM polarization that generally assumed.  This paper will show the increased contrast for TM polarization through control of substrate reflectivity for NA values to 1.35.

P-3C-01
EB/Maskless I Session Posters

Process Variation-Aware 3-Dimensional Proximity Effect Correction For Electron Beam Direct Writing At 45 Nm Node And Beyond, Kozo Ogino, Hiromi Hoshino and Yasuhide Machida, Fujitsu Ltd.

The critical dimension variations caused by process variations will affect the final product yield. The coverage for the process window, allowable condition of process variations such as dose variation and thickness variation caused by CMP, and variation-aware proximity effect correction at the 45 nm node are discussed.

P-3C-02
EB/Maskless I Session Posters

Improvement Of High Resolution Lithography Capabilities By Using Amorphous Carbon Hard Masks, Sebastien Pauliac-Vaujour, Pierre Brianceau, Corinne Comboroure and Olivier Faynot, CEA/LETI

For this study, amorphous carbon hard mask has been used on our structure to decrease resist thickness and improving ebeam resolution. Finally, after plasma etching, we have succeeded in achieving 30nm MOS transistor gates and improving gate resolution down to 7nm by hard mask trimming.

P-3C-03
EB/Maskless I Session Posters

Estimation Of Remaining Resist Profile Without Exposure And Development Simulations In E-Beam Lithography, Xiaoxia Huang and Soo-Young Lee, Auburn University

The remaining resist profile can be estimated through simulation of electron-beam lithographic and resist developing processes using a point spread function. However, such methods often suffer from practical limitations. A new approach is proposed to estimate the remaining resist profiles using a set of experimental results without exposure estimation or development simulation.

P-3C-04
EB/Maskless I Session Posters

The Modification Of Aperture System For Atomic Image Projection Electron-Beam Lithography (AIPEL), Hyun-Mi Kim, Hyo-Sung Lee, Jung-Sub Wi, Sung-Wook Nam and Ki-Bum Kim, Seoul National University

We proposed a projection electron-beam lithography using the image signal of high-resolution transmission electron microscopy as a mask (i.e. AIPEL). The quality of AIPEL pattern highly depends on that of mask signal. We introduce the noise reduction objective aperture for the reducing the noise of mask signal which is originated from Fourier transform filtering.

P-3C-05
EB/Maskless I Session Posters

Influence Of Resist Temperature During Exposure On Ultra-High Resolution Electron Beam Lithography Using HSQ Resist, Vadim Sidorkin and Emile van der Drift, Delft University of Technology

We report on investigation of temperature effect during exposure on ultra high resolution electron beam lithography using HSQ negative tone resist. Effects which could influence the size and shape of formed structures with variation of the resist temperature during exposure were considered.

P-3C-06
EB/Maskless I Session Posters

Tools For Resist Heating Analysis And Compensation For Electron Beam Tools, S Raghunathan, J Hartley and L Zhang, University of Albany

Various compensation methods have been available through the years to reduce the substrate heating effects. This paper will touch upon the drawbacks of these techniques and present a novel yet simple method of substrate temperature control - pixel level dose modulation.

P-3C-07
EB/Maskless I Session Posters

Optical Wafer Height And Tilt Sensor For Electron Beam Lithography System, Junru Ruan and John Hartley, State University of New York at Albany

The manuscript describes a simple solution for wafer height sensing in lithography system.  Without a complicated design, this optical height sensor is able to determine both height and tilt information of a wafer, which are important since the depth-of-focus of lithography systems is limited.

P-3C-08
EB/Maskless I Session Posters

High Density Nanostructure Fabrication by Electron Beam Lithography, Zs. Szabo, J.M. Lee, M.A. Mohammad, K. van Dalfsen, M. Aktary*, M. Stepanova, and S.K. Dew, University of Alberta, *University of Twente

To reach the ultimate quality of the e-beam lithography (EBL) process, a detailed understanding and optimization of both the exposure and development stages is required.Employing the Raith150 EBL system, we have investigated the influence of process conditions on the resolution of sub-50 nm features.We will present the results of our theoretical model applied to the entire EBL process.

P-4A-01
Diblock Copolymers Session Posters

Hydrogen Silsesquioxane Nano-Posts As Decoys For Guiding The Self-Assembly Of Block Copolymers, Joel Yang, Yeon Sik Jung, Ion Bita, Edwin Thomas, Caroline Ross and Karl Berggren, Massachusetts Institute of Technology

We used an array of HSQ nanoposts to guide the self assembly of spherical-phase block copolymers to achieve long range order. Each nanopost was 10 nm in diameter and the pitch of the block copolymer spheres was 38 nm.

P-4A-02
Diblock Copolymers Session Posters

Guided Phase Separation Of Polymer Blends For Patterns Varying From Micron To Nanometer Length Scales, Yogesh Karade, Ruediger Berger*, Wilhelm Bruenger** and Andreas Dietzel, Technical University of Eindhoven, *Max Planck Institute for Polymer Research, **Fraunhofer Institute for Silicon Technology

We present a technique for patterning polymer blend films from micron to nanometer length scales based on guided phase separation of the polymer blocks in pre-patterned hydrophilic / hydrophobic regions on si wafers induced by ion beams / plasma, thus combining self-organization with a top-down pre-patterning.

P-4B-01
Metamaterials Session Posters

Aluminum Oxides As Metamaterials For Enhancement Of 193nm Lithography, Andrew Estroff, Neal Lafferty, Anatoly Bourov, Robert Frankel and Bruce Smith, Rochester Institute of Technology

Research into metamaterials has lead to a host of applications that may be useful to enhance optical nanolithography.  Two of these applications, an optical superlens comprised of aluminum-rich oxide that allows for imaging below the diffraction limit and an angular and polarization selective pupil filter, will be presented.

P-4B-02
Metamaterials Session Posters

Tunable Optical Gain For Negative Index Materials By Integration Of Near-Infrared Emitting Nanocrystals, Jing Tang, Wei Wu, Qiangfei Xia, Ekaterina Ponizovskaya, Alexandre Bratkovski, Shih-Yuan Wang and R. Stanley Williams, Hewlett-Packard Laboratories

Lead Selenide nanocrystals (NCs) have been incorporated into negative index materials (NIMS). Achieving optical gain from NCs for NIMs appears possible using this approach. The resultant electromagnetic properties and potential advantages for NIMS will be presented.

P-4B-03
Metamaterials Session Posters

Photomask Image Enhancement Using Grating Generated Surface Waves, Neal Lafferty, Andrew Estroff and Bruce Smith, Rochester Institute of Technology

Transmission and contrast enhancements may be realized for near wavelength sized photomask structures by including sub-wavelength buried grooves in a dual-write mask design. A photomask has been fabricated using these features and tested using a transmission mode variable angle spectroscopic ellipsometer and a commercial high NA scanner.

P-4B-04
Metamaterials Session Posters

Superfocusing Of Light Using A Metallic/Dielectric Nano-Optic Lens, Hyungduk Ko, Hyun Chul Kim and Mosong Cheng, Texas A&M University

We propose a Ag/dielectric layered superlens using finite difference time domain analysis. The numerical analysis indicates that the superfocusing beyond the diffraction limit is found even at the extended focal length comparable to the distance of λ; from exit plane using a circularly polarized coherent plane wave, λ=405 nm.

P-4B-05
Metamaterials Session Posters

λ/12 Sub-wavelength Optical Lithography With A Smooth Superlens, Pratik Chaturvedi, Nicholas Fang, Wei Wu*, Zhaoning Yu*, S-Y Wang*, VJ Logeeswaran** and Saif Islam**, University of Illinois at Urbana-Champaign, *Hewlett-Packard Laboratories, **University of California at Davis

The progress of optical superlensing with 30nm feature resolution or 1/12th of the illumination wavelength is presented. This opens the door to parallel nanofabrication and imaging by optical means.

P-4B-06
Metamaterials Session Posters

Fabrication Of Large-Area Negative-Index Metamaterial By Electron-Beam-Lithography, Christian Helgert, Reinhard Geiss, Ekaterina Pshenay-Severin, Thomas Pertsch, Ernst-Bernhard Kley and Andreas Tünnermann, Friedrich-Schiller-Universitat Jena

We report on the fabrication and characterization of a thin-film negative index material based on a nanostructured metal-layer stack. Large-area samples were obtained by electron-beam lithography with a Vistec SB350OS and a subsequent lift-off step. We show both theoretically and experimentally an effective negative index of refraction at 1500nm wavelength.

P-4B-07
Metamaterials Session Posters

Focusing Of Plasmonic Micro Zone Plate-Based Metallic Structures Covered By A Dielectric Layer, Hyun Chul Kim, Hyungduk Ko and Mosong Cheng, Texas A&M University

By modulating the slit width of plasmonic micro zone plate-based metallic nanostructures covered by a dielectric layer, we numerically demonstrate that a focused spot size beyond the diffraction limit in comparison to the conventional micro zone plate can be achieved.

P-4C-01
Ion Beam Session Posters

Nanoscale To Millimeter Scale Milling With A Focused Ion Beam Instrument, Paul Tesch, Noel Smith, Noel Martin and Doug Kinion, Oregon Physics LLC

A  focused ion beam instrument capable of milling structures with dimensions from the nanoscale to millimeter scale has been developed. A RF plasma ion source with an electrostatic matching lens has been integrated onto a commercially available two lens electrostatic column to create a new FIB tool that can mill structures from 50 nanometers to millimeter in size.

P-4C-02
Ion Beam Session Posters

Image Quality Improvement In Fib Photomask Repair System, Anto Yasaka, Fumio Aramaki, Masashi Muramatsu, Tomokazu Kozakai, Osamu Matsuda, Yasuhiko Sugiyama, Toshio Doi, Osamu Takaoka, Ryoji Hagiwara and Koji Nakamae*, SII Nanotechnology Inc., *Osaka University

Focused Ion Beam Technology has been widely used to repair defects on photomasks.  We report recent progress on imaging quality in the FIB mask repair system.  This improvement results in higher repair accuracy and repeatability to meet the requirements for the latest photomasks.

P-4C-03
Ion Beam Session Posters

Gas Field Ion Source From An Ir/W<111> Single-Atom Tip, Hong-Shi Kuo, Ing-Shouh Hwang, Tsu-Yi Fu*, Yi-Hsien Lu, Chun-Yueh Lin and Tien T. Tsong, Academia Sinica, *National Taiwan Normal University

We have developed simple, reliable and repairable ways of making thermally equilibrated Ir/W (111) single-atom tips.  This single-atom tip is a very good point ion source for rare gases (He, Ar) and reactive gases (H2, O2).  The ion beams are very bright and are very stable.

P-4C-04
Ion Beam Session Posters

Espacer Protective Surface Coat For Cutting-Edge Ion-Beam Lithography, Alexandra Imre, Mihaela Tanase, Amanda Petford-Long and Leonidas E. Ocola, Argonne National Laboratory

We demonstrate the successful use of Espacer 300Z, a highly conductive water-soluble polymer (polyisothianaphthene-sulfonate), as a protective coating in high resolution focused ion-beam lithography. Our studies show that an Espacer coating can be an efficient discharge layer as well as protective surface coat that blocks low-level ion exposure.

P-4C-05
Ion Beam Session Posters

(Invited) Elemental Analysis With The Helium Ion Microscope, John Notte, Sybren Sijbrandij, Nicholas Economou and Bill Ward, Carl Zeiss SMT

There are some exciting new results for the helium ion microscope.  In the past two years, this new technology has provided high resolution, and high contrast images with surface sensitivity.  The new results suggest elemental identification may be possible using the backscattered helium atoms.

P-5A-01
Cell Pattern Interactions Session Posters

Fabrication Of Elastometer Pillar Arrays With Modulated Stiffness For Cellular Force Measurements, Saba Ghassemi, Nicolas Biasis, Katharina Maniura, Shalom Wind, Michael Sheetz and James Hone, Columbia University

We explore the role of varying substrate rigidity on cell function and behavior by forming dense arrays of elastomeric pillars having different heights.

P-5A-02
Cell Pattern Interactions Session Posters

(Invited) High-Aspect-Ratio Plasma-Induced Nanotexturing Of Polymers (PDMS PMMA, PEEK, ...) For Protein Adsorption Applications, Maria-Elena Vlachopoulou, Katerina Tsougeni, Panagiota Petrou, Sotirios Kakabakos, Angeliki Tserepi and Evangelos Gogolides

We fabricate random columnar-like high aspect ratio micro-and nano-structures using SF6 plasma etching of PDMS surfaces and O2 plasma etching of PMMA and PEEK surfaces. Super-hydrophilic and super-hydrophobic surfaces are produced. Also we present the use of such surfaces as platforms for enhanced protein adsorption and demonstrate increased b-BSA protein adsorption on fresh or aged surfaces, compared to flat surfaces.

P-5A-03
Cell Pattern Interactions Session Posters

Interdigitated Electrode Structures For Osteoblast Growth Studies, Ananda Sagari Arcot Rajashekar, Paavo Rahkila, Timo Sajavaara, Mikko Laitinen, Matti Putkonen, Sulin Cheng and Harry James Whitlow

Fabrication interdigitated electrode arrays under a dielectric overlayer of hydroxyapatite. Atomic Layer Deposition was used to deposit the HA film. The combination of nanometer-scale electrodes with a 50 nm thick HA layer allows effective penetration of the electric field to the HA/growth media interface.

P-5A-04
Cell Pattern Interactions Session Posters

Effect of Microstructures on Growth of Human Epithelial Layers, Heinz Wanzenboeck and Emmerich Bertagnolli

The effect of deep-trench structures on the growth of human Caco-2 cells has been investigated. Although cells are not hindered from growth, the growth rates were found to be influenced by the support structure.

P-5B-01
EUV Session Posters

3D Mask Topographic Effects In EUV Lithography, Chun-Hung Lin, Hsuen-Li Chen*, Fu-Hsiang Ko** and Wen-Huei Chang***, National Cheng Kung University, *National Taiwan University, **National Chaio Tung University, ***Chung Shan Medical University

In EUV lithography, the mask features with 3D topography should have a strong influence on the lithographic imaging results especially for the ever-demanding smaller CD. The sidewall angle effects of the mask for the sub-32nm node were explored in this work. The rigorous coupled-wave analysis (RCWA) was applied to analyze the optical diffraction from the 3D topographic periodic features.

P-5B-02
EUV Session Posters

Effects Of Mask Absorber Structures On The EUV Lithography, Hwan-Seok Seo, Hoon Kim, Sungmin Huh, Dong Gun Lee, Dongwan Kim, Seong-Sue Kim and Han-Ku Cho, Samsung Electronics Co., LTD.

Here, we present the dependence of mask absorber structure on the EUVL to minimize shadowing effects and enhance image qualities. For this purpose, we compare simulation results with actual mask printability on the resist coated wafers using MET experiments and discuss the implications on the selection of desirable mask structure.

P-5B-03
EUV Session Posters

The Effects Of Wet And Dry Cleaning Methods On The Chemical Composition And Morphology Of The Ru Capping Layer Of The Extreme Ultraviolet (EUV) Mask Blanks, Leonid Belau, Jeong Park, Ted Liang* and Gabor Somorjai, University of California, Berkeley, *Intel Corporation

The surface effects on the EUV mask blanks after wet and dry cleaning methods of EUV mask blanks were studied using surface XPS and AFM. The increase in the subsurface oxygen concentration and growth of the ruthenium oxide were investigated.

P-5B-04
EUV Session Posters

Carbon Film Growth And Mitigation On Model Electron-Irradiated EUVL Mirror Capping Layers: TiO2 And Ru, Theodore E. Madey, B. V. Yakshinskiy, M. N. Hedhili and S. Zalkind, Rutgers, The State University of New Jersey

Surface chemical processes affect the reflectivity of TiO2- and Ru-capped multilayer mirrors used in EUVL.  Carbon films grow on Ru and TiO2 surfaces during irradiation in MMA and benzene vapors. Growth rates on clean surfaces are very different, but  limiting growth rates are the same for C thicknesses > 1 to 1.5 nm.

P-5B-05
EUV Session Posters

Model Studies Of The Photocatalytic Removal Of Carbon From Titanium Dioxide, Peter Jacobson, Chuandao Wang and Ulrike Diebold, Tulane University

Multilayer Mo/Si mirrors used for EUV lithography are rapidly contaminated with carbon through light-induced reactions with residual gas molecules present in manufacturing vacuum.  This severely reduces the mirror’s reflectivity and usable lifetime.  We have investigated the carbon remediation properties of UV illuminated TiO2.

P-5B-06
EUV Session Posters

Protection And Reduction Of Surface Oxidation Of Mo/Si Multilayers For EUVL Projection Optics By Control Of Hydrocarbon Gas Atmosphere, Masahito Niibe, Keigo Koida and Yukinobu Kakutani, University of Hyogo

It is important to protect the surface of the lithographic optics from contamination and degradation in order to maintain constant throughput of the EUVL tool. Recently, we investigated the effect of protection and reduction of surface oxidation of Ru-capped Mo/Si multilayer that was exposed to EUV radiation in the presence of hydrocarbon gas atmosphere.

P-5B-07
EUV Session Posters

Absorber Stack With Transparent Conductive Compound Material For EUV Lithography Mask, Hee Young Kang and Chang Kwon Hwangbo, Inha University

In this study, we propose new absorber stacks with various transparent conductive compound layers. Hence the transparent conductive compound layers enables the design of an absorber stack with minimal thickness and reduces the geometrical shadow effect.

P-5B-08
EUV Session Posters

Low Energy Ion Beam Machining Of Si Layer Deposited On An ULE Substrate For EUVL Optics - Evaluation Of Surface Roughness, Yuriko Mouri, Yuichi Kurashima, Iwao Miyamoto, Atsushi Numata* and Manabu Ando*, Tokyo University, *EUVA

Ion beam figuring is adapted to final shape correction. In this experiment, the Si layer deposited on ULE® substrate was machined by Ar ion beam with an energy of 1 keV and  surface roughness of machined Si was evaluated.

P-5C-01
Metrology, Align, Inspect Session Posters

Study Of Machine To Machine Overlay Error For Sub-60-Nm Memory Devices, Jangho Shin, Sihyeung Lee, Jeongho Yeo, Hochul Kim, Junghyeon Lee and Woosun Han, Samsung Electronics

In this paper, a grid matching strategy through per-shot-correction (PSC) is investigated so that scanner contributions are minimized. In PSC, shot correction is implemented for each shot with different correction parameter values. By matching wafer grids from machine to machine, overlay budget is confirmed for sub 60 nm memory devices.

P-5C-02
Metrology, Align, Inspect Session Posters

Enabling Nanometrology For High Aspect Ratio Structures With Carbon Nanotube AFM Probes, Tianming Bao, Mario Ercole* and Vladimir Ukraintsev, Veeco Instruments Inc., *Texas Instruments Inc.

This paper discusses recent progress in combining CNT probes with the industrial AFM metrology tool to measure depth, sidewall angle, and pitch for narrow and deep features in nanoscale integrated circuit manufacturing processes.

P-5C-03
Metrology, Align, Inspect Session Posters

Modeling Of Charge And Discharge In Scanning Electron Microscopy, S. Babin, S. Borisov and A. Ivanchikov, aBeam Technologies, Inc.

Physical models for charge and discharge of a sample in SEM and EBL have been developed. Models were implemented in Monte Carlo software. Examples of simulations are presented for low voltage measurements of linewidth in SEM.

P-5C-04
Metrology, Align, Inspect Session Posters

Self-Assembled Monolayer Fiduical Grids For Spatial-Phase-Locked Electron-Beam Lithography, Chandan Samantaray and J. Todd Hastings, University of Kentucky

Patterned self-assembled monolayers were evaluated for use as electron-transparent fiducial grids in low-energy spatial phase-locked electron-beam lithography.  400-nm period octadecanethiol grids were contact printed on Si-PMMA-Au multilayer samples.  Experimentally measured signal-to-noise ratios compared favorably with previously implemented fiducial grids.

P-5C-05
Metrology, Align, Inspect Session Posters

Abbe-SVD: Compact Abbe’s Kernel Generation For Microlithography Aerial Image Simulation Using Singular- Value Decomposition Method, Jerry Chiang, Charlie Chung-ping Chen and Lawrence S. Melvin III*, National Taiwan University, *Synopsys Inc.

The Hopkin’s method and Abbe’s method are the most popular microlithography aerial image simulation methods.  The Hopkin’s method is generally more popular for the high speed in model based Optical Proximity Correction (OPC).  In this paper, it will be demonstrated that it is possible to generate compact and accurate Abbe kernels 100X faster than the Hopkin's method.

P-5C-06
Metrology, Align, Inspect Session Posters

Line Edge Roughness Impacts On Overlay, Yuansheng Ma, Harry Levinson and Jongwook Kye, Advanced Micro Devices

In this paper, we will present a fundamental study of LER effect on overlay and its possible implications on design rules.

P-6A-01
Nano-bio Devices Session Posters

(Invited) Nanoscale “Curtain Rods” For The Study Of Protein-DNA Interactions, Teresa Fazio, Mari-Liis Visnapuu, Eric Greene and Shalom Wind, Columbia University

Biochemical interactions between individual proteins and DNA are monitored by the formation of nanoscale barriers in a lipid bilayer. The DNA is tethered on one end by the barriers and is elongated by the flow, forming "curtains" which can be used to monitor the diffusion of proteins along the DNA.

P-6A-02
Nano-bio Devices Session Posters

A Multi-Electrode Cuff For Neuronal Sensing In The Locust, Dhara Parikh, Haleh Fotowat*, Fabrizio Gabbiani* and John Wolfe, University of Houston, *Baylor College of Medicine

Snugly fitting micron scaled cuff-electrodes have been fabricated for purposes of studying sensory mechanisms of locusts. High depth-of-field of ion beam proximity lithography is critical for pattern definition over aggressively non-planar topography.

P-6A-03
Nano-bio Devices Session Posters

Impedimetric Biosensing With Interdigitated Arrays Of Nano Electrodes Fabricated By Nanoimprint Lithography, Irene Fernandez-Cuesta, Jesús García, Jahir Orozco, César Fernández-Sánchez, Antoni Baldi, Xavier Borrisé and Francesc Pérez-Murano, Institut de Barcelona

Fabrication and characterization of interdigitated arrays of nanoelectrodes (nIDEs) by NanoImprint Lithography is presented. The chips are bounded to a PCB for electrical characterization. Results of impedimetric measurements, the equivalent circuit and the dependence of the capacitance as a function of the frequency are presented.

P-6A-04
Nano-bio Devices Session Posters

Diffusers With Both Surface-Relief And Particle-Diffusing Functions Fabricated Using Hybrid Extrusion Roller Embossing, Sen-Yeu Yang, Tzu-Chien Huang, J.R. Ciou, Po-Hsun Huan, John G. Loeser* and S.H. Chang, National Taiwan University, *Oregon State University

In this study, an innovative extrusion roller embossing process for directly fabricating plastic diffusers integrating surface-relief and particle-diffusing functions has been proposed. This novel process shows the great potential for continuous fabrication of high-performance plastic diffusers integrating surface-relief and particle-diffusing functions with low cost and high throughput.

P-6A-05
Nano-bio Devices Session Posters

Protein-Induced Electrical Variation On Gold-Silicide Embedded Nanowires, Fu-Hsiang Ko, T-W. Chiu, K-L Li and Chun-Chi Chen, National Chiao Tung University

We develop a gold-silicide embedded nanowires based on a standard semiconductor nanofabrication for protein sensing. The conductance ratio of nanowire of 80, 100, 120 and 200nm width at various stages of surface modification, biotin and streptavidin attachment are evaluated for biosensing purpose.

P-6A-06
Nano-bio Devices Session Posters

Hybridization Sensing By Electrical Enhancement With Nanoparticles In Nano-Gap, Fu-Hsiang Ko, Chun-Chi Chen, Su-Jan Yan*, Tieh-Chi Chu, National Chiao Tung University, *Yuanpei University of Science and Technology

We propose a nanogap device with gold nanoparticles as a base for DNA assay. We combine the shaped electron beam lithography to fabricate sub-100nm gap between two electrode pads, and the gold electrode is fabricated by lift-off process. The conductance of the sensor significantly increases with the hybridization of double-stranded DNA.

P-6A-07
Nano-bio Devices Session Posters

Impedance Modulation In Coaxial Nanoneedle Biosensor, Hesaam Esfandyarpour, R. Fabian W. Pease and Ronald W. Davis*, Stanford University, *Center for Integrated Systems

Coaxial Nanoneedle Biosensor shows a promise to overcome the current limitations of current biosensors. This study was performed to prove the feasibility of direct electrical detection of protein or nucleic acids, due to ionic current blockage and impedance modulation. The system is optimized for high sensitivity and low concentration detection.

P-6A-08
Nano-bio Devices Session Posters

Biofunctionalization For Enhanced Photoluminescence Of Nanopatterned Silica From The Diatom Cyclotella Sp., Debra Gale, Gregory Rorrer, Timothy Gutu*, Jun Jiao* and Chih-hung Chang, Oregon State University, *Portland State University

Biogenic silica microstructures called “frustules” that are derived from the shells of diatoms were biofunctionalized with the antibody Rabbit Immunoglobulin G (IgG) and the complimentary antigen Goat anti-Rabbit IgG.  Antigen binding was detected using photoluminescence spectroscopy which suggests that diatom biosilica has the potential to serve as a biosensor platform.

P-6A-09
Nano-bio Devices Session Posters

Multicriteria Optimization In Sensor Design And Fabrication For DNA Thermosequencing Platform, Hesaam Esfandyarpour, R. Fabian W. Pease and Ronald W. Davis*, Stanford University, *Center for Integrated Systems

It was shown Thermosequencing could potentially reduce both the cost and complexity of DNA sequencing by using picocalorimeters nanofabricated in a microfluidic platform. To optimize efficiency and fabrication, FEM was used to model the system by simulating the DNA incorporation reaction series and the resulting product concentration and heat production.

P-6B-01
Resists Session Posters

Next Generation Chemically Amplified Molecular Resists For E-Beam Lithography Based On Epoxide Cross-Linking, Richard Lawson, Cheng-Tsung Lee, Clifford Henderson, Laren Tolbert and Wang Yueh*, Georgia Institute of Technology, *Intel Corporation

We have recently synthesized and characterized a series of negative tone chemically amplified molecular resists based on epoxide ring opening. The resists are capable of achieving 35 nm resolution, a low LER of 2.3 nm, and an e-beam sensitivity of approximately 30 µC/cm2 at 100 keV.

P-6B-02
Resists Session Posters

Optical Characterisation Of An HSQ Lithography Process, Stephen Thoms, Marco Gnan, Douglas Macintyre, Antonio Samarelli, Marc Sorel, Michael Strain and Richard De La Rue, University of Glasgow

We report on a new linewidth metrology method which makes use of the resonances of optical structures. It has an ultimate accuracy of better than 0.1%, but has the limitation that it cannot be used for arbitrary structures.

P-6B-03
Resists Session Posters

Detailed Characterization Of HSQ For E-Beam Application In DRAM Pilot Line Environment, Katja Keil, Christoph Hohle*, Kang-Hoon Choi*, Johannes Kretz, Laszlo Szikszai and Johann W.Bartha**, Fraunhofer CNT, *Qimonda Dresden, **TU Dresden

Electron shaped beam lithography of HSQ in a DRAM pilot line environment is investigated. The comparison to research environments with manual processing is discussed. HSQ is characterized by three different methods: the isofocal dose method, a vacuum stability test and the doughnut method for setting up a proximity effect correction.

P-6B-04
Resists Session Posters

Novel Negative-Tone Molecular Resist For EUV Lithography, Hiroaki Oizumi, Takaaki Kumise and Toshiro Itani, Osaka University

We developed new chemically amplified negative-tone molecular resists based on bis[bis(alkylhydroxyphenyl) alkyl] naphthalene (BPN) derivative and evaluated their EUV patterning performance and outgassing amount. With this advanced molecular resist, a resolution of less than 30 nm at an exposure dose of 18 mJ/cm2 was achieved.

P-6B-05
Resists Session Posters

Multispur In Chemically Amplified Electron Beam Resists, Takahiro Kozawa, Kazumasa Okamoto, Akinori Saeki and Seiichi Tagawa, Osaka University

When ionizing radiations enter materials, ion pairs are generated through the ionization. The space where this ion pair occupies is called a spur. When spurs are overlapped, the electron dynamics significantly changes and affects the chemical yield and distribution.

P-6B-06
Resists Session Posters

The Effect Of Thin Metal Over-Layers On The Electron Beam Exposure Of Poly-Methyl Methacrylate, Chandan Samantaray and Jeffrey Todd Hastings, University of Kentucky

We consider the effect of thermally evaporated Al or Cr films on the contrast and resolution of PMMA exposed at different primary beam energies. This is an excellent foundation for fiducial grids used in spatial-phase locked e-beam lithography.

P-6B-07
Resists Session Posters

Understanding The Effects Of Photoacid Distribution Homogeneity And Diffusivity On Lithography Performance In Polymer-Bound PAG Photoresists, Cheng-Tsung Lee, Richard Lawson, Clifford Henderson, Mingxing Wang*, Kenneth Gonsalves* and Wang Yueh**, Georgia Institute of Technology, *University of North Carolina-Charlotte, **Intel Corporation

Although polymer-bound PAG resists display improved performance compared to blend PAG resists, the connections between resist design and lithographic performance in such systems is not well understood.  In this work, a combination of experiments and modeling has been used to quantify and understand the lithographic advantages of polymer-bound PAG resists.

P-6B-08
Resists Session Posters

Two-Step Development Method Of Hydrogen Silsesquioxane Resist For High-Density Electron Beam Nanopatterning, Hyo-Sung Lee, Jung-Sub Wi, Sung-Wook Nam, Hyun-Mi Kim and Ki-Bum Kim, Seoul National University

The two-step development method of hydrogen silsesquioxane resist, based on development time extension, is proposed to generate high-density features in electron beam lithograpy.

P-6B-09
Resists Session Posters

The Effects Of Molecular Weight On Resist Evaluation Parameters In Poly(Methylmethacrylate) Developed At Sub-Zero Temperatures, Minjun Yan, VenkatSubramanian Kavasseri Ratnagiri, Sookyung Choi and Ilesanmi Adesida, University of Illinois at Urbana-Champaign

We present a study on the effects of molecular weight on the resist evaluation parameters in PMMA developed at various temperatures. For 35 nm-thick 950 K PMMA, 35 nm pitch gratings were realized at 20 °C while less than 32 nm pitch gratings were realized at 0 °C in 1:7 MIBK/IPA.

P-6B-10
Resists Session Posters

Impact Of Plasma Etching Processes On 193 nm Photoresist: Etch Resistance And Line Width Roughness, Raphael Ramos, Erwine Pargon, Mickael Martin, Arnaud Bazin, Kevin Menguelti, Jerome Thiault, Gilles Cunge and Olivier Joubert, CNRS-LTM

Photoresist masks developed for 193 nm lithography exhibit poor etch resistance and severe roughening after plasma etching. The impact of different plasma treatments on patterned resist Line Width Roughness and its transfer into the underlayers is investigated by CD-AFM and correlated with chemical and physical modifications of resist surfaces.

P-6B-11
Resists Session Posters

Impact Of Base And PAG Loading On Intrinsic Resolution In EUV Resists, Christopher Anderson, Patrick Naulleau, Dimitra Niakoula, Elsayed Hassanein*, Robert Brainard*, Gregg Gallatin** and Kim Dean***, Lawrence Berkeley Laboratory, *University at Albany, **Applied Math Solutions, LLC, ***SEMATECH

Here we use a contact-hole metric to investigate intrinsic resolution as a function of resists base and photo-acid-generator (PAG) concentration.  Results show that resist resolution is improved by increasing base concentration  while PAG concentration has no statistically significant dependence on resist resolution.

P-6B-12
Resists Session Posters

Dissolution Characteristics Of Chemically Amplified EUV Resist, Toshiro Itani, Koji Kaneyama, Takahiro Kozawa* and Seiichi Tagawa*, Semiconductor Leading Edge Technologies, Inc., *Osaka University

Dissolution characteristics of EUV resist into alkaline developer have been investigated. It was found that molecular resist can easily and smoothly dissolve into alkaline developer comparing with conventional polymer resist, thus it can minimize LWR of the resist pattern. The detail analysis including actual imaging results will be presented.

P-6B-13
Resists Session Posters

Dissolution Optimization Of Highly Etch-Resistant, Anthracene-Based Molecular Resists, Dimitrios Drygiannakis, Dimitra Niakoula, George Patsis, Evangelos Gogolides, Ioannis Raptis, Panagiotis Argitis, Veroniki Vidali, D.R. Gautam and Elias Couladouros, NCRS

Despite their potential for high resolution, low LER sub 50nm lithography, molecular resists are not yet optimized with respect to both formulation and processing requirements. Towards this end we report on the optimization of highly etch resistant, antracene-based molecular resists behaviour in critical processing steps such as development.

P-6C-01
Imaging/Microscopy Session Posters

Coherent Euv Scattering Microscopy, Dong Gun Lee, Junki Kishimoto*, Kazuhiro Hamamoto**, Noriyuki Sakaya**, Takeo Watanabe*, Hiroo Kinoshita*, Seong-Sue Kim and Han-Ku Cho, Samsung Electronics Co. LTD., *University of Hyogo, **HOYA Corporation Electronics Development Center

We report the development of a coherent scattering microscopy (CSM) at 55 nm resolution employing coherent diffraction of EUV beam and phase-retrieval method. The phase-retrieval algorithm of hybrid input-output (HIO), which act like a lens in the optical microscopy, is used to form microscopic images.

P-6C-02
Imaging/Microscopy Session Posters

(Invited) Transmission Electron Microscopy Sample Preparation Employing A "Triple" Beam Instrument, Yo Yamamoto, Camille Stebler, Haruo Takahashi, Hidekazu Suzuki, Koji Iwasaki, Toshiaki Fujii and Michael Rauscher*, SII NanoTechnology Inc. and Carl Zeiss NTS GmbH, *Carl Zeiss NTS GmbH

We present the application of a "triple" beam instrument to high quality TEM sample preparation. The "triple" beam instrument combines the SEM/FIB preparation technique with an Argon gun within a single tool with all beams being coincident on the sample surface. High resolution live imaging allows tight control of the entire preparation process.

P-6C-03
Imaging/Microscopy Session Posters

(Invited) Electron-Transparent Cantilevers For TEM Visualization Of Nanodevices, Doewon Park, Mark E. Twigg, Edward E. Foos, Walter Kruppa, Arthur W. Snow and Mario G. Ancona, Naval Research Laboratory

In this paper, we report on a new technique that allows the fabrication of nanodevices on electron-transparent cantilevers, and we illustrate it by applying it to the characterization of gold nanocluster devices.

P-6C-04
Imaging/Microscopy Session Posters

Development Of The Compact Low-Energy Soft X-Ray CT Equipment For The Soft Material Structural Analysis, Motosuke Miyoshi, Takao Hamakubo, Tatsuhiko Kodama, Masatoshi Tsuchiya*, Atsushi Koishikawa* and Nobutada Aoki**, University of Tokyo, *Yamato Scientific Co. LTD., **Toshiba Electron Tubes & Devices Co., Ltd.

A compact low-energy soft X-ray CT equipment suitable for the soft material structural analysis in the industrial applications was developed and evaluated. The system configuration and newly developed soft X-ray tube below the photon energy of 5keV are presented. Several results of soft material structural analysis are also presented.

P-7A-01
Directed Self Assembly Session Posters

Templated Self-Assembly Of Sub-10nm Quantum Dots, Joshua Leu, Bryan Cord, Polina Anikeeva, Moungi Bawendi, Vladimir Bulovic and Karl Berggren, Massachusetts Institute of Technology

The self-assembly of sub-10nm quantum dots is demonstrated by spin-coating a solution of organically-capped CdZnS semiconducting quantum dots onto nanopatterned grating structures on silicon substrates. We observe the geometric confinement of the quantum dots via physical templating and capillary forces into well-ordered aggregates with defined lattice orientations.

P-7B-01
Beam Induced Processing Session Posters

Investigation Of Morphological Changes In Platinum Nano-Structures Created By Focused Electron-Beam-Induced Deposition, Aurelien Botman, Marcel Hesselberth* and Hans Mulders**, Philips Research Laboratories, *Universiteit Leiden, **FEI Electron Optics

We present two topics on platinum structures created by EBID. Firstly we document the ageing process of structures created from MeCpPtMe3, which have a time-dependent resistivity when exposed to air; we demonstrate how to prevent this oxidation. Secondly we report on phosphor clusters in nano-structures created from Pt(PF3)4, observed in a TEM.

P-7B-02
Beam Induced Processing Session Posters

(Invited) Maskless Nanolithography Approaches Utilizing Electron-Beam-Induced Deposition, Yingfeng Guan, Jason Fowlkes*, Scott Retterer*, Michael Simpson and Philip Rack, University of Tennessee, *Oak Ridge National Laboratory

A maskless, direct-write lithography (MDL) approach has been developed using electron beam-induced deposition to produce nanopatterns in the ~ 10 nm regime. In this paper, we will briefly review the EBID lithography process and describe how various EBID parameters affect the process and resolution.

P-7B-03
Beam Induced Processing Session Posters

(Invited) Catalyst Patterning For Carbon Nanotube Growth On Elevating Posts By Self-Aligned Double-Layer Electron Beam Lithography, Michael Häffner, Andreas Heeren, Monika Fleischer, Marko Burghard* and Dieter Paul Kern, University of Tuebingen, *Max-Planck-Institute for Solid State Research

A method is presented for precise self-alignment of catalyst films on protruding posts for gas flow aligned carbon nanotube growth. In a catalyst lift-off process PMMA e-beam lithography is performed on top of an HSQ layer that is exposed simultaneously, resulting in catalyst layers on HSQ structures after HSQ development.

P-7B-04
Beam Induced Processing Session Posters

Property Of In-Vacuum Direct Photo-Etching Of PTFE Brought By High Energy Synchrotron Radiation Irradiation, Shigeaki Yamamoto, Yoshiaki Ukita, Kozo Mochiji and Yuichi Utsumi, University of Hyogo

We investigated the property of in-vacuum direct etching of PTFE  achieved by the photochemical reaction induced by high energy synchrotron radiation. We found  the roughness of the etched surface is greatly decreased  at the elevated temperature above 150 and  stoichiometry of the PTFE surface was maintained during  etching process.

P-7B-05
Beam Induced Processing Session Posters

Mass–Transport And Reaction-Rate Limited Growth Modes During Electron–Beam Induced Deposition, Jason Fowlkes, Michael Simpson and Philip Rack*, Oak Ridge National Laboratory, *University of Tennessee

Pulse EBID growth parameters were determined that maximize both the vertical growth efficiency (nm height per e-) and minimize the lateral resolution of high–aspect ratio nanopillar features.   Accelerating voltage, current, dwell time and precursor refresh times were varied to achieve the optimized condition.

P-7B-06
Beam Induced Processing Session Posters

Stiffness, Density And Quality Of High Aspect Ratio Cu/C Nanostructures Produced By Focused Electron-Beam Induced Deposition, Vinzenz Friedli, Johann Michler and Ivo Utke, Swiss Federal Laboratories for Materials Testing and Research

In view of nanomechanical applications of electron-beam induced deposits we determined Young’s modulus, density, and quality factors of nanopillars deposited from the precursor Cu(hfac)2 under varying conditions. In situ bending tests were advanced employing cross correlation image processing algorithms for position tracking. Modal vibration analyses were extended using phase locking.

P-7B-07
Beam Induced Processing Session Posters

Effect Of Gaseous Additives On Electron Beam Induced Deposition, Heinz Wanzenboeck, Gottfried Hochleitner and Emmerich Bertagnolli, Vienna University of Technology

In this work we have investigated the beneficial effects of gaseous additives to the precursor gas. Silicon oxide deposition as gaseous oxygen was added, and iron deposition as gaseous hydrogen was added. We have studied the effects of these additives on the material purity, on the deposition rate and on the geometric shape of the depositions.

P-7B-08
Beam Induced Processing Session Posters

Optical Properties Of Sputtered Fluorinated Ethylene Propylene, Phillip Keathley and Todd Hastings, University of Kentucky

Fluorinated ethylene propylene (Teflon FEP) thin films are prepared and examined using spectroscopic ellipsometry.  The sample films are deposited on both BK7 and Si, where slightly different optical properties are observed for each substrate.  AFM surface measurements are also compared to the optical film roughness modeling results.

P-7B-09
Beam Induced Processing Session Posters

Direct Patterning Of Plasma Enhanced Chemical Deposition Silicon Dioxide By Electron Beam Lithography, Devin Brown, Georgia Institute of Technology

Hydrogen silsesquioxane (HSQ) is a popular high resolution negative tone resist for electron beam lithography. This work investigates whether an HSQ-like film can be mimicked via plasma enhanced chemical vapor deposition (PECVD) rather than spin coating.  It is shown that PECVD oxide acts as mainly a negative tone resist.

P-7B-10
Beam Induced Processing Session Posters

Mask-Less Wet Etching Using Laser Induced Local Heating, J Provine, Daniel Witte, Nicki Lui, Fahad Mahmood, Marc Masbou, David Elata*, Fabian Pease and Roger Howe, Stanford University, *I.I.T.

We report on a mask-less process for deep (KOH) wet etching of silicon. The silicon is exposed to the etchant over its entire surface, but etching location and rate are controlled by localized laser heating.  Etch rates greater than 40microns/min were observed with etch hole within 10% of the spot size.

P-7B-11
Beam Induced Processing Session Posters

Relief And Trench Formation On Chalcogenide Thin-Films Using Electron Beams, Galen Hoffman, Wenchao Liu, Wei Zhao, R Sooryakumar, Punit Boolchand* and Ronald Reano, Ohio State University, *University of Cincinnati

Electron beam induced reliefs are fabricated in germanium selenium glass films.  A transition region between reliefs and trenches is observed as a function of electron beam dose, enabling a route to direct write integrated optics.

P-7B-12
Beam Induced Processing Session Posters

Direct Writing Of High Resolution, Radially-Symmetric Nanostructures By Simultaneous Electron Beam Induced Deposition And Etching, Charlene Lobo, Milos Toth, Raymond Wagner, Michael Lysaght, and Bradley Thiel*, FEI Company, *State University of New York at Albany

We present simultaneous EBIE and EBID (EBIED) as a direct write method for fabricating radially symmetric nanostructures with sub-1nm resolution on bulk and insulating substrates. The technique is well suited to the fabrication of ring-shaped nanostructures such as those employed in plasmonics, sensing devices, magnetooptics and magnetoelectronics.

P-7B-13
Beam Induced Processing Session Posters

Self-Aligned Graphitic Nanowires In Diamond-Like Carbon, Johann Krauser, Anne-Katrin Nix*, Hans Hofsäss* and Christina Trautmann**, University of Applied Studies and Research, *University of Gottingen, **Gesellschaft fur Schwerionenforschung mbH

Swift heavy ions are used to fabricate electrically conducting nanowires embedded in an insulating matrix. Ion track formation described in this contribution is a single particle effect, i.e., each individual ion creates exactly one nanowire. We present a technology that opens a new approach to active or passive self-aligned nanoscopic devices.

P-7B-14
Beam Induced Processing Session Posters

Formation Of Nano Holes By An Electron Beam- Induced Etching Process, Benyimin Hadad, A. goldner, M. Yemini, N. Ashkenasy, Ben-Gurion University of the Negev-Beer-Sheva

In this work we demonstrate the fabrication of nanometric holes in Si3N4 membranes using a Raith E-Line system with a Xenon Fluorine- based precursor. In this process nano holes with controlled dimensions are repeatedly formed.

P-7B-15
Beam Induced Processing Session Posters

Nanoscale Electron Beam Induced Etching (EBIE), Matthew Lassiter and Philip Rack, University of Tennessee

Electron beam induced etching (EBIE) is a direct write technique for nanoscale patterning, prototyping and device repair.  This presentation discusses the impact of e-beam parameters such as beam current, size, and scanning parameters on the etching process.  Experimental results are compared to a continuum model.

P-7C-01
Patterned Media/Data Storage

(Invited) >1 Tdot/In.2 Bit Patterned Media Template Fabrication By Directed Polymer Self-Assembly, Shuaigang Xiao and Xiaomin Yang, Seagate Research Center

Here we demonstrate a well-defined nanodot array with a 23 nm spacing (1.4 Tdot/in.2), by using a hybrid block copolymer system. Various factors affecting the perfection of self-assembled patterns will be discussed. In addition, the integration of this block copolymer system into the fabrication of nanoimprint molds for bit patterned media application is examined.

P-7C-02
Patterned Media/Data Storage

Potential Of Rotary Stage Electron Beam Mastering System For Fabricating Patterned Magnetic Media, Hideyuki Ohyi, Takeshi Miyazaki, Kunito Hayashi, Kazuhiko Kobayashi and Yukio Kuba, CRESTEC Corporation

A rotary stage EB mastering system has been developed to fabricate patterned magnetic media. A 35nm period bit array was fabricated using a continuous r-theta stage movement flyback lithography (CSMFL) function. Placement accuracies within 5nm (3 sigma) for theta rows were realized. The CSMFL and blankingless beam shift write functions to write various servo patterns are demonstrated.

P-7C-03
Patterned Media/Data Storage

65mm Disk Patterning At 500 Gb/In2 With Full Surface Area Coverage From Diblock Copolymer Templates, Dan Kercher, Ricardo Ruiz, Tsai-wei Wu and Elizabeth Dobisz, Hitachi Global Storage Technologies

Self assembled diblock copolymers were used to fabricate nano-imprint templates for hard disk drive patterned media applications.  This template was used to imprint 500Gb/in2 features that fully cover the surface of a 65mm disk.

P-7C-04
Patterned Media/Data Storage

Challenges In 1 Teradot/In.2 Dot Nanoimprint Lithography For Bit-Patterned Media, XiaoMin Yang, Shuaigang Xiao, Yuan Xu, Robert Rottmayer, Zhaoning Yu*, Kim Lee*, Gene Gauzner* and David Kuo*, Seagate Research Center, *Seagate Technology

This paper will focus on the key challenges in NIL for BPM application, including: tool availability, processing difficulties; tight requirements of size uniformity and positioning sigma. For reasonable SNR, the bit size and positioning variation must not exceed 6%. We will present our inital experimental results of 25 nm pitch as example for discussion.

P-8A-01
Low Dimensional Devices Session Posters

Capacitive Characterization Of The Schottky Contact Between Metal And Semiconducting Carbon Nanotube, Yu-Chih Tseng and Jeffrey Bokor, University of California at Berkeley

Capacitance-Voltage (C-V) measurement of a titanium-carbon nanotube Schottky contact is measured.  Electrostatic model fails to explain completely the C-V curve, and it is revealed that the Schottky barrier height may be sensitive to local variation of the metal work function.

P-8A-02
Low Dimensional Devices Session Posters

ZnO Nanowires Grown On Cone-Shaped Zinc Nanostructures By Thermal Oxidation, Liang-Chiun Chao, Chung-Chi Liau and Jun-Wei Lee, National Taiwan University of Science and Technology

ZnO nanowires are grown on cone-shaped zinc nanostructures by thermal oxidation at 410°C.  The width of the ZnO nanowire varies from 20 to 200 nm, while its length is ~ 5 um.  Field emission properties and the effect of electric field on the growth of ZnO nanowires will be presented.

P-8C-01
Maskless II Session Posters

Dynamic Stencil Lithography On Full Wafer Scale, Marc A. F. van den Boogaart, Veronica Savu, Juergen Brugger, Julien Arcamone*, Marc Sansa*, and Fransesc Murano-Perez*, Ecole Polytechnique Federale de Lausanne, *CNM-1MB

We present a breakthrough extension of the stencil lithography tool and method. In the so-called dynamic mode the stencil is repositioned w/r to the substrate inside the vacuum chamber and synchronized with the deposition. Results demonstrate scaling of the method to full wafer (100mm).

P-8C-02
Maskless II Session Posters

A Prototype Commercial System For Massively-Parallel Maskless Zone-Plate-Array Lithography (ZPAL), Michael Walsh, Feng Zhang, Henry I. Smith and Rajesh Menon, LumArray Inc.

Zone-Plate-Array Lithography (ZPAL) uses an array of high-numerical-aperture zone plates and a spatial-light modulator to form individually controlled focused spots. We provide an overview of a prototype commercial ZPAL system and present initial performance results.

P-9A-01
Nanoelectronics Session Posters

Spin-Dependent Scattering Off Neutral Donors In Silicon Field-Effect Transistors, Cheuk Chi Lo, Jeffrey Bokor, Thomas Schenkel*, Jianhua He**, Alexei Tyryshkin* and Steve Lyon*, University of California, Berkeley, *Lawrence Berkeley National Laboratory, **Princeton University

Silicon based donor qubits require novel nanoelectronic devices for single donor spin-state readout. One promising approach is to exploit spin-dependent scattering of conduction electrons by donors to convert the spin degree of freedom to an electrical signal. We report our recent measurements of this spin-dependent scattering mechanism in accumulation-mode FETs.

P-9A-02
Nanoelectronics Session Posters

Controlled Chemical Mechanical Polishing Of Polysilicon And Silicon-Dioxide For Si Based Single-Electron Device With Oxide Tunnel Barriers, Vishwanath Joshi, Alexei Orlov and Gregory Snider, University of Notre Dame

We present a method to fabricate a Si based single-electron transistor using lithography, dry-etching and CMP. The device consists of a Si rib defined in SOI layer, which forms the source drain leads, and a well defined polysilicon island isolated from source drain leads by high quality ultrathin thermal silicon-dioxide.

P-9A-03
Nanoelectronics Session Posters

Hybrid High Resolution Lithography (E-Beam/DUV) And Etch Process For Achieving Stacked Nanowire MOSFETs, Sebastien Pauliac-Vaujour, Corinne Comboroure, Christian Vizioz, Pierre Brianceau, Virginie Maffini Alvaro, Cecilia Dupre and Thomas Ernst, CEA/LETI-Minatec

For this study, two ways to achieve 25nm Stacked Nanowire MOSFETs were studied. First, resist patterns with large critical dimensions (45nm) were patterned followed by a resist trimming. Secondly, amorphous carbon hard masks with a thin oxide capping layer were added on our structure to suppress resist thickness limitations

P-9A-04
Nanoelectronics Session Posters

Self-Aligned Asymmetric Recess Technique With E-Beam Lithography, Yumin Koh, Sungwon Kim and Kwangseok Seo, Seoul National University

We have developed an asymmetric recess self-aligned with an electron beam (EB) exposed area on the top resist layer. This process is simpler than a conventional asymmetric recess because it utilizes only a bilayer resist without additional steps.

P-ES-01
eBeam Source Posters

Transformation Of Polycrystalline Tungsten To Monocrystalline Tungsten W(100) And Its Potential Application In Schottky Emitters, Anand Dokania and Pieter Kruit, Delft University of Technology

An array of Schottky emitters necessitate W(100) in various dimensions which are not easily available. The concept of DC Joule heating for the crystallographic transformation is utilized to convert polycrystalline tungsten to W(100) for the fabrication of Schottky emitters. We will extend the concept for the fabrication of multi-beam source.

P-ES-02
eBeam Source Posters

Multilevel Visualization Of The Local Electric Field At A Sharp Probe Apex By Scanning Electron Microscopy, Jun-ichi Fujita, Yuta Ikeda* and Ikumi Suzuki*, Japan Science and Technology Co., *University of Tsukuba

We report a breakthrough technique to realize multilevel visualization of the local electric field distribution at a sharp probe apex using an SEM with a detection grid. When the primary electron almost grazes the probe apex, the deflection (Rutherford scattering) should be the maximum and the grid is projected as ring contours coontours at the probe apex.

P-ES-03
eBeam Source Posters

Experiments Towards A High Brightness 100-Electron-Beam Source, Yanxia Zhang, Vladimir Kutchoukov and Pieter Kruit, Delft University of Technology

A high brightness 100-electron-beam source, comprising of a Schottky emitter, an aperture lens array, an accelerator lens and a blanker array, has been designed to improve the throughput of SEM-type systems. We expect to present experimental results on spot sizes and beam current of the 100-beam source.

P-ES-04
eBeam Source Posters

Evaluation Of Electron Energy Spread In Csbr Based Photocathodes, Juan R. Maldonado, Steven Sun*, Zhi Liu*, Xuefeng Liu**, Sayaka Tanimoto***, Piero Pianetta* and Fabian Pease, Stanford University, *Stanford Synchrotorn Radiation Laboratory, **KLA/Tencor, ***Hitachi Central Research Laboratory

The electron energy spread of CsBr based photocathodes, which are very robust and capable of high current density operation with long lifetime, is evaluated from the point of view of electron beam lithography and inspection tools requiring an energy spread of <0.5 eV to satisfy future device generations.

P-ES-05
eBeam Source Posters

Effect Of The Electric Field On The Decay Of A Schottky Electron Emitter Tip End: A Step Flow Model, Merijn Bronsgeest and Pieter Kruit, Delft University of Technology

A key factor for a stable performance of this source is the stability of the physical emitter shape. A known instability is the so-called “collapsing ring” at the tip end. We describe this phenomenon with a step flow model that includes the effect of the field gradient at the surface.

P-ES-06
eBeam Source Posters

Range Of Validity Of Field Emission Equations, A. S. Bahm, G. A. Schwind and L. W. Swanson, FEI Company

The analytical expressions for the field electron emission equations for current density and total energy distribution are compared and contrasted with results from a rigorous numerical calculation based on fundamental principles.  Deviations are found in current density under certain conditions.

P-ES-07
eBeam Source Posters

Sub-50nm Resolution Surface Electron Emission Lithography Using Nano-Si Ballistic Electron Emitter, Akira Kojima, Hideyuki Ohyi and Nobuyoshi Koshida*, CRESTEC Corporation, *Tokyo University of Agriculture & Technology

In order to create deep sub-50nm patterns, we employed a nano-Si ballistic electron surface emitting device as an electron emission source in a 1:1 electron stepper. The resulting resolution of exposure was approximately 40nm. We estimate the resolution will be improved to better than 20nm.

P-ES-08
eBeam Source Posters

Fabrication Of Carbon Nanotube Field Emission Guns For Potential Maskless Lithography, Bryan Ribaya, Darrell Niemann, Joseph Makarewicz*, Norman Gunther, Mahmud Rahman and Cattien Nguyen**, Santa Clara University, *NASA Ames Research Center, **ELORET Corporation

We present field emission data for carbon nanotube cathodes and a novel MEMS fabrication method for CNT electron guns. We also propose a simple nanotube circuit model which, in conjunction with our MEMS fabrication method, has the potential for developing high-throughput maskless nanolithography with precise dose control.

P-ES-09
eBeam Source Posters

Investigation of Single-Walled Carbon Nanotubes With LEEPS Microscope, Chang Che-Cheng, Hwang Ing-Shouh, Kuo Hong-Shi and Tsong Tien Tzou, Academic Sinica

We image SWCNTs using a home-made LEEPS at energies from 500 eV to 50 eV.  The electron beams emitted from noble metal covered W(111) SAT are highly coherent and exhibit a small opening angle.  The samples after imaged with LEEPS microscope are also checked with a TEM.

P-NNN-01
Nanomachine, Nanomanipulation, and NEMS Posters

Optical Excitation Of In-Plane Modes Of Nanoelectromechanical Oscillators For Selective Detachment Of Microspheres, Rob Ilic, Slava Krylov*, Marianna Kondratovich and Harold Craighead, Cornell University, *Tel Aviv University

We show that optical fields are efficient for excitation, direct control and measurement of in-plane motion of cantilever-type nanomechanical oscillators. We have demonstrated the controlled capture, detection and release of submicrometer particles by the application of forces imparted by the in-plane motion of the resonators.

P-NNN-02
Nanomachine, Nanomanipulation, and NEMS Posters

Fabrication Of Anti-Reflection Structures And Carbon Nanofibers Using Only Ion Beam Irradiation To Glassy Carbon, Jun Taniguchi and Yoshimi Nemoto, Tokyo University

During our experiments with oxygen ion beam irradiation to glassy carbon (GC) surface we learned that the irradiated surface possessed anti-reflection (AR) property. Therefore, we optimize oxygen gas flow rate. In addition, carbon nanofibers have been formed by argon ion beam irradiation of AR structures.

P-NNN-03
Nanomachine, Nanomanipulation, and NEMS Posters

E-Beam Nano-Machining For Circuit Edit: An Invasiveness Study, Yuval Greenzweig, Yariv Drezner, Amir Raveh, Oleg Sidorov and Richard H. Livengood*, Intel Israel, *Intel Corporation

We demonstrate a workable range of acceleration energies, doses, Si thicknesses and device keep-away distances for e-beam based backside Circuit Edit, where the e-beam irradiation is non-invasive. We use ring oscillators in 65nm integrated circuits, tracking invasiveness by percent change in oscillator frequencies resulting from the irradiation.

P-NNN-04
Nanomachine, Nanomanipulation, and NEMS Posters

Resisitivity Change Of The Diamond-Like Carbon, Deposited By Focused-Ion-Beam Chemical-Vapor-Deposition, Induced By The Annealing Treatment, Reo Kometani, Toshinari Ichihashi*, Kazuhiro Kanda**, Tsuneo Suzuki***, Koichi Niihara***, Sunao Ishihara, Takashi Kaito# and Shinji Matsui**, University of Tokyo, *NEC Corporation, **University of Hyogo, ***Nagaoka University of Technology, #SII NanoTechnology Inc.

DLC deposited by FIB-CVD has the specific material characteristics compared with the typical DLC because DLC deposited using the gallium (Ga) FIB are contained Ga. Therefore, we examined the relation between the electrical property and other material characteristics by using annealing treatment method.

P-NNN-05
Nanomachine, Nanomanipulation, and NEMS Posters

Electrothermal Actuation Studies On Silicon Carbide Resonators, Enrico Mastropaolo and Rebecca Cheung, Unversity of Edinburgh

Electrothermally actuated Silicon Carbide beam resonators have been simulated, fabricated and tested. Moreover the influence of the beam and actuation electrodes dimensions on the resonant frequency and on the electrothermal actuation efficiency, together with the simulated temperature gradient will be presented.

P-NNN-06
Nanomachine, Nanomanipulation, and NEMS Posters

Nanofabrication Of Super High-Aspect Ratio Structures In HSQ From Direct-Write E-Beam Lithography And Hot Development, Leonidas Ocola and Vijay Tirumala*, Argonne National Laboratory, *National Institute of Standards and Technology

HSQ nanopatterned into high aspect ratio structures (>10) using 100 KV ebeam lithography, with development in aqueous TMAH solution and water rinse, both at 60°C. Results: 20 nm and 90 nm structures using 160 nm and 1.2 um thick HSQ, i.e. aspect ratios of 8 and 12.

P-NNN-07
Nanomachine, Nanomanipulation, and NEMS Posters

Novel SU8 Optical Waveguide Microgripper For Simultaneous Micromanipulation And Optical Detection, Roberto Panepucci and Jose Martinez, Florida International University

We report on the design and fabrication of a novel waveguide MEMS microgripper device which is capable of manipulating microstructures while simultaneously exciting and detecting the optically fluorescence of a micro-scale object of interest. Operation of the device to detect and grip barcoded sample with quantum-dots (QDs) is demonstrated.

P-NNN-08
Nanomachine, Nanomanipulation, and NEMS Posters

Plastic Deformation Magnetic Assembly Of Out Of Plane Structures Using Hydrofluoric Acid Vapour Release, Petros Argyrakis and Rebecca Cheung, University of Edinburgh

A high yield plastic deformation magnetic assembly (PDMA) technique is demonstrated. In this process nickel-iron permalloy film is electrodeposited on nickel seed layer. Silicon dioxide sacrificial layer located beneath the nickel seed layer is etched in low pressure, vapor phase hydrofluoric acid to release the structures, followed by magnetic assembly.

P-NNN-09
Nanomachine, Nanomanipulation, and NEMS Posters

Fabrication Of 3-D Micro- And Nano- Structures By Focused-Ion-Beam (FIB) Machining Systems, S. -J. Kim, Cheju National University

Here, we devised a 3-D FIB etching system and the method for machining a 3-D sensor structures. The micro- and nano- tunneling stacks were patterned on layered single crystals by the FIB. Continuing advances in nano fabrication technology have enabled the construction of sufficiently small SET junctions.

P-NNN-10
Nanomachine, Nanomanipulation, and NEMS Posters

Fabrication Of High Aspect Si Pillers By Deep Reactive Ion Etching Using Nanoimprinted HSQ Masks, Ken-ichiro Nakamatsu and Shinji Matsui, University of Hyogo

We introduce a fabrication method to produce high-aspect Si pillers by deep reactive-ion etching using HSQ masks replicated by RT nanoimprinting. High aspect Si pillers with aspect ratio of 13.2 were successfully fabricated.

P-PS-01
Process Simulation Posters

Pattern Specific Optical Models, Edita Tejnil, Konstantinos Adam and Michael Lam, Mentor Graphics

An approach is presented to identify imaging equation terms that can be neglected in the computation of an image in computational lithography applications. The computational simplification is derived from and applied to specific layouts used in photolithography.

P-PS-02
Process Simulation Posters

FDTD Study Of Near Field Phase-Shifting Lithography For High-Precision Fabrication Of Nano-Image Profiles, Fu-Der Lai and Jui- Ming Hua, National Kaohsiung First University of Science and Technology

The nano-image profiles transferred through near field phase-shifting mask at the various exposure-energy-intensities or the various distances are simulated by FDTD method and precisely fabricated. A nano-pattern of less than 100nm had been developed.

P-PS-03
Process Simulation Posters

An Exploration Of Etch Step Interactions In The Dual Patterning Process For Process Modeling, Lawrence Melvin, Synopsys, Inc.

This paper presents a discussion of the interactions between the first and second patterning processes in a dual patterning process.  In addition, it offers solutions to modeling these process differences for use in OPC sets.

EIPBN Abstracts