EIPBN

EIPBN 2009 Authors Index


A | B | C | D | E | F | G | H | I | J | K | L | M | N | O | P | Q | R | S | T | U | V | W | X | Y | Z
Name Abstract

A

Abelson, John Direct Writing Of Nanoscale Hafnium Diboride Metallic Nanostructures On Hydrogen Passivated Silicon (100) Surfaces Using A UHV-STM
Adesida, Ilesanmi Ultra-Dense Gold Nanostructures Fabricated Using Hydrogen Silsesquioxane (HSQ) Resist And Applications For Surface-Enhanced Raman Spectroscopy (SERS)
Investigation Of Surface Roughness Of Poly(Methylmethacrylate) At Reduced Temperatures
Afra, Bamdad Gas Assisted Focused Electron Beam Induced Etching of Alumina
Ahn, Jinho The Improvement Of Imaging Properties By Optimizing The Capping Structure In Extreme Ultraviolet Lithography
Effect Of Electrical Characteristics By Surface Modification In Pentacene Field Effect Transistor With Thin Al2O3 Gate Oxide Layer
Ahn, S. Inspection Method For Contact/Via-Holes Using A Low-Energy Electron Microcolumn
Ahn, Se Hyun A Novel Dynamic Nano Inscribing Technique For Creating Continuous And Seamless Metal And Polymer Nano Gratings
Large-area Roll-to-Roll and Roll-to-Plate Nanoimprint Lithography and Analytical Models for Predicting Residual Layer Thickness
Akasaka, Satoshi 9x Density Multiplication Of HCP Lattice Pattern By Chemically Directed Block Copolymer Self-Assembly
Albrecht, Thomas R. Directing Block Copolymers Assembly within Patterned Media Specifications
Alducin, J. A. Surface Plasmons Coupled To Excitons For Photoluminescence Enhancement In Printed 2D Polymer Photonic Structures
Alkemade, Paul Pillar Growth Rate Dependences in Ion-Beam-Induced Deposition
The Roles of Secondary Electrons and Sputtered Atoms in Ion-Beam-Induced Deposition
Allen, Robert (Invited ) Directed Polymer Self-assembly for Lithography Application
Comparing The RLS Tradeoffs At EUV, E-Beam And 193 Nm For Common Resist Platforms
Altig, Ronald Reverse Bio-Engineering: Structural and Mechanical Modeling of Tadpole Teeth through FIB NanoTomography and Finite Element Analysis
Altun, Ali Ozhan Enhancement of Power Efficiency in Photonic Crystal Structured OLED
Alvaro, Virginie Maffini High Aspect Ratio Fabrication Process For 3D Nanowire Gate-All-Around (GAA) And Double-Gate Transistors
Alvine, Kyle A Competition Between Vertical and Lateral Instabilities in Parallel Line-Space Gratings Fabricated by Nanoimprint Lithography
High Quality Secondary Templates for Nanoimprint Lithography from Cubic Silsesquioxanes (SSQs)
Amstutz, Platte Fabrication Of High Density, High-Aspect-Ratio Polyimide Nanofilters
Anazawa, Toshihisa Characterization of EUV-Deposited Carboneous Contamination
Anderson, Christopher Pushing EUV Lithography Development Beyond 22-Nm Half Pitch
Anderson, David Fabrication of Nanoscale ZnO Fets Using The Functional Material Zinc Neodecanoate Directly As A Negative E-Beam Lithography Resist
Anderson, Eric Automatic Measurement Of Electron Beam Size By BEAMETR Technique Using 20nm Test Patterns
Anderson, Erik H. Magnetic Soft X-ray Imaging of Non-linear Vortex Core Dynamics
Anderson, Erik Development of Pseudo-random Binary Gratings and Arrays for Calibration of Surface Profile Metrology Tools
Double Patterning HSQ Processes Of Zone Plates For 10nm Diffraction Limited Performance
Ando, M. Two Stage Ion Beam Figuring And Smoothing Method For Shape Error Correction Of ULE®Substrates Of EUVL Multilayer Mirrors - Evaluation Of Surface Roughness
Ando, Manabu Low Energy Ar+ Ion Beam Machining Of Si Thin Layer Deposited On A Zerodur® Substrate For EUVL Optics
Andre, L. Substrate Patterning By Nanoimprint For Efficiency Enhancement Of Organic Light-Emitting Devices
Aono , Masakazu (MNC 2008 Best Paper) Wiring Single Metal-Phthalocyanine Molecules With Conjugeted Polymers
Aratani, Masao Fabrication Of Seamless Roll Mold Using Electron Beam Direct Writing To Rotating Cylindrical Substrate
Arregui, Francisco J. Application of C60 to Improve the SPLEBL Reference Signal
Aryal, Mukti Fabrication Of High Aspect Ratio Polymer Nanopillars By Nanoimprint Induced Elongation For Guided Cell Growth
Organic Solar Cells Using Imprinted P3HT Nanostructures: The Effects Of Geometry, Crystallization And Chain Ordering
Attwood, David Double Patterning HSQ Processes Of Zone Plates For 10nm Diffraction Limited Performance
Auciello, Orlando Electrodeposition of Patterned Metal and Semiconductor Microwires on Ultrananocrystaline Diamond Electrodes
Aumayr, Friedrich Slow Highly Charged Ions As A New Tool For Surface Nanostructuring
Auvray, Loic The Promises Of Graphene Structures Nano-Patterned Using High Resolution Focused Ion Beams
Auzelyte, Vaida Thermal Development Of Calixerene Resist
Ayachitula, Rajani Robust, Efficient Grating Couplers for Planar Optical Waveguides Using No-PAG SU-8 EBL

B

Babin, Sergey Fabrication of Novel Digital Optical Spectrometer-on-chip
Automatic Measurement Of Electron Beam Size By BEAMETR Technique Using 20nm Test Patterns
Baehr-Jones, Tom Lithographic Scaling In Silicon Photonics: Is Smaller Better?
Ballard, Joshua Atomic Precision Lithography on Si
Barbastathis, George Ferrofluid Lithography
Stretching And Alignment Of Compliant Nanomembranes By Embedded Nanomagnets
Barber, Samuel Development of Pseudo-random Binary Gratings and Arrays for Calibration of Surface Profile Metrology Tools
Barea, Luis Low Roughness Microdisk Resonators Fabricated By Focused Ion Beam (FIB)
Bari, M. R. Conductive Atomic Force Microscopy Study Of Self-Assembled Silicon Nanostructures
Barlow, Phil Operation And Performance The CNSE Vistec VB300 Electron Beam Lithography System
Barnola, Sébastien High Aspect Ratio Fabrication Process For 3D Nanowire Gate-All-Around (GAA) And Double-Gate Transistors
Barriss, Louise Beam Induced Deposition Of Metal Using A Helium Ion Microscope
Bartha, Johann W. Alpha Parameter, Resolution, Line Width Roughness and its Focus Dependencies in E-Beam Lithography
Bates, Jeffrey Networks of Nanomagnets Fabricated via Stenciling and Magnetron Sputtering to Investigate Nanoscale Magnetic Switching
Bava, Luisa Silver-Based SERS Substrate Fabrication Using Nanolithography And Site Selective Electroless Deposition
Baylav, Burak 193nm Photoresist Hybrids for Sub-32nm Resolution, LER, and Sensitivity Requirements
Bean, Jeffrey Fabrication of Antenna-Coupled Metal-Oxide-Metal Diode Thermal Infrared Detectors Using In-Situ Oxidation
Bean, John Ultra-Low Dose Exposure of HSQ using Electron Beam Lithography
Low Dose Patterning of HSQ For Use As A Silicon Etch Mask
Beaurain, Arnaud Double-Anchoring Fluorinated Molecules For Anti-Adhesion Mold Treatment in UV Nanoimprint Lithography
Beck, Marc High Volume Manufacturing Based on NanoImprint Lithography on Rough and Non-Planar Substrates
Bednarzik, Martin Easy Mask-Mold Fabrication For Combined Nanoimprint And Photolithography
Beidaghi, Majid Carbon Nanotube-confined MnO2/C-MEMS Nanostructures for On-Chip Electrochemical Capacitors
Bell, David Helium-ion Lithography with Hydrogen Silsesquioxane Resist
Precision Material Modification and Patterning with Helium Ions
Benisty, Henry Versatile Applications Of 80 Kv Electron Beam Lithography : From Nanotubes To Biochips
Berge, G.F. Ten Mapper: High Throughput Maskless Lithography
Berggren, K. K. Sub-10-nm Pattern Transfer and Nanoimprint Molds.
Berggren, Karl K. Contrast Enhancement Behavior of Hydrogen Silsesquioxane (HSQ) in a Salty Developer
Nanoimprint Lithography for Sub-10 nm Complex Patterns
Berggren, Karl Controlled Self-Assembly Of Linear Structures For Nanoscale Device Fabrication
Helium-ion Lithography with Hydrogen Silsesquioxane Resist
Understanding Of Hydrogen Silsesquioxane Resist For Sub-5-Nm Half-Pitch Electron-Beam Lithography
Low-Cost Interference Lithography
Limiting Factors in Sub-10-nm Scanning Electron Beam Lithography
Lift-off of Sub-15-nm Hydrogen Silsesquioxane (HSQ) Structures
Sub-40-nm patterning of Au on GaAs for Nanowire Catalysis
Berglund, Andrew 3D Nanoparticle Trajectories by Orthogonal Tracking Microscopy
Bergmair, Iris Fabrication Of Large Area Negative Index Structures By Nanoimprint Lithography
Bergmair, Michael Fabrication Of Large Area Negative Index Structures By Nanoimprint Lithography
Bernstein, Gary Fabrication of Antenna-Coupled Metal-Oxide-Metal Diode Thermal Infrared Detectors Using In-Situ Oxidation
Bertagnolli, E. Analysis And Evaluation Process For Quantification Of Residual Gas Deposition By A Focused Electron Beam
Bertagnolli, Emmerich NIL Stamp Modification Utilizing Focused Ion Beams
Berton, Kevin A Transparent Multilevel-Electrodes Microfluidic Chip For Dielectrophoretic Colloidal Handling
Berwald, F. Growing Carbon Nano Tubes With A Simple CVD Process On Predefined Patterns Of Pd Nano Particles That Where Positioned To Charge Patterns Created With A Scanning Electron Microscope
Besacier, Maxime Dynamic Scatterometry For Profile Control During Resist Trimming Process
Beyer, André 1nm Thin Carbon Nanosheets With Tunable Conductivity And Stiffness
Blaikie, R. J. Conductive Atomic Force Microscopy Study Of Self-Assembled Silicon Nanostructures
Blaikie, Richard Influence Of Polarization On Absorbance Modulated Sub-Wavelength Grating Structures
Blake, David Carbon Nanotube Field Emitters for Micro-Column Scanning Electron Microscopy and Nanolithography
Bliznetsov, Vladimir Design Specific Variation In Via/Contact Pattern Transfer - Full Chip Analysis.
Boerger, Brent Fabrication of Flexible Ultracapacitor/Galvanic Cell Hybrids Using Advanced Nanoparticle Coating Technology
Bogdanov, Alexei L. Fabrication Of Metal Nanowires By Ion Beam Irradiation Of Metal Oxide Through High Aspect Ratio Resist Mask
Bogdanski, Nicolas Preparation Of Diamond-Like Channels In SU-8 For Optical Control Of The Filling State
Recovery Prevention Via Pressure Control in T-NIL
Self-Assembly For The Definition Of Hierarchical Patterns In Thermal Imprint
Bogdeanski, N. Recovery Prevention Via Pressure Control in T-NIL
Bokor, Jeffrey Nanofabrication and Optical Characterization of Optical Transformer with 2-D Tapered Tip
Precise Placement of a Single Quantum Dot in a Bowtie Nanoantenna Gap
Bonam, Ravi Comparing The RLS Tradeoffs At EUV, E-Beam And 193 Nm For Common Resist Platforms
Bondavalli, Paolo Versatile Applications Of 80 Kv Electron Beam Lithography : From Nanotubes To Biochips
Boolchand, Punit Direct Write Reliefs in Excess of One Micrometer on Chalcogenide Thin-Films Using Electron Beams
Boriskina, Svetlana Nanofabrication Of Deterministic Aperiodic Structures For Radiative Engineering In Nanoplasmonics
Borisov, S. Sub-10nm Test-Sample For Characterization And Tuning Of Focused Electron Beam Used In Technology, Inspection And Diagnostics
Borrise, Xavier Fabrication of CMOS Integrated Nanomechanical Devices By Ion Beam Patterning
Botman, Aurelien Focused Electron-Beam-Induced Deposition Of 3 Nm Dots In A Scanning Electron Microscope
Electron Post-Irradiation Of Platinum Nanostructures Created By Electron-Beam-Induced Deposition From Pt(PF3)4
Bottier, Celine Embedded SiO2 nanosheets in PDMS using an alternative nanopatterning process.
Bourhis, Eric The Promises Of Graphene Structures Nano-Patterned Using High Resolution Focused Ion Beams
Boussey, Jumana Double-Anchoring Fluorinated Molecules For Anti-Adhesion Mold Treatment in UV Nanoimprint Lithography
Boutami, S. Substrate Patterning By Nanoimprint For Efficiency Enhancement Of Organic Light-Emitting Devices
Bozano, Luisa Comparing The RLS Tradeoffs At EUV, E-Beam And 193 Nm For Common Resist Platforms
Bøggild, Peter Conducting FIB Milled Nanowires
Brainard, Robert Can Acid Amplifiers Help Beat the RLS Trade-Off?
Braunschweig, Adam Frontiers of Tip-Based Nanofabrication: From DPN and Beyond
Bret, Tristen Gas Assisted Focused Electron Beam Induced Etching of Alumina
Brewster, Megan Sub-40-nm patterning of Au on GaAs for Nanowire Catalysis
Bristol, Robert Line Edge Roughness Reduction Studies Employing Grazing Incidence Ion Beam
Brogan, A. Parallel Proximal Probe Arrays With Vertical Interconnections
Bronsgeest, M.S. Reversible Shape Changes Of The End Facet On Schottky Electron Emitters
Bross, Aimee Robust, Efficient Grating Couplers for Planar Optical Waveguides Using No-PAG SU-8 EBL
Brown, Devin Patterning Unity 4698P with Electron Beam Lithography to Create Submicron Air Cavities
Brown, Evan Advanced Nanoscale Anode Fabrication for High-Performance Solid Oxide Fuel Cells
Bruchhaus, Lars The Promises Of Graphene Structures Nano-Patterned Using High Resolution Focused Ion Beams
Brueck, Steven Tailoring Anisotropic Wetting Properties on One-Dimensional Nanopatterned Surfaces
Imaging Interferometric Nanoscopy to the Limits of Available Frequency Space
Brugger, Juergen Stencilled Conducting Bismuth Nanowires
Bucchignano, JU. Hydrogen Silsesquioxane-Based Hybrid Electron Beam And Optical Lithography For High Density CMOS Prototyping
Buchanan, Kristen Magnetic Soft X-ray Imaging of Non-linear Vortex Core Dynamics
Buehler, W. Analysis And Evaluation Process For Quantification Of Residual Gas Deposition By A Focused Electron Beam
Bugrov, Alexey Fabrication of Novel Digital Optical Spectrometer-on-chip
Bundesanstalt, Physikalisch-Technische 1nm Thin Carbon Nanosheets With Tunable Conductivity And Stiffness
Burek, Michael (Invited) Effective Use of Focused Ion Beam (FIB) and E-beam lithography in Investigating Fundamental Mechanical Properties of Materials at the Nano-Scale. (Invited)
Burkhardt, Martin (Invited) Overcoming the Patterning Challenges of Extreme K1 Imaging
Buron, Jonas Christian Due Conducting FIB Milled Nanowires
Bustos, Jessi Study On Writing Strategy For Multiple Electron-Beam Lithography At 5keV
Byeon, Kyeong-Jae Direct Indium-Tin-Oxide Patterning Using Thermal Nanoimprint Lithography And ITO Nano-Particle Solution

C

Cabral, Michael Ultra-Low Dose Exposure of HSQ using Electron Beam Lithography
Low Dose Patterning of HSQ For Use As A Silicon Etch Mask
Cabrini, Stefano Imprint And Pattern Transfer Of Silica Sol-Gel Resist: A Powerful Nanofabrication Approach
Electrostatic Exfoliation of Pre-Patterned Graphene Micro- and Nanostructures
Fabrication of Novel Digital Optical Spectrometer-on-chip
Manipulating Nano-scale Light Fields With The Plasmonic Color Nanosorter
Nanofabrication and Optical Characterization of Optical Transformer with 2-D Tapered Tip
Planarization of High-Aspect Ratio Nanostructures by Infiltration and Pressing of Organic and Inorganic Curable Materials
The Interplay Between Kinetics And Thermodynamics During Development Of Calixarene, A Negative Resist
Precise Placement of a Single Quantum Dot in a Bowtie Nanoantenna Gap
Automatic Measurement Of Electron Beam Size By BEAMETR Technique Using 20nm Test Patterns
Cacao, E. A Microretroreflector-Based Diagnostic Platform
Caillard, Amael Versatile Applications Of 80 Kv Electron Beam Lithography : From Nanotubes To Biochips
Callaghan, Ryan Defectivity Solutions for Topcoat-Free Photoresists at the 22nm Node
Camara, Nicolas Nanostructuring Of Graphene Layers By AFM Local Anodic Oxidation
Cambie, Rossana Development of Pseudo-random Binary Gratings and Arrays for Calibration of Surface Profile Metrology Tools
Candeloro, Patrizio 3D Self-Similar Chain Nanolens Fabrication And Their Use In Single Molecule Detection
Silver-Based SERS Substrate Fabrication Using Nanolithography And Site Selective Electroless Deposition
Cantone, Jason Defectivity Solutions for Topcoat-Free Photoresists at the 22nm Node
Carlisle, John Nanofabrication of Sharp Diamond Tips by E-beam Lithography and ICP-RIE
Carmichael, P.T. A Dry-on, Dry-off, Long Wavelength Photoresist for NanoPlasmonic Field Metrology and Lithography
Carmichael, Peter 3D Nanoparticle Trajectories by Orthogonal Tracking Microscopy
Caroff, Philippe Brain Tissue Response To Nanowires Implanted Into The Rat Striatum.
Carraro, Carlo Epitaxial Growth of Graphene on High Topology SiC Structures Patterned by Focused Ion Beam
Carroll, Allen Electron Reflection from Metal Targets
Cassette, Simone Versatile Applications Of 80 Kv Electron Beam Lithography : From Nanotubes To Biochips
Castaldo, Vincenzo On The Influence Of Sputtering In Determining The Resolution Of A Scanning Ion Microscope
Cerrina, Franco Self-Imaging Of Complex Structures With A Table-Top EUV Laser
In-Situ Synthesis And Direct Immobilization Of DNA Oligonucleotides On Pre-Patterned HSQ Nanostructures
Cha, Dongho Characterization Of Pattern Placement Error For Sub-40-Nm Memory Devices
Chae, Kisung Effects Of The Direction Of Magnetic Moment On Magnetic And Electronic Properties Of Co/MgO/Co Magnetic Tunnel Junction System: First-Principles Calculations
Chaix, N. Substrate Patterning By Nanoimprint For Efficiency Enhancement Of Organic Light-Emitting Devices
Chan, Bin-Da Imprinting with Revolving-belt for Effective and Efficient Replication of Microstructures
Chan, Lei High Quality Secondary Templates for Nanoimprint Lithography from Cubic Silsesquioxanes (SSQs)
Chan, Tina Comparison Of Fast 3D Simulation And Actinic Inspection For EUV Masks With Buried Defects And Absorber Features
Chandhok, Manish Sub-millisecond Post Exposure Bake of Chemically Amplified Resists by CO2 Laser Spike Annealing
Chang, Alan Electrostatic Exfoliation of Pre-Patterned Graphene Micro- and Nanostructures
Precise Placement of a Single Quantum Dot in a Bowtie Nanoantenna Gap
Chang, Allan S.P. Imprint And Pattern Transfer Of Silica Sol-Gel Resist: A Powerful Nanofabrication Approach
Chang, Allan Nanofabrication and Optical Characterization of Optical Transformer with 2-D Tapered Tip
Planarization of High-Aspect Ratio Nanostructures by Infiltration and Pressing of Organic and Inorganic Curable Materials
Chang, Chih-Hao Ferrofluid Lithography
(Invited) Lithography With Nanometer Precision On Monster Substrates
Chang, J. Hydrogen Silsesquioxane-Based Hybrid Electron Beam And Optical Lithography For High Density CMOS Prototyping
Chang, Jae-Byum Controlled Self-Assembly Of Linear Structures For Nanoscale Device Fabrication
Chang, Jer-Haur Stitching Periodic Submicron Fringes By Utilizing Step-And-Align Interference Lithography (SAIL)
Chang, S.M. Mapper: High Throughput Maskless Lithography
Chang, Shih-Ming A New Parametric Proximity Effect Model Calibration Method for Improving Accuracy of Post-lithography Patterning Prediction in Sub-32-nm Half-Pitch Low-Voltage Electron Beam Direct-Write Lithography
Chao, Weilun Double Patterning HSQ Processes Of Zone Plates For 10nm Diffraction Limited Performance
Understanding The Mechanism Of Base Development Of Hydrogen Silsesquioxane
The Interplay Between Kinetics And Thermodynamics During Development Of Calixarene, A Negative Resist
Chau, Larry Design for EB(DFEB), a Novel Approach to EBDW Throughput Enhancement For Volume Production
Chekurov, Nikolai Novel Nanostructure Fabrication Method Combining Silicon Doping with Focused Ion Beam and Cryogenic Deep Reactive Ion Etching
Chen, Baoqin Fabrication Of Hard X-Ray Zone Plates With Very High Aspect-Ratio By X-Ray Lithography
X-Ray Transmission Gratings With Enhanced Second Orders And Deflected Odd Orders
Chen, Chen Selective Fabrication of Pure Titanium Oxide Nanocrystals via Electron-Beam Induced Deposition in Ultra-High Vacuum
Chen, Cheng-Hung Stitching Periodic Submicron Fringes By Utilizing Step-And-Align Interference Lithography (SAIL)
Chen, Gang Positioning of Nanocrystals on Prestructured Substrates
Chen, Guan-Yu Stitching Periodic Submicron Fringes By Utilizing Step-And-Align Interference Lithography (SAIL)
Chen, H. L. Extraordinary Transmittance In Three-Dimensional Metal Structure Prepared Through Reversal Imprinting Of Metal Films
Chen, Huabin Top-down Fabrication of Monodisperse Non-Spherical Polymer Composite Particles for Nanomedicine Applications
Chen, Jeng-Homg A New Parametric Proximity Effect Model Calibration Method for Improving Accuracy of Post-lithography Patterning Prediction in Sub-32-nm Half-Pitch Low-Voltage Electron Beam Direct-Write Lithography
Chen, Jian-Wei Complete Reversal Imprinting For Fabricating Microlens Array With High Height Transcription
Chen, Lien-Sheng Stitching Periodic Submicron Fringes By Utilizing Step-And-Align Interference Lithography (SAIL)
Chen, Ping Pillar Growth Rate Dependences in Ion-Beam-Induced Deposition
The Roles of Secondary Electrons and Sputtered Atoms in Ion-Beam-Induced Deposition
Chen, Sung-Liang High Q-Factor Small Size Polymer Micro-Ring Resonators For High-Frequency Ultrasound Detection
Chen, Wei Carbon Nanotube-confined MnO2/C-MEMS Nanostructures for On-Chip Electrochemical Capacitors
Chen, Yanfeng Patterning Curved Surface Using Hybrid Nanoimprint-Soft Lithography Mold
Chen, Yifang Combined Near-Field Lithography And Reversal Imprint For High Resolution Patterning In Wafer Scale
Chen, Yung-Ping Stitching Periodic Submicron Fringes By Utilizing Step-And-Align Interference Lithography (SAIL)
Cheng, Joy (Invited ) Directed Polymer Self-assembly for Lithography Application
Cheng, Li-Jing Ionic Current Rectification and Switching in Heterogeneous Oxide Nanofluidic Channels
Cheng, Sulin Step & Stamp Imprinting Microlithography Studies of Chemical and Topographical Signaling on Osteoblast Cells.
Cheng, Xing Single-Walled Carbon Nanotube Alignment by Grating-Guided Electrostatic Self-assembly
Solid-State Dye-Sensitized Solar Cell Based on Semiconducting Nanomaterials
Infrared Dipole Antenna Enhanced by Surface Phonon Polaritons
Step-and-Repeat Thermal Nanoimprint for Functional Polymers
Lateral Flow Particle Filtration and Separation with Multilayer Microfluidic Channels
Cheng, Y.-C. Self-Imaging Of Complex Structures With A Table-Top EUV Laser
Cheong, Lin Lee Application of C60 to Improve the SPLEBL Reference Signal
Cheung, Rebecca Electrothermal Actuation Of Silicon Carbide Ring Resonators
Properties of Single-Walled Carbon Nanotubes Integrated into Polyimide (SWNTs-Pi) Nanocomposites
Perturbed Frequency-Selective Surfaces Fabricated on Large Thin Polymer Membranes for Multiband Infrared Applications
Chew, Li-Li Application of the Helium Ion Microscope to Biological Sciences
Chhim, Bophan Transmission Electron Microscopy of Fabricated Nanostructures
Chiang, Chieh-Hsiu Stitching Periodic Submicron Fringes By Utilizing Step-And-Align Interference Lithography (SAIL)
Chiang, Kunyeh Fabrication and Characterization of Electroporation Devices with Micropore Arrays for Drug/Gene Delivery
Chikashige, Yuki Process Optimization Of Electron Beam Lithography Using High Resolution Resist TEBN-1
Chikkamaranahalli, Summanth STM-induced Surface Modification with Reactive Ion Etch Pattern Transfer
Chiou, Dai-Wen Hierarchical Structure Formation Induced By Dewetting And Imprinting And Its Pattern Replication
Chiu, Hsin-Chieh Stitching Periodic Submicron Fringes By Utilizing Step-And-Align Interference Lithography (SAIL)
Cho, Han Ku Pattern Placement Error Due To Resist Charging Effect At 50kv E-Beam Writer
Cho, Han-Ku Estimation of Resist Profile Using Layer-Based Exposure Modeling
Application of Neural Network to E-beam Dose Control for 3-D Proximity Effect Correction
Cho, Younghak Lateral Flow Particle Filtration and Separation with Multilayer Microfluidic Channels
Choi, Dae-geun Resistive Switching Memory Fabricated by UV-NIL Process
Choi, Dae-Geun Enhancement of Power Efficiency in Photonic Crystal Structured OLED
Choi, Jin Pattern Placement Error Due To Resist Charging Effect At 50kv E-Beam Writer
Choi, Jun-hyuk Resistive Switching Memory Fabricated by UV-NIL Process
Choi, Jun-Hyuk Enhancement of Power Efficiency in Photonic Crystal Structured OLED
Choi, K.-H. (Invited) Design Verification for sub 70 nm DRAM nodes via Metal Fix using E-Beam Direct Write
Choi, Keebong Fabrication Of The Transparent Cylindrical Stamp With Sub 50nm Linewidth By Means Of The Step & Flash Nanoimprint Lithography
Choi, Kwangsik Thin Film Antenna Coupled Conductor-Barrier-Conductor (CBC) Diode Implementation Using E-Beam Proximity Correction for Light Detection
Choi, Seong-woon Characterization Of Pattern Placement Error For Sub-40-Nm Memory Devices
Choi, Sookyung Ultra-Dense Gold Nanostructures Fabricated Using Hydrogen Silsesquioxane (HSQ) Resist And Applications For Surface-Enhanced Raman Spectroscopy (SERS)
Investigation Of Surface Roughness Of Poly(Methylmethacrylate) At Reduced Temperatures
Choi, Yang-kyu Resistive Switching Memory Fabricated by UV-NIL Process
Choi, Youngjae Effect Of Electrical Characteristics By Surface Modification In Pentacene Field Effect Transistor With Thin Al2O3 Gate Oxide Layer
Choo, Hyuck Electrostatic Exfoliation of Pre-Patterned Graphene Micro- and Nanostructures
Nanofabrication and Optical Characterization of Optical Transformer with 2-D Tapered Tip
Chopdekar, Rajesh Nanoscale Laser Processing Using Near-Field Optics Combined With Electron Microscopy
Chou, Stephen Novel Fabrication of 3D Combined Nanoscale and Microscale Structures Using Functionalized SPEL
Self-Limited Self-Perfection by Liquefaction for Sub-20nm Trench/Line Fabrication
Fabrication of Large-Area 100nm Checker Board Mold Using 3D Patterning with Multiple Nanoimprint Lithography and Self-Aligned Selective Etching
Negative Tone Quantum Lithography at 200-nm Pitch Nanoimprinted Blanks
Nanotrench Filling Via Planarization by Laser Assisted Direct Imprint (PLADI) and Air Cushion Press (ACP)
Self-Aligned Fabrication of 10 nm-Wide Asymmetric Trenches in Si for Heterojunction Tunneling FETs Using Nanoimprint Lithography
Choy, Jun-Ho Design Specific Variation In Via/Contact Pattern Transfer - Full Chip Analysis.
Chuang, S. Y. Extraordinary Transmittance In Three-Dimensional Metal Structure Prepared Through Reversal Imprinting Of Metal Films
Chuang, Vivian Self-Assembled Nanostructures With Ring Arrays And Square Pattern From Organometallic-Containing Triblock Terpolymers
Chumakov, N.K. Fabrication Of Metal Nanowires By Ion Beam Irradiation Of Metal Oxide Through High Aspect Ratio Resist Mask
Chung, Yong-Chae Effects Of The Direction Of Magnetic Moment On Magnetic And Electronic Properties Of Co/MgO/Co Magnetic Tunnel Junction System: First-Principles Calculations
Clifford, Chris Comparison Of Fast 3D Simulation And Actinic Inspection For EUV Masks With Buried Defects And Absorber Features
EUV Pattern Defect Detection Sensitivity Based On Aerial Image Linewidth Measurements
Cohen, Bruce Precise Placement of a Single Quantum Dot in a Bowtie Nanoantenna Gap
Cojocaru, Costel-Sorin Versatile Applications Of 80 Kv Electron Beam Lithography : From Nanotubes To Biochips
Cojocaru, Cristian-Victor Networks of Nanomagnets Fabricated via Stenciling and Magnetron Sputtering to Investigate Nanoscale Magnetic Switching
Coll, Mariona Transfer Printing Approach for Fabricating Molecular Electronic Junctions
Coluccio, Maria Laura 3D Self-Similar Chain Nanolens Fabrication And Their Use In Single Molecule Detection
Silver-Based SERS Substrate Fabrication Using Nanolithography And Site Selective Electroless Deposition
Comboroure, Corinne High Aspect Ratio Fabrication Process For 3D Nanowire Gate-All-Around (GAA) And Double-Gate Transistors
Ebeam Lithography for Platform of Multiple SET Architectures
Combrié, Sylvain Versatile Applications Of 80 Kv Electron Beam Lithography : From Nanotubes To Biochips
Constancias, Christophe Alpha Parameter, Resolution, Line Width Roughness and its Focus Dependencies in E-Beam Lithography
Diffraction Grating Fabrication On 100nm Silicon Membrane For EUV Interferometry
Study On Writing Strategy For Multiple Electron-Beam Lithography At 5keV
Cord, Bryan Helium-ion Lithography with Hydrogen Silsesquioxane Resist
Understanding Of Hydrogen Silsesquioxane Resist For Sub-5-Nm Half-Pitch Electron-Beam Lithography
Limiting Factors in Sub-10-nm Scanning Electron Beam Lithography
Cornejo, Marina Self-Organized Pattern Formation By Ion-Beam Erosion For Antireflection Surfaces
Hierarchical Nano-Structuring By Combining Ion Induced Self-Organization And Lithographic Pre-Patterning
Costas, P Nanoscale Laser Processing Using Near-Field Optics Combined With Electron Microscopy
Craighead, Harold Nanostructure Incorporation in Analytical Systems
Crosland, Nigel Simulation and Experimental Studies of Blanking Speed Limitations on Exposure Speed of Electron Beam Lithography
Operation And Performance The CNSE Vistec VB300 Electron Beam Lithography System
Crouch, Adam Fabrication Of High Aspect Ratio Polymer Nanopillars By Nanoimprint Induced Elongation For Guided Cell Growth
Cui, Dehu Step-and-Repeat Thermal Nanoimprint for Functional Polymers
Cunanan, John Operation And Performance The CNSE Vistec VB300 Electron Beam Lithography System
Curri, M. L. Surface Plasmons Coupled To Excitons For Photoluminescence Enhancement In Printed 2D Polymer Photonic Structures
Curtis, Jennifer High-speed, Sub-15 nm Feature Size Thermochemical Nanolithography

D

Da Silva, M. M. Effects Of Ion Irradiation On Electrical Properties Of Carbon Nanotubes
Dai, Bing Non-iterative Reconstruction for Detecting Buried Deviant Structures in Integrated Circuits using Coherent Hard X-ray Diffraction
Dai, Qing Estimation of Resist Profile Using Layer-Based Exposure Modeling
Dai, Zhenting A General Strategy for Directly Writing Nanoscale Patterns of Nanoparticles and Polymer-Nanoparticle Composites.
Daj, Mohammad Reza Nanoscale Deposition and Etching of Silicon Quantum Dots Using Field-Assisted AFM-Based CVD
Dalzotto, Bernard Diffraction Grating Fabrication On 100nm Silicon Membrane For EUV Interferometry
Danielsen, Nils Brain Tissue Response To Nanowires Implanted Into The Rat Striatum.
Darnon, M. Hydrogen Silsesquioxane-Based Hybrid Electron Beam And Optical Lithography For High Density CMOS Prototyping
Das, Gobind 3D Self-Similar Chain Nanolens Fabrication And Their Use In Single Molecule Detection
Silver-Based SERS Substrate Fabrication Using Nanolithography And Site Selective Electroless Deposition
Dastmalchi, Babak Fabrication Of Large Area Negative Index Structures By Nanoimprint Lithography
David, Christian Ultrahigh Resolution X-Ray Fresnel Zone Plates Made By Thin Film Deposition
Davis, Ronald Detection of Biomarkers Using Bio-Functionalized Microfluidic Channels
Fabrication of Nanoneedle Array for High Throughput Biomarker Detection in a Lab-On-a-Chip Device
Real-Time DNA Sequencing via Detection of Polymerization with Silicon based Pico-calorimeter chips
Davydov, Albert Gallium Nitride Nanowire Devices-Assembly, Fabrication And Applications
De Angelis, Francesco 3D Self-Similar Chain Nanolens Fabrication And Their Use In Single Molecule Detection
Silver-Based SERS Substrate Fabrication Using Nanolithography And Site Selective Electroless Deposition
De Boer, G. Mapper: High Throughput Maskless Lithography
De Lamaestre, R. Espiau Substrate Patterning By Nanoimprint For Efficiency Enhancement Of Organic Light-Emitting Devices
De Pablo, Juan J. Directing Block Copolymers Assembly within Patterned Media Specifications
De Rooij, N.F. Microcolumn Design For A Large Scan Field And Pixel Number
Deeth, Steven Model Based OPC Runtime Saving With Multi-Segment Solver
DeFranco, John (Invited) Photolithographic Patterning for Organic Electronics
Denham, Paul Pushing EUV Lithography Development Beyond 22-Nm Half Pitch
Derose, guy Transmission Electron Microscopy of Fabricated Nanostructures
Desieres, Y. Substrate Patterning By Nanoimprint For Efficiency Enhancement Of Organic Light-Emitting Devices
Despont, Michel Nanometer-Scale Direct-Write 3D-Patterning using Probes
Detcheverry, Francois Directing Block Copolymers Assembly within Patterned Media Specifications
Deterre, Martin Stretching And Alignment Of Compliant Nanomembranes By Embedded Nanomagnets
Devin, Nicole Patterning Unity 4698P with Electron Beam Lithography to Create Submicron Air Cavities
Dhindsa, Manjeet The Fabrication And Characterization Of Electrically Addressable Microfluidic Electrowetting Channels
Dhuey, Scott Imprint And Pattern Transfer Of Silica Sol-Gel Resist: A Powerful Nanofabrication Approach
Fabrication of Novel Digital Optical Spectrometer-on-chip
Manipulating Nano-scale Light Fields With The Plasmonic Color Nanosorter
Planarization of High-Aspect Ratio Nanostructures by Infiltration and Pressing of Organic and Inorganic Curable Materials
Precise Placement of a Single Quantum Dot in a Bowtie Nanoantenna Gap
Automatic Measurement Of Electron Beam Size By BEAMETR Technique Using 20nm Test Patterns
Di Fabrizio, Enzo 3D Self-Similar Chain Nanolens Fabrication And Their Use In Single Molecule Detection
Silver-Based SERS Substrate Fabrication Using Nanolithography And Site Selective Electroless Deposition
Diaz, Javier Directed, Liquid Phase Assembly of Patterned and Thin Metallic Films by Pulsed Laser Dewetting
Dierolf, Martin Ultrahigh Resolution X-Ray Fresnel Zone Plates Made By Thin Film Deposition
Diest, Kenneth Advanced Silicon Processing for Active Integrated Photonic Devices
Dilli, Zeynep Fabrication of Flexible Ultracapacitor/Galvanic Cell Hybrids Using Advanced Nanoparticle Coating Technology
DiManna, Mark Beam Induced Deposition Of Metal Using A Helium Ion Microscope
Dimov, Stefan Fabrication Of Templates For Large Area Patterning And The Replication Of "Motheye Lenses" Using Step And Flash Imprint Lithography (S-FIL)
DiNatale, William Helium-ion Lithography with Hydrogen Silsesquioxane Resist
Ding, Baoquan Nanofabrication and Optical Characterization of Optical Transformer with 2-D Tapered Tip
Precise Placement of a Single Quantum Dot in a Bowtie Nanoantenna Gap
Ding, Yifu A Competition Between Vertical and Lateral Instabilities in Parallel Line-Space Gratings Fabricated by Nanoimprint Lithography
High Quality Secondary Templates for Nanoimprint Lithography from Cubic Silsesquioxanes (SSQs)
Dinu-Gurtler, L. Mapper: High Throughput Maskless Lithography
Dirani, Ali DUV-Induced Nanopatterning Of Polyanhydride Films Deposited By Pulsed Plasma Polymerization
Dirriwachter, Antonius A System For Massive, Rapid Material Removal For Device Analysis In Monolithic 3D Integrated Circuits
Dissing, Daniel Electrodeposition of Patterned Metal and Semiconductor Microwires on Ultrananocrystaline Diamond Electrodes
Divan, Ralu Nanofabrication of Sharp Diamond Tips by E-beam Lithography and ICP-RIE
Fabrication Of High Density, High-Aspect-Ratio Polyimide Nanofilters
Electrodeposition of Patterned Metal and Semiconductor Microwires on Ultrananocrystaline Diamond Electrodes
Dobisz, Elizabeth 9x Density Multiplication Of HCP Lattice Pattern By Chemically Directed Block Copolymer Self-Assembly
GISAXS A New Metrology To Characterize Nano-Patterned Samples
Directing Block Copolymers Assembly within Patterned Media Specifications
Domanski, Maciej Large-area Silicon Masters for the Fabrication of Nanostructured Bioactive Surfaces utilizing Laser Interference Nanolithography and Dry Etching.
Donev, E.U. Electron-Beam Induced Deposition using Liquid-Phase Precursors
Doran, Sam Simulation and Experimental Studies of Blanking Speed Limitations on Exposure Speed of Electron Beam Lithography
Dornajafi, Mahsa Fabrication of Flexible Ultracapacitor/Galvanic Cell Hybrids Using Advanced Nanoparticle Coating Technology
Doyeux, H. Substrate Patterning By Nanoimprint For Efficiency Enhancement Of Organic Light-Emitting Devices
Doyle, H. Surface Plasmons Coupled To Excitons For Photoluminescence Enhancement In Printed 2D Polymer Photonic Structures
Drechsler, Ute Nanometer-Scale Direct-Write 3D-Patterning using Probes
Dubon, Oscar D. Nanoscale Laser Processing Using Near-Field Optics Combined With Electron Microscopy
Duerig, Urs Nanometer-Scale Direct-Write 3D-Patterning using Probes
Dunn, Kathleen Electron Post-Irradiation Of Platinum Nanostructures Created By Electron-Beam-Induced Deposition From Pt(PF3)4
Dunn, Shannon Defectivity Solutions for Topcoat-Free Photoresists at the 22nm Node
Dupre, Cecilia High Aspect Ratio Fabrication Process For 3D Nanowire Gate-All-Around (GAA) And Double-Gate Transistors

E

Ea-Kim, Buntha Versatile Applications Of 80 Kv Electron Beam Lithography : From Nanotubes To Biochips
Ebm, Christoph Ion Multi-Beam Nanopatterning for Photonic Applications: Experiments And Simulations, Including Study Of Precursor Gas Induced Etching And Deposition
Eder-Kapl, S. Positioning of Nanocrystals on Prestructured Substrates
Eder-Kapl, Stefan Ion Multi-Beam Nanopatterning for Photonic Applications: Experiments And Simulations, Including Study Of Precursor Gas Induced Etching And Deposition
Edinger, Klaus Gas Assisted Focused Electron Beam Induced Etching of Alumina
El Kodadi, Mohamed Dynamic Scatterometry For Profile Control During Resist Trimming Process
Engellmann, S. Hydrogen Silsesquioxane-Based Hybrid Electron Beam And Optical Lithography For High Density CMOS Prototyping
Engineering, Quantum Contrast Enhancement Behavior of Hydrogen Silsesquioxane (HSQ) in a Salty Developer
Ernst, Thomas High Aspect Ratio Fabrication Process For 3D Nanowire Gate-All-Around (GAA) And Double-Gate Transistors
Ervin, Matthew Electron Beam Induced Deposition of Cobalt for Use as Single-Wall Carbon Nanotube Growth Catalyst
Esfandyarpour, Hesaam Fabrication of Nanoneedle Array for High Throughput Biomarker Detection in a Lab-On-a-Chip Device
Real-Time DNA Sequencing via Detection of Polymerization with Silicon based Pico-calorimeter chips
Esfandyarpour, Rahim Fabrication of Nanoneedle Array for High Throughput Biomarker Detection in a Lab-On-a-Chip Device
Etching Self-Aligned Fabrication of 10 nm-Wide Asymmetric Trenches in Si for Heterojunction Tunneling FETs Using Nanoimprint Lithography
Evaporation, Shadow Self-Aligned Fabrication of 10 nm-Wide Asymmetric Trenches in Si for Heterojunction Tunneling FETs Using Nanoimprint Lithography

F

Fang, Nicholas Ultra-Dense Gold Nanostructures Fabricated Using Hydrogen Silsesquioxane (HSQ) Resist And Applications For Surface-Enhanced Raman Spectroscopy (SERS)
Fang, T.Y. Mapper: High Throughput Maskless Lithography
Fang, V. Conductive Atomic Force Microscopy Study Of Self-Assembled Silicon Nanostructures
Farkas, Lou Beam Induced Deposition Of Metal Using A Helium Ion Microscope
Fay, Patrick Fabrication of Antenna-Coupled Metal-Oxide-Metal Diode Thermal Infrared Detectors Using In-Situ Oxidation
Fazio, Teresa Observing Protein-DNA Interactions Using Double-Tethered DNA Curtains
Fechner, Renate Self-Organized Pattern Formation By Ion-Beam Erosion For Antireflection Surfaces
Hierarchical Nano-Structuring By Combining Ion Induced Self-Organization And Lithographic Pre-Patterning
Fedkiw, Timothy Implementation and Characterization of an Iodine Field Emission Ion Source for FIB Applications
Fedynyshyn, Theodore Lithographically Directed Surface Modification
Fei, Zhengzheng Fabrication and Characterization of Electroporation Devices with Micropore Arrays for Drug/Gene Delivery
Ferralis, Nicola Epitaxial Growth of Graphene on High Topology SiC Structures Patterned by Focused Ion Beam
Fillman, Ryan W. Ultra-Thin F-DLC Coating for Nanoimprint Lithography Imprinters
Fischer, Clovis Formation Of High-Aspect Ratio Silicon Nanopillars Using Deep Reactive Ion Etching
Fischer, Peter Double Patterning HSQ Processes Of Zone Plates For 10nm Diffraction Limited Performance
Magnetic Soft X-ray Imaging of Non-linear Vortex Core Dynamics
Fitzgerald, Edward Operation And Performance The CNSE Vistec VB300 Electron Beam Lithography System
Flauta, Randolph Line Edge Roughness Reduction Studies Employing Grazing Incidence Ion Beam
Fleischer, M. Microcolumn Design For A Large Scan Field And Pixel Number
Floresca, C. Lithographically Defined Silicon Nanowires And Quantum Dots For Quantum Devices
Floresca, Carlos Fabrication Of High Aspect Ratio Nano-Trenches And Characterization Of Spin-On Dielectric Filling
Fong, H.H. (Invited) Photolithographic Patterning for Organic Electronics
Foulkes, John Influence Of Polarization On Absorbance Modulated Sub-Wavelength Grating Structures
Fowlkes, Jason D. Time Dependent Effects of Electron Beam Induced Etching (EBIE)
Fowlkes, Jason Optical Imaging and Processing in a SEM/FIB: The Three Beam System
Directed, Liquid Phase Assembly of Patterned and Thin Metallic Films by Pulsed Laser Dewetting
Fragala, Joe Frontiers of Tip-Based Nanofabrication: From DPN and Beyond
Francone, Achille Double-Anchoring Fluorinated Molecules For Anti-Adhesion Mold Treatment in UV Nanoimprint Lithography
Frank, Andreas Parallel Imaging With Micromachined Self-Actuated Piezoresistive Proximal Probes
Franssila, Sami Novel Nanostructure Fabrication Method Combining Silicon Doping with Focused Ion Beam and Cryogenic Deep Reactive Ion Etching
Frateschi, Newton Low Roughness Microdisk Resonators Fabricated By Focused Ion Beam (FIB)
Freedman, Daniel Can Acid Amplifiers Help Beat the RLS Trade-Off?
Frennesson, Goran High Volume Manufacturing Based on NanoImprint Lithography on Rough and Non-Planar Substrates
Frost, Frank Self-Organized Pattern Formation By Ion-Beam Erosion For Antireflection Surfaces
Hierarchical Nano-Structuring By Combining Ion Induced Self-Organization And Lithographic Pre-Patterning
Fu, Joe STM-induced Surface Modification with Reactive Ion Etch Pattern Transfer
Fu, Zengli Nanotrench Filling Via Planarization by Laser Assisted Direct Imprint (PLADI) and Air Cushion Press (ACP)
Fucetola, Corey P. Low-Cost Interference Lithography
Fujii, Noriyoshi Impact of Exposure Doses on De-Molding Process in UV-NIL
Fujita, Hiroshi Fabrication Of Organic TFT Arrays On A Flexible Sheet By Microcontact Printing
Fujita, Hiroyuki Embedded SiO2 nanosheets in PDMS using an alternative nanopatterning process.
Fujita, Jun-ichi Graphitization at Interface between Amorphous Carbon and Liquid Gallium for Fabricating Large Area Graphene Sheets
Fujiwara, Kazuma Low Energy Ar+ Ion Beam Machining Of Si Thin Layer Deposited On A Zerodur® Substrate For EUVL Optics
Fukushima, Eri 2D to 3D Imprinting on Surface of Teflon PFA Inlet Tube
Fuller, N. Hydrogen Silsesquioxane-Based Hybrid Electron Beam And Optical Lithography For High Density CMOS Prototyping
Fumar-Pici, Anita Line Edge Roughness Contribution from Mask to Wafer

G

Gaevski, Mikhail High Density Submicron Features Using A Laser Pattern Generator And Double Patterning
Gao, Jinming Top-down Fabrication of Monodisperse Non-Spherical Polymer Composite Particles for Nanomedicine Applications
Gardeniers, Han Large-area Silicon Masters for the Fabrication of Nanostructured Bioactive Surfaces utilizing Laser Interference Nanolithography and Dry Etching.
Garner, Sean R. Fabrication of Ultra-Sensitive Silicon Cantilevers with Integrated, Overhanging Nickel Magnet Tips for Magnetic Resonance Force Microscopy
Gautsch, S. Microcolumn Design For A Large Scan Field And Pixel Number
Ge, Haixiong Patterning Curved Surface Using Hybrid Nanoimprint-Soft Lithography Mold
Gelamo, Rogerio Effects Of Ion Irradiation On Electrical Properties Of Carbon Nanotubes
Gentile, Francesco Silver-Based SERS Substrate Fabrication Using Nanolithography And Site Selective Electroless Deposition
George, Hubert C. Effects Of Visible Light Illumination On The Conductance Of Al/Alox Single-Electron Transistors
George, Simi Pushing EUV Lithography Development Beyond 22-Nm Half Pitch
Ghasssemi, Saba Gold-Tipped Elastomeric Pillars for Cellular Mechanotransduction
Giam, Louise Frontiers of Tip-Based Nanofabrication: From DPN and Beyond
Multiplexing and Transport Phenomena in Dip-Pen Nanolithography
Giannuzzi, Lucille Material Contrast from Ga Ion Induced Secondary Electron Images
Gibbons, Sarah Can Acid Amplifiers Help Beat the RLS Trade-Off?
Gierak, Jacques The Promises Of Graphene Structures Nano-Patterned Using High Resolution Focused Ion Beams
Gilchrist , Kristin Characterization, Simulation, and Fabrication of a CNT Based Micro Mass Spectrometer
Gimi, Barjor Novel Immunoisolative Microcontainer With Nanoslots Defined By Nano Imprint Lithography
Girolami, Greg Direct Writing Of Nanoscale Hafnium Diboride Metallic Nanostructures On Hydrogen Passivated Silicon (100) Surfaces Using A UHV-STM
Glass, Jeff Characterization, Simulation, and Fabrication of a CNT Based Micro Mass Spectrometer
Glinsner, T. Fully Automated Hot Embossing Processes Utilizing High Resolution Working Stamps
Glinsner, Thomas Positive And Negative Counterpart Working Stamps For Soft UV-NIL Using One Master Design
Gobrecht, Jens Easy Mask-Mold Fabrication For Combined Nanoimprint And Photolithography
Transparent Hybrid Polymer Stamp Copies With Sub-50nm Resolution For Thermal And UV-Nanoimprint Lithography
Godignon, Philippe Nanostructuring Of Graphene Layers By AFM Local Anodic Oxidation
Goldberg, Kenneth Pushing EUV Lithography Development Beyond 22-Nm Half Pitch
Using Aberration Test Patterns To Optimize The Performance Of EUV Aerial Imaging Microscopes
EUV Pattern Defect Detection Sensitivity Based On Aerial Image Linewidth Measurements
Golden, Jeremy Robust, Efficient Grating Couplers for Planar Optical Waveguides Using No-PAG SU-8 EBL
Goldsman, Neil Fabrication of Flexible Ultracapacitor/Galvanic Cell Hybrids Using Advanced Nanoparticle Coating Technology
Goltsov, Alexandr Fabrication of Novel Digital Optical Spectrometer-on-chip
Gölzhäuser, Armin 1nm Thin Carbon Nanosheets With Tunable Conductivity And Stiffness
Goodman, Russell Lithographically Directed Surface Modification
Gopalan, Padma Control of the Critical Dimensions and Line Edge Roughness with Pre-organized Block Copolymer Pixelated Photoresists
Self-Aligned Double Patterning By Directed Self-Assembly Of Block Copolymer
Gopinath, Ashwin Nanofabrication Of Deterministic Aperiodic Structures For Radiative Engineering In Nanoplasmonics
Gorman, Jason Observing Protein-DNA Interactions Using Double-Tethered DNA Curtains
Gotsmann, Bernd Nanometer-Scale Direct-Write 3D-Patterning using Probes
Gotszalk, T. Parallel Proximal Probe Arrays With Vertical Interconnections
Gotszalk, Teodor Parallel Imaging With Micromachined Self-Actuated Piezoresistive Proximal Probes
Goussetis, George Perturbed Frequency-Selective Surfaces Fabricated on Large Thin Polymer Membranes for Multiband Infrared Applications
Gradecak, Silvija Sub-40-nm patterning of Au on GaAs for Nanowire Catalysis
Graham, W. Hydrogen Silsesquioxane-Based Hybrid Electron Beam And Optical Lithography For High Density CMOS Prototyping
Granik, Yuri Design Specific Variation In Via/Contact Pattern Transfer - Full Chip Analysis.
Graupera, Armand A System For Massive, Rapid Material Removal For Device Analysis In Monolithic 3D Integrated Circuits
Gravelin, James Fabrication of Flexible Ultracapacitor/Galvanic Cell Hybrids Using Advanced Nanoparticle Coating Technology
Greene, Eric Observing Protein-DNA Interactions Using Double-Tethered DNA Curtains
Greenzweig, Yuval Sub-Surface Damage from Helium Ion as a Function of Dose and Beam Energy
Greer, Julia (Invited) Effective Use of Focused Ion Beam (FIB) and E-beam lithography in Investigating Fundamental Mechanical Properties of Materials at the Nano-Scale. (Invited)
Griedel, Brian Understanding The Mechanism Of Base Development Of Hydrogen Silsesquioxane
Grigoras, Kestutis Novel Nanostructure Fabrication Method Combining Silicon Doping with Focused Ion Beam and Cryogenic Deep Reactive Ion Etching
Grigoropoulos Nanoscale Laser Processing Using Near-Field Optics Combined With Electron Microscopy
Gross, G. Positioning of Nanocrystals on Prestructured Substrates
Groves, Tim Comparing The RLS Tradeoffs At EUV, E-Beam And 193 Nm For Common Resist Platforms
Groves, Timothy Operation And Performance The CNSE Vistec VB300 Electron Beam Lithography System
Gruetzner, Gabi Easy Mask-Mold Fabrication For Combined Nanoimprint And Photolithography
Transparent Hybrid Polymer Stamp Copies With Sub-50nm Resolution For Thermal And UV-Nanoimprint Lithography
Grütter, Peter Networks of Nanomagnets Fabricated via Stenciling and Magnetron Sputtering to Investigate Nanoscale Magnetic Switching
Gu, Changzhi Removing Pt Contamination In FIB Assisted Deposition Of Electrodes For Nanodevices
Guillorn, M. Hydrogen Silsesquioxane-Based Hybrid Electron Beam And Optical Lithography For High Density CMOS Prototyping
Gunasekaran, V. Micro Fabrication Of Planar-Type Structures On Graphite Layer Using Focused Ion Beam And Transport Characterization
Guo, Cheng Application of Neural Network to E-beam Dose Control for 3-D Proximity Effect Correction
Guo, Hong-Jie A Point Source Of Energetic Helium Atoms For Proximity Lithography
Guo, L. Jay Ionic Current Rectification and Switching in Heterogeneous Oxide Nanofluidic Channels
High Q-Factor Small Size Polymer Micro-Ring Resonators For High-Frequency Ultrasound Detection
High-density Sub-20 nm Plasmonic Nanostructures Fabricated by Nanoimprint Lithography using a Block Copolymer Template
Large Area Negative Refractive Index Structures at Optical Frequencies Using Nanoimprint Lithography
A Novel Dynamic Nano Inscribing Technique For Creating Continuous And Seamless Metal And Polymer Nano Gratings
Large-area Roll-to-Roll and Roll-to-Plate Nanoimprint Lithography and Analytical Models for Predicting Residual Layer Thickness
ITO-Free Organic Solar Cells On Flexible Plastic Substrates
Gurovich, Boris A. Fabrication Of Metal Nanowires By Ion Beam Irradiation Of Metal Oxide Through High Aspect Ratio Resist Mask
Gwyther, Jessica Self-Assembled Nanostructures With Ring Arrays And Square Pattern From Organometallic-Containing Triblock Terpolymers
Gyrsting, Yvonne Conducting FIB Milled Nanowires

H

Haatainen, Tomi Step & Stamp Imprinting Microlithography Studies of Chemical and Topographical Signaling on Osteoblast Cells.
Hacker, Christina A. Transfer Printing Approach for Fabricating Molecular Electronic Junctions
Haensch, W. Hydrogen Silsesquioxane-Based Hybrid Electron Beam And Optical Lithography For High Density CMOS Prototyping
Hagen, C.W. Growing Carbon Nano Tubes With A Simple CVD Process On Predefined Patterns Of Pd Nano Particles That Where Positioned To Charge Patterns Created With A Scanning Electron Microscope
Hagen, C.W Measurements On The Electron Optical Properties Of A Multi-Electron Beam Source in a SEM Chamber
Hagen, Cornelis Wouter Optimization of Focused Ion Beam Performance.
Hagen, Kees Focused Electron-Beam-Induced Deposition Of 3 Nm Dots In A Scanning Electron Microscope
Electron Post-Irradiation Of Platinum Nanostructures Created By Electron-Beam-Induced Deposition From Pt(PF3)4
Haile, Sossina Advanced Nanoscale Anode Fabrication for High-Performance Solid Oxide Fuel Cells
Hainberger, Rainer Ion Multi-Beam Nanopatterning for Photonic Applications: Experiments And Simulations, Including Study Of Precursor Gas Induced Etching And Deposition
Halle, Scott Experimental Determination of Image Placement Accuracy in EUV Lithography
Hällström, Waldemar (Invited) Guiding, Rectifying and Sorting of Regenerating Axons by Free Standing Nanowire Patterns: A highway for nerve fibers.
Activation of Macrophages by High Aspect Ratio Nanostructures.
Subcellular Force Dynamics of Outgrowing Axons Measured by Free-standing Nanowires.
Nanowire Templated Nanotubes for Cell Injection
Hamaguchi, Tetsuya Rapid Thermal Imprint Of High-Aspect-Ratio Nanostructures
Han, Arum Lateral Flow Particle Filtration and Separation with Multilayer Microfluidic Channels
Han, Eungnak Self-Aligned Double Patterning By Directed Self-Assembly Of Block Copolymer
Han, Hakseung Using Aberration Test Patterns To Optimize The Performance Of EUV Aerial Imaging Microscopes
Han, Keping Operation And Performance The CNSE Vistec VB300 Electron Beam Lithography System
Han, Woosung Characterization Of Pattern Placement Error For Sub-40-Nm Memory Devices
Harada, Tetsuo Mask Observation Result using Coherent EUV Scattering Microscopy at New SUBARU
Hardman, Rob Robust, Efficient Grating Couplers for Planar Optical Waveguides Using No-PAG SU-8 EBL
Harrell, Lee E. Fabrication of Ultra-Sensitive Silicon Cantilevers with Integrated, Overhanging Nickel Magnet Tips for Magnetic Resonance Force Microscopy
Harriott, Lloyd Ultra-Low Dose Exposure of HSQ using Electron Beam Lithography
Low Dose Patterning of HSQ For Use As A Silicon Etch Mask
Harteneck, Bruce Imprint And Pattern Transfer Of Silica Sol-Gel Resist: A Powerful Nanofabrication Approach
Electrostatic Exfoliation of Pre-Patterned Graphene Micro- and Nanostructures
Fabrication of Novel Digital Optical Spectrometer-on-chip
Manipulating Nano-scale Light Fields With The Plasmonic Color Nanosorter
Planarization of High-Aspect Ratio Nanostructures by Infiltration and Pressing of Organic and Inorganic Curable Materials
Precise Placement of a Single Quantum Dot in a Bowtie Nanoantenna Gap
Automatic Measurement Of Electron Beam Size By BEAMETR Technique Using 20nm Test Patterns
Hartfield, Cheryl Optical Imaging and Processing in a SEM/FIB: The Three Beam System
Hartley, John Experimental Determination of Image Placement Accuracy in EUV Lithography
Simulation and Experimental Studies of Blanking Speed Limitations on Exposure Speed of Electron Beam Lithography
Operation And Performance The CNSE Vistec VB300 Electron Beam Lithography System
Comparing The RLS Tradeoffs At EUV, E-Beam And 193 Nm For Common Resist Platforms
Haruyama, Yuichi Effect of UV Irradiation on Sol-Gel ITO Nanopatterns Replicated by Room-Temperature Nanoimprint
Characteristics of Antisticking Layer Formed by Plasma Irradiation using Mixture Gas with CHF3 and O2 for Nanoimprint
Effect of UV Irradiation on Sol-Gel ITO Nanopatterns Replicated by Room-Temperature Nanoimprint
Hasegawa, Hirokazu 9x Density Multiplication Of HCP Lattice Pattern By Chemically Directed Block Copolymer Self-Assembly
Hasegawa, Tsuyoshi (MNC 2008 Best Paper) Wiring Single Metal-Phthalocyanine Molecules With Conjugeted Polymers
Hasko, David Inspection Method For Contact/Via-Holes Using A Low-Energy Electron Microcolumn
Hastings, J. Todd. Electron-Beam Induced Deposition using Liquid-Phase Precursors
Hastings, J. Todd Amino-Propyl-Triethoxy-Silane (APTES) on Aluminum Fiducial Grids for Spatial-Phase-Locked Electron-Beam Lithography
Hattori, Shuntaro Rapid Thermal Imprint Of High-Aspect-Ratio Nanostructures
Hauptmann, Marc Alpha Parameter, Resolution, Line Width Roughness and its Focus Dependencies in E-Beam Lithography
He, Xiang Tailoring Anisotropic Wetting Properties on One-Dimensional Nanopatterned Surfaces
Hedrick, James Nanometer-Scale Direct-Write 3D-Patterning using Probes
Heidari, Babak High Volume Manufacturing Based on NanoImprint Lithography on Rough and Non-Planar Substrates
Heikenfeld, Jason The Fabrication And Characterization Of Electrically Addressable Microfluidic Electrowetting Channels
Heilmann, Ralf (Invited) Lithography With Nanometer Precision On Monster Substrates
Heiss, W. Positioning of Nanocrystals on Prestructured Substrates
Hellwig, Olav Directing Block Copolymers Assembly within Patterned Media Specifications
Henderson, Clifford High Performance Negative Tone Molecular Resists Using Cationic Polymerization
Ultra-Thin Film Effects on Photoresist Imaging Performance
Quantitative Structure-Property Relations for the Prediction of the Glass Transition Temperature of Molecular Resists
Henry, Anne Electrothermal Actuation Of Silicon Carbide Ring Resonators
Henry, David Techniques Of Cryogenic Reactive Ion Etching In Silicon For Fabrication Of Sensors
Henslee, Brian Fabrication and Characterization of Electroporation Devices with Micropore Arrays for Drug/Gene Delivery
Hensley, Dale The Fabrication And Characterization Of Electrically Addressable Microfluidic Electrowetting Channels
Hertz, Hans 15-nm Nickel Zone Plates Achieved Using Cold-Developed Electron-Beam Patterned ZEP7000
Hickman, Steven A. Fabrication of Ultra-Sensitive Silicon Cantilevers with Integrated, Overhanging Nickel Magnet Tips for Magnetic Resonance Force Microscopy
Hieda, Hiroyuki Curing Process of Silsesquioxane in Self-Organized Diblock Copolymer Template
Higgins, Craig Can Acid Amplifiers Help Beat the RLS Trade-Off?
Hilken, Dawn Understanding The Mechanism Of Base Development Of Hydrogen Silsesquioxane
Hill, Richard J. W. Fully Self-Aligned Process For Fabricating 100 Nm Gate Length Enhancement Mode GaAs MOSFETs
Hinds, Bruce Dramatic Nano-Fluidic Properties Of Carbon Nanotube Membranes
Hines, Daniel R. Transfer Printing Approach for Fabricating Molecular Electronic Junctions
Hingerl, Kurt Fabrication Of Large Area Negative Index Structures By Nanoimprint Lithography
Hinsberg, William (Invited ) Directed Polymer Self-assembly for Lithography Application
Hinze, Peter 1nm Thin Carbon Nanosheets With Tunable Conductivity And Stiffness
Hirai, Yoshihiko Impact Of Resist Shrinkages On De-Molding Process In Thermal and UV NIL
Impact of Exposure Doses on De-Molding Process in UV-NIL
Molecular Dynamics Study On Fracture Of Si Mold In Nanoimprint For Glass Film
Numerical Study on Bubble Trapping in UV Nanoimprint Lithography
Comparison of Demolding Forces for Various Si Molds and Mold with Extremely Smooth Side Wall
Molecular Dynamics Study on Bending Deformation of Carbon Nanotubes by Electron Beam Irradiation
Hiratsuka, Kenji Evaluation of Nanoimprint Lithography as a Fabrication Process of Phase-shifted Diffraction Gratings of Distributed Feedback Laser Diodes
Hiroshima, Hiroshi Release Force Reduction in UV-Nanoimprint By Mold Orientation Control And By Gas Environment
Numerical Study on Bubble Trapping in UV Nanoimprint Lithography
Hirsch, Dietmar Hierarchical Nano-Structuring By Combining Ion Induced Self-Organization And Lithographic Pre-Patterning
Hiyama, Yoshihito 2D to 3D Imprinting on Surface of Teflon PFA Inlet Tube
Ho, Harvey Fabrication Methods For Passive Sensor Tags On Flexible Polymer Substrates
Hoang, Hung A Toroidal Spectrometer For Signal Detection In Scanning Ion/Electron Microscopes
Hobler, Gerhard Ion Multi-Beam Nanopatterning for Photonic Applications: Experiments And Simulations, Including Study Of Precursor Gas Induced Etching And Deposition
Hochberg, Michael Lithographic Scaling In Silicon Photonics: Is Smaller Better?
Hoef, Brian Pushing EUV Lithography Development Beyond 22-Nm Half Pitch
Hoelle, Robert A System For Massive, Rapid Material Removal For Device Analysis In Monolithic 3D Integrated Circuits
Hoertlackner, M. Analysis And Evaluation Process For Quantification Of Residual Gas Deposition By A Focused Electron Beam
Hoffbauer, Mark Fabrication Of High Density, High-Aspect-Ratio Polyimide Nanofilters
Hoffman, Galen Direct Write Reliefs in Excess of One Micrometer on Chalcogenide Thin-Films Using Electron Beams
Hoffmann, Patrik Gas Assisted Focused Electron Beam Induced Etching of Alumina
Hofmann, Thorsten Gas Assisted Focused Electron Beam Induced Etching of Alumina
Hofmann, Tommy GISAXS A New Metrology To Characterize Nano-Patterned Samples
Hohle, C. (Invited) Design Verification for sub 70 nm DRAM nodes via Metal Fix using E-Beam Direct Write
Holland, Martin C. Fully Self-Aligned Process For Fabricating 100 Nm Gate Length Enhancement Mode GaAs MOSFETs
Holmberg, Anders 15-nm Nickel Zone Plates Achieved Using Cold-Developed Electron-Beam Patterned ZEP7000
Hon, Min-Hsiung Hierarchical Structure Formation Induced By Dewetting And Imprinting And Its Pattern Replication
Hone, James Gold-Tipped Elastomeric Pillars for Cellular Mechanotransduction
Highly Robust Single-Wall Carbon Nanotube-Molecule Junction Device Fabrication Process
Honegger, Thibault A Transparent Multilevel-Electrodes Microfluidic Chip For Dielectrophoretic Colloidal Handling
Hong, Jiseok Real-Time Detection Of Airborne Dust Particles Using Highly Sensitive Paddle Type Silicon Cantilevers
Hoshino, Hiromi Design for EB(DFEB), a Novel Approach to EBDW Throughput Enhancement For Volume Production
Howe, Roger T. Epitaxial Growth of Graphene on High Topology SiC Structures Patterned by Focused Ion Beam
Howe, Roger Laser Print Patterning of Planar Spiral Inductors
Hoyle, Robert Fabrication Of Templates For Large Area Patterning And The Replication Of "Motheye Lenses" Using Step And Flash Imprint Lithography (S-FIL)
Hsu, Keng Ultra-Dense Gold Nanostructures Fabricated Using Hydrogen Silsesquioxane (HSQ) Resist And Applications For Surface-Enhanced Raman Spectroscopy (SERS)
Hu, Evelyn Information on a Small Scale: The Power of Nanophotonics
Hu, W. Lithographically Defined Silicon Nanowires And Quantum Dots For Quantum Devices
Hu, Walter Fabrication Of High Aspect Ratio Polymer Nanopillars By Nanoimprint Induced Elongation For Guided Cell Growth
Novel Immunoisolative Microcontainer With Nanoslots Defined By Nano Imprint Lithography
Top-down Fabrication of Monodisperse Non-Spherical Polymer Composite Particles for Nanomedicine Applications
Hu, Wenchuang (Walter) Dimension Reduction Of Nano-Gratings By Controlled Melting Of Patterned Polymer Mask
Organic Solar Cells Using Imprinted P3HT Nanostructures: The Effects Of Geometry, Crystallization And Chain Ordering
Fabrication Of High Aspect Ratio Nano-Trenches And Characterization Of Spin-On Dielectric Filling
Hu, Xin Design And Fabrication Of Electrokinetic Microfluidics For Transportation And Manipulation Of Biomolecules
Huang, Chong Nanotrench Filling Via Planarization by Laser Assisted Direct Imprint (PLADI) and Air Cushion Press (ACP)
Huang, Hinqing Transmission Electron Microscopy of Fabricated Nanostructures
Huang, Po-Hsun Complete Reversal Imprinting For Fabricating Microlens Array With High Height Transcription
Ultra-Large And Thin Light Guide Plates Fabricated Using UV Imprinting Process
Huang, Tzu-Chien Imprinting with Revolving-belt for Effective and Efficient Replication of Microstructures
Ultra-Large And Thin Light Guide Plates Fabricated Using UV Imprinting Process
Hubert, Alexandre High Aspect Ratio Fabrication Process For 3D Nanowire Gate-All-Around (GAA) And Double-Gate Transistors
Huh, Sungmin Using Aberration Test Patterns To Optimize The Performance Of EUV Aerial Imaging Microscopes
EUV Pattern Defect Detection Sensitivity Based On Aerial Image Linewidth Measurements
Huq, Ejaz Combined Near-Field Lithography And Reversal Imprint For High Resolution Patterning In Wafer Scale
Hwang, David J. Nanoscale Laser Processing Using Near-Field Optics Combined With Electron Microscopy
Hwang, N.W. Inspection Method For Contact/Via-Holes Using A Low-Energy Electron Microcolumn
Hyun, M. S. Spatial Dose Control for Fabrication of Saw-tooth Structures
Hyun, Moon Seop Step Width Adjustment in Fabrication of Staircase Structures

I

Iacopino, D. Surface Plasmons Coupled To Excitons For Photoluminescence Enhancement In Printed 2D Polymer Photonic Structures
Icard, Béatrice Study On Writing Strategy For Multiple Electron-Beam Lithography At 5keV
Ichihashi, Toshinari Graphitization at Interface between Amorphous Carbon and Liquid Gallium for Fabricating Large Area Graphene Sheets
Ide, Shouichi Nano Scale Three-Dimensional Metal Pattern Transfer By Nanoimprint Lithography Using Metal Oxide As A Release Layer
Ignatova, Olesya Resist Residues and Transistor Gate Fabrication
Im, Mi-Young Magnetic Soft X-ray Imaging of Non-linear Vortex Core Dynamics
Imprints, Molecular (Invited) Toward Automated Pattern Inspection and Defect Characterization for Patterned Media Lithography
Imre, Alexandra Large Area Direct-Write Focused Ion-Beam Lithography With A Dual-Beam Microscope
Fabrication Of High Density, High-Aspect-Ratio Polyimide Nanofilters
Institute, Kurchatov Fabrication Of Metal Nanowires By Ion Beam Irradiation Of Metal Oxide Through High Aspect Ratio Resist Mask
Irmscher, Mathias (Invited) Programmable Aperture Plate System with integrated CMOS electronics for projection maskless nanolithography and nanopatterning
Ion Multi-Beam Nanopatterning for Photonic Applications: Experiments And Simulations, Including Study Of Precursor Gas Induced Etching And Deposition
Ishihara, Sunao Evaluations Of The Hopping Growth Characteristics On 3-D Nanostructure Fabrication Using Focused-Ion-Beam
Ishikawa, Kiyoshi Fabrication Of Nano Dots Array Mold Using Inorganic Electron Beam Resist And Post Exposure Bake
Ishikawa, Shoichiro Nano Scale Three-Dimensional Metal Pattern Transfer By Nanoimprint Lithography Using Metal Oxide As A Release Layer
Isoyan, Artak Self-Imaging Of Complex Structures With A Table-Top EUV Laser
Fast Resist Development Model for Photolithography Process Simulation
Modeling Strategies for the Incorporation and Correction of Proximity Effects in High-Resolution Electron-Beam Lithography
Itani, Toshiro Alternative Developer Solutions For EUV Resist
Ito, Masaru Design for EB(DFEB), a Novel Approach to EBDW Throughput Enhancement For Volume Production
Ivanov, A. Frank. T. Parallel Proximal Probe Arrays With Vertical Interconnections
Ivanov, Tzvetan Parallel Imaging With Micromachined Self-Actuated Piezoresistive Proximal Probes
Ivanova, K. Parallel Proximal Probe Arrays With Vertical Interconnections
Iwai, Jun Process Optimization Of Electron Beam Lithography Using High Resolution Resist TEBN-1
Iwasaki, Fumiaki Process Optimization Of Electron Beam Lithography Using High Resolution Resist TEBN-1
Iwata, Tetsu Low Energy Ar+ Ion Beam Machining Of Si Thin Layer Deposited On A Zerodur® Substrate For EUVL Optics

J

Jacobsen, Chris Evaluation Of The Optical Performance Of X-Ray Zone Plates Made With Overlay Nanofabrication Technique
Jacobson, Joseph FIB-Assisted Bending Of Patterned Grown Silicon Nanowires
Jager, R. Mapper: High Throughput Maskless Lithography
Jalabert, Laurent Embedded SiO2 nanosheets in PDMS using an alternative nanopatterning process.
Jang, Dongchan (Invited) Effective Use of Focused Ion Beam (FIB) and E-beam lithography in Investigating Fundamental Mechanical Properties of Materials at the Nano-Scale. (Invited)
Jansen, John Large-area Silicon Masters for the Fabrication of Nanostructured Bioactive Surfaces utilizing Laser Interference Nanolithography and Dry Etching.
Jantsch, W. Positioning of Nanocrystals on Prestructured Substrates
Janzén, Eric Electrothermal Actuation Of Silicon Carbide Ring Resonators
Jarvholm, Jonas High-speed, Sub-15 nm Feature Size Thermochemical Nanolithography
Jaschinsky, Pl (Invited) Design Verification for sub 70 nm DRAM nodes via Metal Fix using E-Beam Direct Write
Javanmard, Mehdi Detection of Biomarkers Using Bio-Functionalized Microfluidic Channels
Jede, Ralf The Promises Of Graphene Structures Nano-Patterned Using High Resolution Focused Ion Beams
Jefimovs, Konstantins Ultrahigh Resolution X-Ray Fresnel Zone Plates Made By Thin Film Deposition
Jen, Timothy The Interplay Between Kinetics And Thermodynamics During Development Of Calixarene, A Negative Resist
Jeon, S. C. Spatial Dose Control for Fabrication of Saw-tooth Structures
Jeon, Sang Cheol Step Width Adjustment in Fabrication of Staircase Structures
Jeon, Seokwoo Highly Robust Single-Wall Carbon Nanotube-Molecule Junction Device Fabrication Process
Jeon, Sohee Enhancement of Power Efficiency in Photonic Crystal Structured OLED
Jeong, Chang Young The Improvement Of Imaging Properties By Optimizing The Capping Structure In Extreme Ultraviolet Lithography
Effect Of Electrical Characteristics By Surface Modification In Pentacene Field Effect Transistor With Thin Al2O3 Gate Oxide Layer
Jeong, Jun-ho Resistive Switching Memory Fabricated by UV-NIL Process
Jeong, Jun-Ho Enhancement of Power Efficiency in Photonic Crystal Structured OLED
Ji, Shengxiang Pathways For Implementation Of Block Copolymer Lithography In Nanomanufacturing
Self-Aligned Double Patterning By Directed Self-Assembly Of Block Copolymer
Jiang, Aiqin Defectivity Solutions for Topcoat-Free Photoresists at the 22nm Node
Jiang, Fan Self-Imaging Of Complex Structures With A Table-Top EUV Laser
Jiang, Ying-Bing Tailoring Anisotropic Wetting Properties on One-Dimensional Nanopatterned Surfaces
Jin, Aizi Removing Pt Contamination In FIB Assisted Deposition Of Electrodes For Nanodevices
Jin, S.W. Inspection Method For Contact/Via-Holes Using A Low-Energy Electron Microcolumn
Joechl, P. Positioning of Nanocrystals on Prestructured Substrates
Joechl, Peter Ion Multi-Beam Nanopatterning for Photonic Applications: Experiments And Simulations, Including Study Of Precursor Gas Induced Etching And Deposition
Johansson, Fredrik Activation of Macrophages by High Aspect Ratio Nanostructures.
Jompol, Yodchay Template Based Fabrication Of Size Tunable Single-Walled Carbon Nanotube Single Electron Transistors
Jones, Geraint Fabrication of Nanoscale ZnO Fets Using The Functional Material Zinc Neodecanoate Directly As A Negative E-Beam Lithography Resist
Jones, Gideon Pushing EUV Lithography Development Beyond 22-Nm Half Pitch
Jones, Simon High-speed, Sub-15 nm Feature Size Thermochemical Nanolithography
Joo, Jaebum FIB-Assisted Bending Of Patterned Grown Silicon Nanowires
Joseph, E. Hydrogen Silsesquioxane-Based Hybrid Electron Beam And Optical Lithography For High Density CMOS Prototyping
Joung, Daeha Template Based Fabrication Of Size Tunable Single-Walled Carbon Nanotube Single Electron Transistors
Joy, David Limiting Factors in Sub-10-nm Scanning Electron Beam Lithography
Jun, Kimin FIB-Assisted Bending Of Patterned Grown Silicon Nanowires
Jung, Byungki Sub-millisecond Post Exposure Bake of Chemically Amplified Resists by CO2 Laser Spike Annealing
Jung, Hyunchul Fabrication and Characterization of Electroporation Devices with Micropore Arrays for Drug/Gene Delivery
Design And Fabrication Of Electrokinetic Microfluidics For Transportation And Manipulation Of Biomolecules
Jung, Yeon Sik Controlled Self-Assembly Of Linear Structures For Nanoscale Device Fabrication
Nanolithography Applications Of Siloxane Block Copolymers: Systematic Pattern Tunability And Pattern-transfer
Jurisch, Michael (Invited) Programmable Aperture Plate System with integrated CMOS electronics for projection maskless nanolithography and nanopatterning

K

Kalyanaraman, Ramki Directed, Liquid Phase Assembly of Patterned and Thin Metallic Films by Pulsed Laser Dewetting
Kamijo, Kazuma Two Stage Ion Beam Figuring And Smoothing Method For Shape Error Correction Of ULE®Substrates Of EUVL Multilayer Mirrors - Evaluation Of Surface Roughness
Kampherbeek, B.J. Mapper: High Throughput Maskless Lithography
Kanda, Kazuhiro Effect of UV Irradiation on Sol-Gel ITO Nanopatterns Replicated by Room-Temperature Nanoimprint
Characteristics of Antisticking Layer Formed by Plasma Irradiation using Mixture Gas with CHF3 and O2 for Nanoimprint
Effect of UV Irradiation on Sol-Gel ITO Nanopatterns Replicated by Room-Temperature Nanoimprint
Kang, Huiman Control of the Critical Dimensions and Line Edge Roughness with Pre-organized Block Copolymer Pixelated Photoresists
Directing Block Copolymers Assembly within Patterned Media Specifications
Kang, Myung-Gyu High-density Sub-20 nm Plasmonic Nanostructures Fabricated by Nanoimprint Lithography using a Block Copolymer Template
Large Area Negative Refractive Index Structures at Optical Frequencies Using Nanoimprint Lithography
ITO-Free Organic Solar Cells On Flexible Plastic Substrates
Kang, S.J. Lithographically Defined Silicon Nanowires And Quantum Dots For Quantum Devices
Kang, Yuji Effect of UV Irradiation on Sol-Gel ITO Nanopatterns Replicated by Room-Temperature Nanoimprint
Characteristics of Antisticking Layer Formed by Plasma Irradiation using Mixture Gas with CHF3 and O2 for Nanoimprint
Effect of UV Irradiation on Sol-Gel ITO Nanopatterns Replicated by Room-Temperature Nanoimprint
Kanje, Martin (Invited) Guiding, Rectifying and Sorting of Regenerating Axons by Free Standing Nanowire Patterns: A highway for nerve fibers.
Activation of Macrophages by High Aspect Ratio Nanostructures.
Subcellular Force Dynamics of Outgrowing Axons Measured by Free-standing Nanowires.
Nanowire Templated Nanotubes for Cell Injection
Kanouff, Michael Gas Dosing for Tip Based Nano-Fabrication Processes
Kaplan, Alex Large Area Negative Refractive Index Structures at Optical Frequencies Using Nanoimprint Lithography
Kato, Hiroyuki Ion Beam Sharpening Of Diamond Tools Having Small Apex Angle Without Facet And Ripple Formation
Kawamura, Junki Study On Ripple Or Nano Pattern Formation On Si By Low Energy Ar Ion Beam And Smoothing Of Rippled Or Nano Patterned Surface
Kawata, Hiroaki Impact Of Resist Shrinkages On De-Molding Process In Thermal and UV NIL
Impact of Exposure Doses on De-Molding Process in UV-NIL
Molecular Dynamics Study On Fracture Of Si Mold In Nanoimprint For Glass Film
Comparison of Demolding Forces for Various Si Molds and Mold with Extremely Smooth Side Wall
Molecular Dynamics Study on Bending Deformation of Carbon Nanotubes by Electron Beam Irradiation
Kehagias, Nikolaos Surface Plasmons Coupled To Excitons For Photoluminescence Enhancement In Printed 2D Polymer Photonic Structures
IMPRINT Software: Stamp Bending Compensation, Residual Layer And Cavities Fullness Prediction
Kehoe, Timothy Sub-Wavelength Diffraction Metrology For Inline And Critical Dimension Monitoring Of The Nanoimprint Lithography Process
Keil, K. (Invited) Design Verification for sub 70 nm DRAM nodes via Metal Fix using E-Beam Direct Write
Keil, Katja Alpha Parameter, Resolution, Line Width Roughness and its Focus Dependencies in E-Beam Lithography
Keil, Matthias High Volume Manufacturing Based on NanoImprint Lithography on Rough and Non-Planar Substrates
Kellogg, Sean A System For Massive, Rapid Material Removal For Device Analysis In Monolithic 3D Integrated Circuits
Kemper, S. A Microretroreflector-Based Diagnostic Platform
Kenney, Linda Application of the Helium Ion Microscope to Biological Sciences
Kenny, Thomas Invited) The Tip-Based Nanofabrication Program
Kercher, Dan S. Directing Block Copolymers Assembly within Patterned Media Specifications
Kercher, Dan (Invited) Nanoimprint Lithography: Enabling Discrete Track and Bit-patterned Media Disk Manufacturing
Kern, D.P. Microcolumn Design For A Large Scan Field And Pixel Number
Kettle, Jeff Fabrication of poly(3 -hexylthiophene) (P3HT) in-plane gate transistors by low temperature thermal nanoimprint lithography
Fabrication Of Templates For Large Area Patterning And The Replication Of "Motheye Lenses" Using Step And Flash Imprint Lithography (S-FIL)
Kewish, Cameron Ultrahigh Resolution X-Ray Fresnel Zone Plates Made By Thin Film Deposition
Keyvanfar, Kian Ultra-Low Dose Exposure of HSQ using Electron Beam Lithography
Low Dose Patterning of HSQ For Use As A Silicon Etch Mask
Kharanjole, Uday Transmission Electron Microscopy of Fabricated Nanostructures
Khondaker, Saiful I. Template Based Fabrication Of Size Tunable Single-Walled Carbon Nanotube Single Electron Transistors
Khursheed, Anjam A Toroidal Spectrometer For Signal Detection In Scanning Ion/Electron Microscopes
A Multiple-Electron-Beam Imaging Technique For Surface Inspection
Khusnatdinov, Niyaz (Invited) Toward Automated Pattern Inspection and Defect Characterization for Patterned Media Lithography
Kidd, Deborah Ultra-Low Dose Exposure of HSQ using Electron Beam Lithography
Low Dose Patterning of HSQ For Use As A Silicon Etch Mask
Kihara, Naoko Curing Process of Silsesquioxane in Self-Organized Diblock Copolymer Template
Kim , Sangjong Fabrication Of High Aspect Ratio Nano-Trenches And Characterization Of Spin-On Dielectric Filling
Kim, Byung Gook Pattern Placement Error Due To Resist Charging Effect At 50kv E-Beam Writer
Kim, Byung-Gook Estimation of Resist Profile Using Layer-Based Exposure Modeling
Application of Neural Network to E-beam Dose Control for 3-D Proximity Effect Correction
Kim, Dae Wook Inspection Method For Contact/Via-Holes Using A Low-Energy Electron Microcolumn
Kim, Geehong Fabrication Of The Transparent Cylindrical Stamp With Sub 50nm Linewidth By Means Of The Step & Flash Nanoimprint Lithography
Kim, Ho Seob Inspection Method For Contact/Via-Holes Using A Low-Energy Electron Microcolumn
Kim, Ho-Cheol (Invited ) Directed Polymer Self-assembly for Lithography Application
Kim, Hochul Characterization Of Pattern Placement Error For Sub-40-Nm Memory Devices
Kim, Hyun Chul Infrared Dipole Antenna Enhanced by Surface Phonon Polaritons
Kim, Hyun-Mi Noise Reduction Process for Atomic Image Projection Electron-Beam Lithography (AIPEL)
Contrast Enhancement Behavior of Hydrogen Silsesquioxane (HSQ) in a Salty Developer
Kim, J W. Spatial Dose Control for Fabrication of Saw-tooth Structures
Kim, J. S. Spatial Dose Control for Fabrication of Saw-tooth Structures
Kim, Jeoung Woo Step Width Adjustment in Fabrication of Staircase Structures
Kim, Jihoon Double Patterning HSQ Processes Of Zone Plates For 10nm Diffraction Limited Performance
Understanding The Mechanism Of Base Development Of Hydrogen Silsesquioxane
Kim, Jin Soo Step Width Adjustment in Fabrication of Staircase Structures
Kim, Ju-Young (Invited) Effective Use of Focused Ion Beam (FIB) and E-beam lithography in Investigating Fundamental Mechanical Properties of Materials at the Nano-Scale. (Invited)
Kim, K. N. Spatial Dose Control for Fabrication of Saw-tooth Structures
Kim, Ki Nam Step Width Adjustment in Fabrication of Staircase Structures
Kim, Ki-Bum Understanding Of Hydrogen Silsesquioxane Resist For Sub-5-Nm Half-Pitch Electron-Beam Lithography
Noise Reduction Process for Atomic Image Projection Electron-Beam Lithography (AIPEL)
Contrast Enhancement Behavior of Hydrogen Silsesquioxane (HSQ) in a Salty Developer
Kim, Ki-don Resistive Switching Memory Fabricated by UV-NIL Process
Kim, Ki-Don Enhancement of Power Efficiency in Photonic Crystal Structured OLED
Kim, M.J. Lithographically Defined Silicon Nanowires And Quantum Dots For Quantum Devices
Kim, Moon Fabrication Of High Aspect Ratio Nano-Trenches And Characterization Of Spin-On Dielectric Filling
Kim, Ryoung-han Optimization Of Multilayer Absorptive Antireflection Coatings For Hyper-NA Optical Lithography
Kim, S. -J. Fabrication Of Nano-Periodic Josephson Junction Array In Bi2Sr2Ca2Cu3O10+δ (Bi-2223) Single Crystal Whiskers
Kim, S.J. Micro Fabrication Of Planar-Type Structures On Graphite Layer Using Focused Ion Beam And Transport Characterization
Kim, Sungho Resistive Switching Memory Fabricated by UV-NIL Process
Kim, Tae Geun The Improvement Of Imaging Properties By Optimizing The Capping Structure In Extreme Ultraviolet Lithography
Kim, Y.C. Inspection Method For Contact/Via-Holes Using A Low-Energy Electron Microcolumn
Kim, Yun Jun Control of the Critical Dimensions and Line Edge Roughness with Pre-organized Block Copolymer Pixelated Photoresists
Kimmel, Jyrki Fabrication of overhanging triangular gratings
King, Willaim A General Strategy for Directly Writing Nanoscale Patterns of Nanoparticles and Polymer-Nanoparticle Composites.
King, William (Invited) Nanometer-Scale Polymer Flow During Nanoimprint Lithography
High-speed, Sub-15 nm Feature Size Thermochemical Nanolithography
Kingsborough, Richard Lithographically Directed Surface Modification
Kinoshita, Hiroo Mask Observation Result using Coherent EUV Scattering Microscopy at New SUBARU
Kishimoto, Junki Mask Observation Result using Coherent EUV Scattering Microscopy at New SUBARU
Klaus , David P. Image Processing Using Shape Recognition for Alignment to Damaged Registration Marks in Electron Beam Lithography
Klaus, D. Hydrogen Silsesquioxane-Based Hybrid Electron Beam And Optical Lithography For High Density CMOS Prototyping
Klein, Christof (Invited) Programmable Aperture Plate System with integrated CMOS electronics for projection maskless nanolithography and nanopatterning
Klejwa, Nathan Epitaxial Growth of Graphene on High Topology SiC Structures Patterned by Focused Ion Beam
Laser Print Patterning of Planar Spiral Inductors
Klejwa, S.J. Laser Print Patterning of Planar Spiral Inductors
Klejwa, Steven Laser Print Patterning of Planar Spiral Inductors
Kley, E.-Bernhard Fabrication of Novel Digital Optical Spectrometer-on-chip
Kley, Ernst B. Fabrication Of Large Area Negative Index Structures By Nanoimprint Lithography
Klingfus, Joe Limiting Factors in Sub-10-nm Scanning Electron Beam Lithography
Klingfus, Joseph Understanding Of Hydrogen Silsesquioxane Resist For Sub-5-Nm Half-Pitch Electron-Beam Lithography
Large Area Direct-Write Focused Ion-Beam Lithography With A Dual-Beam Microscope
Klukowska, Anna Easy Mask-Mold Fabrication For Combined Nanoimprint And Photolithography
Transparent Hybrid Polymer Stamp Copies With Sub-50nm Resolution For Thermal And UV-Nanoimprint Lithography
Knoll, Armin Nanometer-Scale Direct-Write 3D-Patterning using Probes
Knoop, J. A Microretroreflector-Based Diagnostic Platform
Ko, Ki-Young Effect Of Electrical Characteristics By Surface Modification In Pentacene Field Effect Transistor With Thin Al2O3 Gate Oxide Layer
Kodali, Vamsi High-speed, Sub-15 nm Feature Size Thermochemical Nanolithography
Koeck, Anton Ion Multi-Beam Nanopatterning for Photonic Applications: Experiments And Simulations, Including Study Of Precursor Gas Induced Etching And Deposition
Koh, Chawon Pushing EUV Lithography Development Beyond 22-Nm Half Pitch
Kohl, Paul Patterning Unity 4698P with Electron Beam Lithography to Create Submicron Air Cavities
Komami, Hideaki Design for EB(DFEB), a Novel Approach to EBDW Throughput Enhancement For Volume Production
Kometani, Reo Evaluations Of The Hopping Growth Characteristics On 3-D Nanostructure Fabrication Using Focused-Ion-Beam
Kondic, Lou Directed, Liquid Phase Assembly of Patterned and Thin Metallic Films by Pulsed Laser Dewetting
Kong, Lingshu Nanotrench Filling Via Planarization by Laser Assisted Direct Imprint (PLADI) and Air Cushion Press (ACP)
Kono, Naoya Evaluation of Nanoimprint Lithography as a Fabrication Process of Phase-shifted Diffraction Gratings of Distributed Feedback Laser Diodes
Korre, Hasan Low-Cost Interference Lithography
Kostic, I. Parallel Proximal Probe Arrays With Vertical Interconnections
Koutake, Masayoshi Fabrication Of Organic TFT Arrays On A Flexible Sheet By Microcontact Printing
Koutsos, Vasileios Properties of Single-Walled Carbon Nanotubes Integrated into Polyimide (SWNTs-Pi) Nanocomposites
Kratschmer, e. Hydrogen Silsesquioxane-Based Hybrid Electron Beam And Optical Lithography For High Density CMOS Prototyping
Kratschmer, Ernst Image Processing Using Shape Recognition for Alignment to Damaged Registration Marks in Electron Beam Lithography
Krchnavek, Robert R. Ultra-Thin F-DLC Coating for Nanoimprint Lithography Imprinters
Krecinic, F. Mapper: High Throughput Maskless Lithography
Kreindl, G. Fully Automated Hot Embossing Processes Utilizing High Resolution Working Stamps
Kreindl, Gerald Positive And Negative Counterpart Working Stamps For Soft UV-NIL Using One Master Design
Kretz, J. (Invited) Design Verification for sub 70 nm DRAM nodes via Metal Fix using E-Beam Direct Write
Kretz, Johannes Alpha Parameter, Resolution, Line Width Roughness and its Focus Dependencies in E-Beam Lithography
Krishna, Hare Directed, Liquid Phase Assembly of Patterned and Thin Metallic Films by Pulsed Laser Dewetting
Krishnan, Prasanna Venkatesh High Volume Manufacturing Based on NanoImprint Lithography on Rough and Non-Planar Substrates
Kristensen, Anders Origins of Stamp Bending in Nano-Imprint Lithography
Krug, Dave High Quality Secondary Templates for Nanoimprint Lithography from Cubic Silsesquioxanes (SSQs)
Kruger, Seth Can Acid Amplifiers Help Beat the RLS Trade-Off?
Kruit, P. Reversible Shape Changes Of The End Facet On Schottky Electron Emitters
Growing Carbon Nano Tubes With A Simple CVD Process On Predefined Patterns Of Pd Nano Particles That Where Positioned To Charge Patterns Created With A Scanning Electron Microscope
Kruit, Pieter On The Influence Of Sputtering In Determining The Resolution Of A Scanning Ion Microscope
Optimization of Focused Ion Beam Performance.
Focused Electron-Beam-Induced Deposition Of 3 Nm Dots In A Scanning Electron Microscope
Measurements On The Electron Optical Properties Of A Multi-Electron Beam Source in a SEM Chamber
Kubo, Kensuke Comparison of Demolding Forces for Various Si Molds and Mold with Extremely Smooth Side Wall
Kuehn, Seppe Fabrication of Ultra-Sensitive Silicon Cantilevers with Integrated, Overhanging Nickel Magnet Tips for Magnetic Resonance Force Microscopy
Kuekes, Phil Reconfigurable Logic Circuits in a Memristor-Transistor Hybrid Chip
Kuemmel, M. Positioning of Nanocrystals on Prestructured Substrates
Kuemmel, Marco Ion Multi-Beam Nanopatterning for Photonic Applications: Experiments And Simulations, Including Study Of Precursor Gas Induced Etching And Deposition
Kuittinen, Markku Fabrication of overhanging triangular gratings
Coating Technique For E-Beam Sensitive Polymers On Non-Flat Surfaces And Their Suitability For E-Beam Exposure
Kuleshova, Evgenia A. Fabrication Of Metal Nanowires By Ion Beam Irradiation Of Metal Oxide Through High Aspect Ratio Resist Mask
Kulkarni, Manish A Competition Between Vertical and Lateral Instabilities in Parallel Line-Space Gratings Fabricated by Nanoimprint Lithography
Kumar, Anil Ultra-Dense Gold Nanostructures Fabricated Using Hydrogen Silsesquioxane (HSQ) Resist And Applications For Surface-Enhanced Raman Spectroscopy (SERS)
Kumar, Navneet Direct Writing Of Nanoscale Hafnium Diboride Metallic Nanostructures On Hydrogen Passivated Silicon (100) Surfaces Using A UHV-STM
Kumemura, Momoko Embedded SiO2 nanosheets in PDMS using an alternative nanopatterning process.
Kuo, Cheng-Tzu Process And Properties Of The Carbon Nanotube Assisted LiCoO2 Thin Film Battery Electrode By The Pulsed Laser Deposition Method
Kuo, S. S. Extraordinary Transmittance In Three-Dimensional Metal Structure Prepared Through Reversal Imprinting Of Metal Films
Kutchoukov, V.G Measurements On The Electron Optical Properties Of A Multi-Electron Beam Source in a SEM Chamber
Kuznetsova, Yuliya Imaging Interferometric Nanoscopy to the Limits of Available Frequency Space
Kwon, Joonbum Novel Immunoisolative Microcontainer With Nanoslots Defined By Nano Imprint Lithography
Kwon, Seyeoul The Fabrication And Characterization Of Electrically Addressable Microfluidic Electrowetting Channels
Kye, Jongwook Line Edge Roughness Contribution from Mask to Wafer

L

La Fontaine, Bruno Pushing EUV Lithography Development Beyond 22-Nm Half Pitch
LaFontaine, Bruno Line Edge Roughness Contribution from Mask to Wafer
Lafyatis, Gregory Robust, Efficient Grating Couplers for Planar Optical Waveguides Using No-PAG SU-8 EBL
Lai, G. S. Extraordinary Transmittance In Three-Dimensional Metal Structure Prepared Through Reversal Imprinting Of Metal Films
Laine, Richard High Quality Secondary Templates for Nanoimprint Lithography from Cubic Silsesquioxanes (SSQs)
Laitinen, Mikko Step & Stamp Imprinting Microlithography Studies of Chemical and Topographical Signaling on Osteoblast Cells.
Lal, Amit Self-Powered Electron Lithography
Lamers, Edwin Large-area Silicon Masters for the Fabrication of Nanostructured Bioactive Surfaces utilizing Laser Interference Nanolithography and Dry Etching.
Landis, S. Substrate Patterning By Nanoimprint For Efficiency Enhancement Of Organic Light-Emitting Devices
Langner, Andreas Substrate Effects in EUV Lithography
Lassiter, Matthew G. Time Dependent Effects of Electron Beam Induced Etching (EBIE)
Latimier, Paul-Emile Parallel Imaging With Micromachined Self-Actuated Piezoresistive Proximal Probes
Laukkanen, Janne Fabrication of overhanging triangular gratings
Laur, Dustin A System For Massive, Rapid Material Removal For Device Analysis In Monolithic 3D Integrated Circuits
Lawrence, Melvin III S. Model Based OPC Runtime Saving With Multi-Segment Solver
Fast Resist Development Model for Photolithography Process Simulation
Modeling Strategies for the Incorporation and Correction of Proximity Effects in High-Resolution Electron-Beam Lithography
Lawson, Richard High Performance Negative Tone Molecular Resists Using Cationic Polymerization
Ultra-Thin Film Effects on Photoresist Imaging Performance
Quantitative Structure-Property Relations for the Prediction of the Glass Transition Temperature of Molecular Resists
Le Cunff, Yves Diffraction Grating Fabrication On 100nm Silicon Membrane For EUV Interferometry
Lee, Chih-Hsien Stitching Periodic Submicron Fringes By Utilizing Step-And-Align Interference Lithography (SAIL)
Lee, Dong Gun Mask Observation Result using Coherent EUV Scattering Microscopy at New SUBARU
Lee, Eung-sug Resistive Switching Memory Fabricated by UV-NIL Process
Lee, Eung-Sug Enhancement of Power Efficiency in Photonic Crystal Structured OLED
Lee, Heon Fabrication of TiO2 Nano Patterns using Direct Imprinting with TiO2 Sol
Direct Indium-Tin-Oxide Patterning Using Thermal Nanoimprint Lithography And ITO Nano-Particle Solution
Lee, J.-K. (Invited) Photolithographic Patterning for Organic Electronics
Lee, Jaejong Fabrication Of The Transparent Cylindrical Stamp With Sub 50nm Linewidth By Means Of The Step & Flash Nanoimprint Lithography
Lee, Jaesun Investigation Of Surface Roughness Of Poly(Methylmethacrylate) At Reduced Temperatures
Lee, James Design And Fabrication Of Electrokinetic Microfluidics For Transportation And Manipulation Of Biomolecules
Lee, Jeong-Bong Novel Immunoisolative Microcontainer With Nanoslots Defined By Nano Imprint Lithography
Lee, Ji-hye Resistive Switching Memory Fabricated by UV-NIL Process
Lee, Ji-Hye Enhancement of Power Efficiency in Photonic Crystal Structured OLED
Lee, Kim Advantages And Challenges In The Directed Block Copolymer Assembly Approach For Bit Patterned Media
Directed Block Copolymer Assembly to 4 Teradot/in Patterned Media: Chemical or Topographic Guiding?
Lee, L. James Fabrication and Characterization of Electroporation Devices with Micropore Arrays for Drug/Gene Delivery
Lee, Min-Hyun Noise Reduction Process for Atomic Image Projection Electron-Beam Lithography (AIPEL)
Lee, S.-Y. Spatial Dose Control for Fabrication of Saw-tooth Structures
Lee, S.H. Lithographically Defined Silicon Nanowires And Quantum Dots For Quantum Devices
Lee, Sang Hee Estimation of Resist Profile Using Layer-Based Exposure Modeling
Application of Neural Network to E-beam Dose Control for 3-D Proximity Effect Correction
Lee, Sangsul The Improvement Of Imaging Properties By Optimizing The Capping Structure In Extreme Ultraviolet Lithography
Lee, Seung-Beck Real-Time Detection Of Airborne Dust Particles Using Highly Sensitive Paddle Type Silicon Cantilevers
Lee, Shone Design for EB(DFEB), a Novel Approach to EBDW Throughput Enhancement For Volume Production
Lee, Soo-Young Estimation of Resist Profile Using Layer-Based Exposure Modeling
Step Width Adjustment in Fabrication of Staircase Structures
Application of Neural Network to E-beam Dose Control for 3-D Proximity Effect Correction
Lee, Soon-Won Enhancement of Power Efficiency in Photonic Crystal Structured OLED
Lee, Sunwoo The Improvement Of Imaging Properties By Optimizing The Capping Structure In Extreme Ultraviolet Lithography
Effect Of Electrical Characteristics By Surface Modification In Pentacene Field Effect Transistor With Thin Al2O3 Gate Oxide Layer
Lee, Tao-Hua Solid-State Dye-Sensitized Solar Cell Based on Semiconducting Nanomaterials
Lee, W. H. Extraordinary Transmittance In Three-Dimensional Metal Structure Prepared Through Reversal Imprinting Of Metal Films
Lee, Woo Kyung A General Strategy for Directly Writing Nanoscale Patterns of Nanoparticles and Polymer-Nanoparticle Composites.
Legagneux, Pierre Versatile Applications Of 80 Kv Electron Beam Lithography : From Nanotubes To Biochips
Lehoucq, Gaëlle Versatile Applications Of 80 Kv Electron Beam Lithography : From Nanotubes To Biochips
Lei, Anders Conducting FIB Milled Nanowires
Leinonen, Kari Coating Technique For E-Beam Sensitive Polymers On Non-Flat Surfaces And Their Suitability For E-Beam Exposure
Lemme, Max Precision Material Modification and Patterning with Helium Ions
Leon, Jorge Effects Of Ion Irradiation On Electrical Properties Of Carbon Nanotubes
Leonard, Francois Diameter-Dependent Electronic Transport Properties Of Au-Catalyst/Ge-Nanowire Schottky Diodes
Letzkus, Florian (Invited) Programmable Aperture Plate System with integrated CMOS electronics for projection maskless nanolithography and nanopatterning
Ion Multi-Beam Nanopatterning for Photonic Applications: Experiments And Simulations, Including Study Of Precursor Gas Induced Etching And Deposition
Leu, Ing-Chi Hierarchical Structure Formation Induced By Dewetting And Imprinting And Its Pattern Replication
Leu, Joshua Sub-40-nm patterning of Au on GaAs for Nanowire Catalysis
Levinson, Harry Line Edge Roughness Contribution from Mask to Wafer
Optimization Of Multilayer Absorptive Antireflection Coatings For Hyper-NA Optical Lithography
Levola, Tapani Fabrication of overhanging triangular gratings
Lewis, Mark The Interplay Between Kinetics And Thermodynamics During Development Of Calixarene, A Negative Resist
Li, Hailiang Fabrication Of Hard X-Ray Zone Plates With Very High Aspect-Ratio By X-Ray Lithography
Li, Huifeng Single-Walled Carbon Nanotube Alignment by Grating-Guided Electrostatic Self-assembly
Li, J.J. Removing Pt Contamination In FIB Assisted Deposition Of Electrodes For Nanodevices
Li, Jianliang Model Based OPC Runtime Saving With Multi-Segment Solver
Li, Juntao Electron Post-Irradiation Of Platinum Nanostructures Created By Electron-Beam-Induced Deposition From Pt(PF3)4
Li, Kai STM-induced Surface Modification with Reactive Ion Etch Pattern Transfer
Li, Mo (Invited) Light Force Silicon Devices
Li, Pengcheng Step Width Adjustment in Fabrication of Staircase Structures
Li, Rui Nanofabrication Of Deterministic Aperiodic Structures For Radiative Engineering In Nanoplasmonics
Li, Wen-Di Self-Limited Self-Perfection by Liquefaction for Sub-20nm Trench/Line Fabrication
Fabrication of Large-Area 100nm Checker Board Mold Using 3D Patterning with Multiple Nanoimprint Lithography and Self-Aligned Selective Etching
Negative Tone Quantum Lithography at 200-nm Pitch Nanoimprinted Blanks
Li, Xiaohai Model Based OPC Runtime Saving With Multi-Segment Solver
Li, Xu Fully Self-Aligned Process For Fabricating 100 Nm Gate Length Enhancement Mode GaAs MOSFETs
Li, Xuema Fabrication of Nanoscale Memristor Arrays with One Nanoimprint Lithography Step
Reconfigurable Logic Circuits in a Memristor-Transistor Hybrid Chip
Nanoimprint Lithography for Sub-10 nm Complex Patterns
Li, Yunlong Removing Pt Contamination In FIB Assisted Deposition Of Electrodes For Nanodevices
Li, Zhiwei Patterning Curved Surface Using Hybrid Nanoimprint-Soft Lithography Mold
Liang, Ted EUV Pattern Defect Detection Sensitivity Based On Aerial Image Linewidth Measurements
Gas Assisted Focused Electron Beam Induced Etching of Alumina
Liang, Xiaogan Electrostatic Exfoliation of Pre-Patterned Graphene Micro- and Nanostructures
Understanding The Mechanism Of Base Development Of Hydrogen Silsesquioxane
Negative Tone Quantum Lithography at 200-nm Pitch Nanoimprinted Blanks
Planarization of High-Aspect Ratio Nanostructures by Infiltration and Pressing of Organic and Inorganic Curable Materials
Liang, Yixing Self-Limited Self-Perfection by Liquefaction for Sub-20nm Trench/Line Fabrication
Liberale, Carlo 3D Self-Similar Chain Nanolens Fabrication And Their Use In Single Molecule Detection
Silver-Based SERS Substrate Fabrication Using Nanolithography And Site Selective Electroless Deposition
Liddle, J. Alexander Characterizing The Latent Image In Block Copolymer Resists With X-Ray Diffraction
3D Nanoparticle Trajectories by Orthogonal Tracking Microscopy
Liddle, J.A. A Dry-on, Dry-off, Long Wavelength Photoresist for NanoPlasmonic Field Metrology and Lithography
Lim, Kipil Noise Reduction Process for Atomic Image Projection Electron-Beam Lithography (AIPEL)
Lin, J.H. Mapper: High Throughput Maskless Lithography
Lin, S.J. Mapper: High Throughput Maskless Lithography
Lin, Shy-Jay A New Parametric Proximity Effect Model Calibration Method for Improving Accuracy of Post-lithography Patterning Prediction in Sub-32-nm Half-Pitch Low-Voltage Electron Beam Direct-Write Lithography
Lindblom, Magnus 15-nm Nickel Zone Plates Achieved Using Cold-Developed Electron-Beam Patterned ZEP7000
Lindner, Friedrich Positive And Negative Counterpart Working Stamps For Soft UV-NIL Using One Master Design
Lindner, P. Fully Automated Hot Embossing Processes Utilizing High Resolution Working Stamps
Ling, Tao High Q-Factor Small Size Polymer Micro-Ring Resonators For High-Frequency Ultrasound Detection
Linsmeier, Cecilia Eriksson Brain Tissue Response To Nanowires Implanted Into The Rat Striatum.
Litvinov, D. Near-Neighbor Averaging Technique: A Method For Controlling Size Uniformity In Multigenerational Masks
Litvinov, J. Suspended Gold Particles With Magnetic Cores For In Vitro Diagnostics
Liu, Chang Frontiers of Tip-Based Nanofabrication: From DPN and Beyond
Liu, Chi-Chun Pathways For Implementation Of Block Copolymer Lithography In Nanomanufacturing
Self-Aligned Double Patterning By Directed Self-Assembly Of Block Copolymer
Liu, Chun-Hung A New Parametric Proximity Effect Model Calibration Method for Improving Accuracy of Post-lithography Patterning Prediction in Sub-32-nm Half-Pitch Low-Voltage Electron Beam Direct-Write Lithography
Liu, Guoliang Pathways For Implementation Of Block Copolymer Lithography In Nanomanufacturing
Modification of the Wetting Behavior of PS Brush Layer by Inserting PMMA Molecules
Liu, Kun Off-Axis Emission Properties for the Schottky Electron Source
Liu, Ming Fabrication Of Hard X-Ray Zone Plates With Very High Aspect-Ratio By X-Ray Lithography
X-Ray Transmission Gratings With Enhanced Second Orders And Deflected Odd Orders
Liu, Ran Combined Near-Field Lithography And Reversal Imprint For High Resolution Patterning In Wafer Scale
Liu, Tao Integrated Strain Sensing on Flexible Waveguides with Bragg Gratings Fabricated by Focus Ion Beam
Liu, Wenchao Direct Write Reliefs in Excess of One Micrometer on Chalcogenide Thin-Films Using Electron Beams
Livengood, Richard Sub-Surface Damage from Helium Ion as a Function of Dose and Beam Energy
Llobet, Jordi Fabrication of CMOS Integrated Nanomechanical Devices By Ion Beam Patterning
Lo, An-Ya Process And Properties Of The Carbon Nanotube Assisted LiCoO2 Thin Film Battery Electrode By The Pulsed Laser Deposition Method
Loeschner, H. Positioning of Nanocrystals on Prestructured Substrates
Loeschner, Hans (Invited) Programmable Aperture Plate System with integrated CMOS electronics for projection maskless nanolithography and nanopatterning
(Invited) Charged Particle Nanopatterning (CHARPAN)
Ion Multi-Beam Nanopatterning for Photonic Applications: Experiments And Simulations, Including Study Of Precursor Gas Induced Etching And Deposition
Lombard, Christian Double-Anchoring Fluorinated Molecules For Anti-Adhesion Mold Treatment in UV Nanoimprint Lithography
Longo, Paulo Fully Self-Aligned Process For Fabricating 100 Nm Gate Length Enhancement Mode GaAs MOSFETs
Lopez, Gabriel Tailoring Anisotropic Wetting Properties on One-Dimensional Nanopatterned Surfaces
Lou, Yi Enhanced Transmission of Nanodots Metallic Arrays Fabricated by a Low Cost Directed Nanosphere Lithography (DNL)
Lozano, Paulo Implementation and Characterization of an Iodine Field Emission Ion Source for FIB Applications
Lu, Bing-Rui Combined Near-Field Lithography And Reversal Imprint For High Resolution Patterning In Wafer Scale
Lu, Ming Evaluation Of The Optical Performance Of X-Ray Zone Plates Made With Overlay Nanofabrication Technique
Lu, Minghui Patterning Curved Surface Using Hybrid Nanoimprint-Soft Lithography Mold
Lu, Wu A Simple Technique for Beam Focusing in Electron Beam Lithography on Optically Transparent Substrates
Fabrication and Characterization of Electroporation Devices with Micropore Arrays for Drug/Gene Delivery
Design And Fabrication Of Electrokinetic Microfluidics For Transportation And Manipulation Of Biomolecules
Lu, Yuerui Self-Powered Electron Lithography
Lucot, Dalien The Promises Of Graphene Structures Nano-Patterned Using High Resolution Focused Ion Beams
Luebke, Kevin Fabrication Of High Aspect Ratio Polymer Nanopillars By Nanoimprint Induced Elongation For Guided Cell Growth
Lugg, Robert Model Based OPC Runtime Saving With Multi-Segment Solver
Lukasczyk, Thomas Selective Fabrication of Pure Titanium Oxide Nanocrystals via Electron-Beam Induced Deposition in Ultra-High Vacuum
Lithographic Fabrication Of Clean Iron Nanostructures By Electron-Beam Induced Deposition In Ultra-High Vacuum
The Influence Of Lithographic Parameters On EBID
Lunardi, Leda Enhanced Transmission of Nanodots Metallic Arrays Fabricated by a Low Cost Directed Nanosphere Lithography (DNL)
Luo, Qiang Removing Pt Contamination In FIB Assisted Deposition Of Electrodes For Nanodevices
Luo, Tao A Multiple-Electron-Beam Imaging Technique For Surface Inspection
Luo, Xiangang Large Area Negative Refractive Index Structures at Optical Frequencies Using Nanoimprint Lithography
Luo, Xiaoquan Nanotrench Filling Via Planarization by Laser Assisted Direct Imprint (PLADI) and Air Cushion Press (ACP)
Luo, Yi Fabrication of poly(3 -hexylthiophene) (P3HT) in-plane gate transistors by low temperature thermal nanoimprint lithography
Luttge, Regina Large-area Silicon Masters for the Fabrication of Nanostructured Bioactive Surfaces utilizing Laser Interference Nanolithography and Dry Etching.
Lutz, Theresa Hierarchical Nano-Structuring By Combining Ion Induced Self-Organization And Lithographic Pre-Patterning
Lyding, Joseph Atomic Precision Lithography on Si
Direct Writing Of Nanoscale Hafnium Diboride Metallic Nanostructures On Hydrogen Passivated Silicon (100) Surfaces Using A UHV-STM

M

Ma, Jie Fabrication Of Hard X-Ray Zone Plates With Very High Aspect-Ratio By X-Ray Lithography
X-Ray Transmission Gratings With Enhanced Second Orders And Deflected Odd Orders
Ma, Yuansheng Line Edge Roughness Contribution from Mask to Wafer
Maboudian, Roya Epitaxial Growth of Graphene on High Topology SiC Structures Patterned by Focused Ion Beam
Machida, Yasuhide Design for EB(DFEB), a Novel Approach to EBDW Throughput Enhancement For Volume Production
Machin, Mikhail Automatic Measurement Of Electron Beam Size By BEAMETR Technique Using 20nm Test Patterns
Macintyre, Douglas S. Fully Self-Aligned Process For Fabricating 100 Nm Gate Length Enhancement Mode GaAs MOSFETs
Macintyre, Douglas Resist Residues and Transistor Gate Fabrication
Mack, Chris Stochastic Approach to Modeling Photoresist Development
Madec, Marie Fabrication of poly(3 -hexylthiophene) (P3HT) in-plane gate transistors by low temperature thermal nanoimprint lithography
Madsen, Kristen Høeg Conducting FIB Milled Nanowires
Makarova, Olga Fabrication Of High Density, High-Aspect-Ratio Polyimide Nanofilters
Maldonado, Juan R. Electron Reflection from Metal Targets
Malik, Sanjay Defectivity Solutions for Topcoat-Free Photoresists at the 22nm Node
Malliaras, George (Invited) Photolithographic Patterning for Organic Electronics
Manabe, Tetsuro Fabrication Of Nano Dots Array Mold Using Inorganic Electron Beam Resist And Post Exposure Bake
Manakli, Serdar Study On Writing Strategy For Multiple Electron-Beam Lithography At 5keV
Manners, Ian Self-Assembled Nanostructures With Ring Arrays And Square Pattern From Organometallic-Containing Triblock Terpolymers
Marbach, Hubertus Selective Fabrication of Pure Titanium Oxide Nanocrystals via Electron-Beam Induced Deposition in Ultra-High Vacuum
Lithographic Fabrication Of Clean Iron Nanostructures By Electron-Beam Induced Deposition In Ultra-High Vacuum
The Influence Of Lithographic Parameters On EBID
Marconi, Mario Self-Imaging Of Complex Structures With A Table-Top EUV Laser
Marcus, Charles Precision Material Modification and Patterning with Helium Ions
Marder, Seth High-speed, Sub-15 nm Feature Size Thermochemical Nanolithography
Markosian, Ara Design Specific Variation In Via/Contact Pattern Transfer - Full Chip Analysis.
Markwitz, A. Conductive Atomic Force Microscopy Study Of Self-Assembled Silicon Nanostructures
Marohn, John A. Fabrication of Ultra-Sensitive Silicon Cantilevers with Integrated, Overhanging Nickel Magnet Tips for Magnetic Resonance Force Microscopy
Marrows, Christopher Fabrication Of Nanomagnetic Spin Ice Arrays of CoFeB with Controlled Resist Profile For Lift Off Of Sputtered Films
Martin, Pamela Direct Writing Of Nanoscale Hafnium Diboride Metallic Nanostructures On Hydrogen Passivated Silicon (100) Surfaces Using A UHV-STM
Maruyama, Takashi Design for EB(DFEB), a Novel Approach to EBDW Throughput Enhancement For Volume Production
Mary, A. Substrate Patterning By Nanoimprint For Efficiency Enhancement Of Organic Light-Emitting Devices
Mastropaolo, Enrico Electrothermal Actuation Of Silicon Carbide Ring Resonators
Mastue, Masato Impact Of Resist Shrinkages On De-Molding Process In Thermal and UV NIL
Mathew, Sinu Direct Patterning and Imaging of Graphene Structures with a Helium Ion Microscope
Matias, Ignacio R. Application of C60 to Improve the SPLEBL Reference Signal
Matsue, Masato Impact of Exposure Doses on De-Molding Process in UV-NIL
Matsui, Shinji Effect of UV Irradiation on Sol-Gel ITO Nanopatterns Replicated by Room-Temperature Nanoimprint
Characteristics of Antisticking Layer Formed by Plasma Irradiation using Mixture Gas with CHF3 and O2 for Nanoimprint
Effect of UV Irradiation on Sol-Gel ITO Nanopatterns Replicated by Room-Temperature Nanoimprint
Mayaterials High Quality Secondary Templates for Nanoimprint Lithography from Cubic Silsesquioxanes (SSQs)
Mayer , Andre Recovery Prevention Via Pressure Control in T-NIL
Mayer, A. Recovery Prevention Via Pressure Control in T-NIL
Mayer, Andre Preparation Of Diamond-Like Channels In SU-8 For Optical Control Of The Filling State
Self-Assembly For The Definition Of Hierarchical Patterns In Thermal Imprint
Mayer, Joachim 1nm Thin Carbon Nanosheets With Tunable Conductivity And Stiffness
McCarthy, Brendan Sub-Wavelength Diffraction Metrology For Inline And Critical Dimension Monitoring Of The Nanoimprint Lithography Process
McClelland, Andrew Operation And Performance The CNSE Vistec VB300 Electron Beam Lithography System
McClelland, Jabez 3D Nanoparticle Trajectories by Orthogonal Tracking Microscopy
McCulloch, Michael (Invited) Lithography With Nanometer Precision On Monster Substrates
McKinney, Wayne Development of Pseudo-random Binary Gratings and Arrays for Calibration of Surface Profile Metrology Tools
McMahon, Matthew 3D Nanoparticle Trajectories by Orthogonal Tracking Microscopy
McPhail, Betsy Image Processing Using Shape Recognition for Alignment to Damaged Registration Marks in Electron Beam Lithography
McVey, Shawn Sub-Surface Damage from Helium Ion as a Function of Dose and Beam Energy
Understanding Imaging Modes In The Helium Ion Microscope
Mecarini, Federico 3D Self-Similar Chain Nanolens Fabrication And Their Use In Single Molecule Detection
Silver-Based SERS Substrate Fabrication Using Nanolithography And Site Selective Electroless Deposition
Mecerreyes, D. Surface Plasmons Coupled To Excitons For Photoluminescence Enhancement In Printed 2D Polymer Photonic Structures
Mekaru, Harutaka 2D to 3D Imprinting on Surface of Teflon PFA Inlet Tube
Thermal Imprinting on Quartz Fiber using Glass-Like Carbon Mold
Melechko, Anatoli The Fabrication And Characterization Of Electrically Addressable Microfluidic Electrowetting Channels
Directed, Liquid Phase Assembly of Patterned and Thin Metallic Films by Pulsed Laser Dewetting
Mello, Russ Beam Induced Deposition Of Metal Using A Helium Ion Microscope
Melngailis, John Gallium Nitride Nanowire Devices-Assembly, Fabrication And Applications
Mendels, David A.  Origins of Stamp Bending in Nano-Imprint Lithography
Meng, Xiao Fan Nanofabrication and Optical Characterization of Optical Transformer with 2-D Tapered Tip
Menon, Rajesh Dual Wavelength Interference Lithography
Menoni, C. Self-Imaging Of Complex Structures With A Table-Top EUV Laser
Merino, Santos Fabrication of CMOS Integrated Nanomechanical Devices By Ion Beam Patterning
Mesler, Brooke L. Magnetic Soft X-ray Imaging of Non-linear Vortex Core Dynamics
Mestres, Narcis Nanostructuring Of Graphene Layers By AFM Local Anodic Oxidation
Mialichi, José Low Roughness Microdisk Resonators Fabricated By Focused Ion Beam (FIB)
Miao, Jianmin Ferrofluid Lithography
Miao, Jianwei Iterative Phase Recovery Using Wavelet Domain Constraints
Michallon, Philippe Diffraction Grating Fabrication On 100nm Silicon Membrane For EUV Interferometry
Miller, Danielle Fabrication Of High Aspect Ratio Polymer Nanopillars By Nanoimprint Induced Elongation For Guided Cell Growth
Miller, R. Fully Automated Hot Embossing Processes Utilizing High Resolution Working Stamps
Miller, Ron Positive And Negative Counterpart Working Stamps For Soft UV-NIL Using One Master Design
Miller, Suzanne Electrodeposition of Patterned Metal and Semiconductor Microwires on Ultrananocrystaline Diamond Electrodes
Miller, Tom A System For Massive, Rapid Material Removal For Device Analysis In Monolithic 3D Integrated Circuits
Mimura, Ryosuke Molecular Dynamics Study on Bending Deformation of Carbon Nanotubes by Electron Beam Irradiation
Ming, Bin Helium-ion Lithography with Hydrogen Silsesquioxane Resist
Minor, Andrew M. Nanoscale Laser Processing Using Near-Field Optics Combined With Electron Microscopy
Minoux, Eric Versatile Applications Of 80 Kv Electron Beam Lithography : From Nanotubes To Biochips
Mirkin, Chad Frontiers of Tip-Based Nanofabrication: From DPN and Beyond
Multiplexing and Transport Phenomena in Dip-Pen Nanolithography
Misra, Raj Laser Print Patterning of Planar Spiral Inductors
Miyahara, Yoichi Networks of Nanomagnets Fabricated via Stenciling and Magnetron Sputtering to Investigate Nanoscale Magnetic Switching
Miyakawa, Ryan Iterative Procedure For In-Situ Optical Testing Of EUV Exposure Tools With An Incoherent Source
Using Aberration Test Patterns To Optimize The Performance Of EUV Aerial Imaging Microscopes
Miyamoto, Iwao Two Stage Ion Beam Figuring And Smoothing Method For Shape Error Correction Of ULE®Substrates Of EUVL Multilayer Mirrors - Evaluation Of Surface Roughness
Low Energy Ar+ Ion Beam Machining Of Si Thin Layer Deposited On A Zerodur® Substrate For EUVL Optics
Study On Ripple Or Nano Pattern Formation On Si By Low Energy Ar Ion Beam And Smoothing Of Rippled Or Nano Patterned Surface
Ion Beam Sharpening Of Diamond Tools Having Small Apex Angle Without Facet And Ripple Formation
Miyazawa, Yousuke Graphitization at Interface between Amorphous Carbon and Liquid Gallium for Fabricating Large Area Graphene Sheets
Mochi, Iacopo Using Aberration Test Patterns To Optimize The Performance Of EUV Aerial Imaging Microscopes
EUV Pattern Defect Detection Sensitivity Based On Aerial Image Linewidth Measurements
Mogi, Hiroshi Fabrication Of Organic TFT Arrays On A Flexible Sheet By Microcontact Printing
Mohammad, Noor Gallium Nitride Nanowire Devices-Assembly, Fabrication And Applications
Mohammadi-Gheidari, Ali Measurements On The Electron Optical Properties Of A Multi-Electron Beam Source in a SEM Chamber
Moldovan, Nicolaie Nanofabrication of Sharp Diamond Tips by E-beam Lithography and ICP-RIE
Mollenbeck, S. Recovery Prevention Via Pressure Control in T-NIL
Möllenbeck, Saskia Preparation Of Diamond-Like Channels In SU-8 For Optical Control Of The Filling State
Recovery Prevention Via Pressure Control in T-NIL
Self-Assembly For The Definition Of Hierarchical Patterns In Thermal Imprint
Mondol, Mark Helium-ion Lithography with Hydrogen Silsesquioxane Resist
Montelius, Lars (Invited) Guiding, Rectifying and Sorting of Regenerating Axons by Free Standing Nanowire Patterns: A highway for nerve fibers.
Activation of Macrophages by High Aspect Ratio Nanostructures.
Subcellular Force Dynamics of Outgrowing Axons Measured by Free-standing Nanowires.
Nanowire Templated Nanotubes for Cell Injection
Brain Tissue Response To Nanowires Implanted Into The Rat Striatum.
Montgomery, Warren Pushing EUV Lithography Development Beyond 22-Nm Half Pitch
Moon, Euclid E. Reproducible Periodic Patterns Using Coherent Diffraction Lithography And Interferometric Spatial-Phase Imaging
Moon, Euclid Application of C60 to Improve the SPLEBL Reference Signal
Moore, Thomas Optical Imaging and Processing in a SEM/FIB: The Three Beam System
Morecroft, D. Sub-10-nm Pattern Transfer and Nanoimprint Molds.
Morecroft, Deborah Lift-off of Sub-15-nm Hydrogen Silsesquioxane (HSQ) Structures
Nanoimprint Lithography for Sub-10 nm Complex Patterns
Morgan, Jason Fabrication Of Nanomagnetic Spin Ice Arrays of CoFeB with Controlled Resist Profile For Lift Off Of Sputtered Films
Morihara, Daisuke Numerical Study on Bubble Trapping in UV Nanoimprint Lithography
Morss, Andrew Robust, Efficient Grating Couplers for Planar Optical Waveguides Using No-PAG SU-8 EBL
Moshkalev, Stanislav Formation Of High-Aspect Ratio Silicon Nanopillars Using Deep Reactive Ion Etching
Effects Of Ion Irradiation On Electrical Properties Of Carbon Nanotubes
Motayed, Abhishek Gallium Nitride Nanowire Devices-Assembly, Fabrication And Applications
Mühlberger, Michael Fabrication Of Large Area Negative Index Structures By Nanoimprint Lithography
Mulders, Hans Electron Post-Irradiation Of Platinum Nanostructures Created By Electron-Beam-Induced Deposition From Pt(PF3)4
Murali, Raghu Impact of Edge Roughness on Graphene Nanoribbons
Murphy, Patrick Self-Limited Self-Perfection by Liquefaction for Sub-20nm Trench/Line Fabrication
Muth, John Enhanced Transmission of Nanodots Metallic Arrays Fabricated by a Low Cost Directed Nanosphere Lithography (DNL)

N

Nagae, Mitsutaka Fabrication Of Organic TFT Arrays On A Flexible Sheet By Microcontact Printing
Nagaoka, Yoshinori Numerical Study on Bubble Trapping in UV Nanoimprint Lithography
Nagase, Takashi Ion Beam Sharpening Of Diamond Tools Having Small Apex Angle Without Facet And Ripple Formation
Nagato, Keisuke Rapid Thermal Imprint Of High-Aspect-Ratio Nanostructures
Nakamatsu, Ken-ichiro Effect of UV Irradiation on Sol-Gel ITO Nanopatterns Replicated by Room-Temperature Nanoimprint
Characteristics of Antisticking Layer Formed by Plasma Irradiation using Mixture Gas with CHF3 and O2 for Nanoimprint
Effect of UV Irradiation on Sol-Gel ITO Nanopatterns Replicated by Room-Temperature Nanoimprint
Nakamura, Yuya Ion Beam Sharpening Of Diamond Tools Having Small Apex Angle Without Facet And Ripple Formation
Nakao, Masayuki Rapid Thermal Imprint Of High-Aspect-Ratio Nanostructures
Nam, Dong Seok Pattern Placement Error Due To Resist Charging Effect At 50kv E-Beam Writer
Nam, Sung-Wook Understanding Of Hydrogen Silsesquioxane Resist For Sub-5-Nm Half-Pitch Electron-Beam Lithography
Contrast Enhancement Behavior of Hydrogen Silsesquioxane (HSQ) in a Salty Developer
Namboodiri, Pradeep STM-induced Surface Modification with Reactive Ion Etch Pattern Transfer
Nasrullah, A. Suspended Gold Particles With Magnetic Cores For In Vitro Diagnostics
Natarajan, S. Characterization, Simulation, and Fabrication of a CNT Based Micro Mass Spectrometer
Naulleau, Patrick Pushing EUV Lithography Development Beyond 22-Nm Half Pitch
Iterative Procedure For In-Situ Optical Testing Of EUV Exposure Tools With An Incoherent Source
Using Aberration Test Patterns To Optimize The Performance Of EUV Aerial Imaging Microscopes
Comparing The RLS Tradeoffs At EUV, E-Beam And 193 Nm For Common Resist Platforms
Nealey, Paul F. Directing Block Copolymers Assembly within Patterned Media Specifications
Nealey, Paul Pathways For Implementation Of Block Copolymer Lithography In Nanomanufacturing
Control of the Critical Dimensions and Line Edge Roughness with Pre-organized Block Copolymer Pixelated Photoresists
Modification of the Wetting Behavior of PS Brush Layer by Inserting PMMA Molecules
Self-Aligned Double Patterning By Directed Self-Assembly Of Block Copolymer
In-Situ Synthesis And Direct Immobilization Of DNA Oligonucleotides On Pre-Patterned HSQ Nanostructures
Negrete, Omar In-Situ Synthesis And Direct Immobilization Of DNA Oligonucleotides On Pre-Patterned HSQ Nanostructures
Negro, Luca Dal Nanofabrication Of Deterministic Aperiodic Structures For Radiative Engineering In Nanoplasmonics
Nemat-Gorgani, Mohsen Detection of Biomarkers Using Bio-Functionalized Microfluidic Channels
Neumann , Alexander Imaging Interferometric Nanoscopy to the Limits of Available Frequency Space
Neumann, Martin Line Edge Roughness Reduction Studies Employing Grazing Incidence Ion Beam
Neureuther, Andrew Comparison Of Fast 3D Simulation And Actinic Inspection For EUV Masks With Buried Defects And Absorber Features
Neuser, Sam Stencilled Conducting Bismuth Nanowires
Newbury, J. Hydrogen Silsesquioxane-Based Hybrid Electron Beam And Optical Lithography For High Density CMOS Prototyping
Newcomb, S. Surface Plasmons Coupled To Excitons For Photoluminescence Enhancement In Printed 2D Polymer Photonic Structures
Ng, Hoi-Tou A New Parametric Proximity Effect Model Calibration Method for Improving Accuracy of Post-lithography Patterning Prediction in Sub-32-nm Half-Pitch Low-Voltage Electron Beam Direct-Write Lithography
Ng, Vivian Fabrication Of Arrays Of Magnetic Nanostructures Using Nanosphere Lithography And Ion Beam Etching
Magnetic Properties Of Diamond Shaped Elements And Chains Fabricated By Nanosphere Lithography
Nguyen, Cattien Carbon Nanotube Field Emitters for Micro-Column Scanning Electron Microscopy and Nanolithography
Nguyen, Hoa Low Dose Patterning of HSQ For Use As A Silicon Etch Mask
Nichol, Anthony Stretching And Alignment Of Compliant Nanomembranes By Embedded Nanomagnets
Nichols, Barbara Electron Beam Induced Deposition of Cobalt for Use as Single-Wall Carbon Nanotube Growth Catalyst
Niemann, Darrell Carbon Nanotube Field Emitters for Micro-Column Scanning Electron Microscopy and Nanolithography
Nikolov, N. Parallel Proximal Probe Arrays With Vertical Interconnections
Nikolov, Nikolai Parallel Imaging With Micromachined Self-Actuated Piezoresistive Proximal Probes
Nilsson, Nicklas High Volume Manufacturing Based on NanoImprint Lithography on Rough and Non-Planar Substrates
Nishimura, Motoyuki Study On Ripple Or Nano Pattern Formation On Si By Low Energy Ar Ion Beam And Smoothing Of Rippled Or Nano Patterned Surface
Nishiyama, Iwao Characterization of EUV-Deposited Carboneous Contamination
Nishiyama, Yasushi Characterization of EUV-Deposited Carboneous Contamination
Niu, Jiebin X-Ray Transmission Gratings With Enhanced Second Orders And Deflected Odd Orders
Noga, David High Performance Negative Tone Molecular Resists Using Cationic Polymerization
Ultra-Thin Film Effects on Photoresist Imaging Performance
Nottbohm, Christoph T. 1nm Thin Carbon Nanosheets With Tunable Conductivity And Stiffness
Notte, John Sub-Surface Damage from Helium Ion as a Function of Dose and Beam Energy
Understanding Imaging Modes In The Helium Ion Microscope
High Spatial Resolution Sample Analysis Using A Helium Ion Microscope
Novembre, Anthony High Density Submicron Features Using A Laser Pattern Generator And Double Patterning
Nuckolls, Colin Highly Robust Single-Wall Carbon Nanotube-Molecule Junction Device Fabrication Process
Numata, A. Two Stage Ion Beam Figuring And Smoothing Method For Shape Error Correction Of ULE®Substrates Of EUVL Multilayer Mirrors - Evaluation Of Surface Roughness
Low Energy Ar+ Ion Beam Machining Of Si Thin Layer Deposited On A Zerodur® Substrate For EUVL Optics

O

O'Reilly, Thomas Dual Wavelength Interference Lithography
Ober, Christopher (Invited) Photolithographic Patterning for Organic Electronics
Sub-millisecond Post Exposure Bake of Chemically Amplified Resists by CO2 Laser Spike Annealing
193nm Photoresist Hybrids for Sub-32nm Resolution, LER, and Sensitivity Requirements
Ocko, Ben GISAXS A New Metrology To Characterize Nano-Patterned Samples
Ocola, Leonidas E. Large Area Direct-Write Focused Ion-Beam Lithography With A Dual-Beam Microscope
Ocola, Leonidas Ernesto Geometry Assisted PEC For Electron Beam Direct Write Nanolithography
Ocola, Leonidas Shot Noise In Light Ion And Neutral Particle Lithography
A Point Source Of Energetic Helium Atoms For Proximity Lithography
Odom, Teri (Invited) Designing Hierarchical and Quasi-3D Plasmonic Lattices
Oizumi, Hiroaki Characterization of EUV-Deposited Carboneous Contamination
Okada, Makoto Effect of UV Irradiation on Sol-Gel ITO Nanopatterns Replicated by Room-Temperature Nanoimprint
Characteristics of Antisticking Layer Formed by Plasma Irradiation using Mixture Gas with CHF3 and O2 for Nanoimprint
Effect of UV Irradiation on Sol-Gel ITO Nanopatterns Replicated by Room-Temperature Nanoimprint
Okada, Takashi High-speed, Sub-15 nm Feature Size Thermochemical Nanolithography
Okawa, Yuji (MNC 2008 Best Paper) Wiring Single Metal-Phthalocyanine Molecules With Conjugeted Polymers
Okuyama, Chieko Thermal Imprinting on Quartz Fiber using Glass-Like Carbon Mold
Olynick, Deirdre Imprint And Pattern Transfer Of Silica Sol-Gel Resist: A Powerful Nanofabrication Approach
Electrostatic Exfoliation of Pre-Patterned Graphene Micro- and Nanostructures
Understanding The Mechanism Of Base Development Of Hydrogen Silsesquioxane
Planarization of High-Aspect Ratio Nanostructures by Infiltration and Pressing of Organic and Inorganic Curable Materials
The Interplay Between Kinetics And Thermodynamics During Development Of Calixarene, A Negative Resist
Ong, Jeremy C. Fabrication of Ultra-Sensitive Silicon Cantilevers with Integrated, Overhanging Nickel Magnet Tips for Magnetic Resonance Force Microscopy
Ong, Wei-Yi Application of the Helium Ion Microscope to Biological Sciences
Onses, M. Serdar In-Situ Synthesis And Direct Immobilization Of DNA Oligonucleotides On Pre-Patterned HSQ Nanostructures
Orlov, Alexei O. Effects Of Visible Light Illumination On The Conductance Of Al/Alox Single-Electron Transistors
Osterberg, Mans A Multiple-Electron-Beam Imaging Technique For Surface Inspection
Ott, J. Hydrogen Silsesquioxane-Based Hybrid Electron Beam And Optical Lithography For High Density CMOS Prototyping
Ou, Neil Diameter-Dependent Extraordinary Optical Transmission Of Dielectric Hole-Array Membrane
Ouerghi, Abdelkarim The Promises Of Graphene Structures Nano-Patterned Using High Resolution Focused Ion Beams
Özyilmaz, Barbaros Direct Patterning and Imaging of Graphene Structures with a Helium Ion Microscope

P

Pahlovy, S. Ahmed Two Stage Ion Beam Figuring And Smoothing Method For Shape Error Correction Of ULE®Substrates Of EUVL Multilayer Mirrors - Evaluation Of Surface Roughness
Low Energy Ar+ Ion Beam Machining Of Si Thin Layer Deposited On A Zerodur® Substrate For EUVL Optics
Ion Beam Sharpening Of Diamond Tools Having Small Apex Angle Without Facet And Ripple Formation
Pahlovy, Shahjada A. Study On Ripple Or Nano Pattern Formation On Si By Low Energy Ar Ion Beam And Smoothing Of Rippled Or Nano Patterned Surface
Pai, I-Ting Hierarchical Structure Formation Induced By Dewetting And Imprinting And Its Pattern Replication
Pain, Laurent Alpha Parameter, Resolution, Line Width Roughness and its Focus Dependencies in E-Beam Lithography
Study On Writing Strategy For Multiple Electron-Beam Lithography At 5keV
Päivänranta, Birgit Coating Technique For E-Beam Sensitive Polymers On Non-Flat Surfaces And Their Suitability For E-Beam Exposure
Palm, Roland High Volume Manufacturing Based on NanoImprint Lithography on Rough and Non-Planar Substrates
Panepucci, Roberto Integrated Strain Sensing on Flexible Waveguides with Bragg Gratings Fabricated by Focus Ion Beam
Panniello, A. Surface Plasmons Coupled To Excitons For Photoluminescence Enhancement In Printed 2D Polymer Photonic Structures
Park, Bonghyun Real-Time Detection Of Airborne Dust Particles Using Highly Sensitive Paddle Type Silicon Cantilevers
Park, Chulmin Noise Reduction Process for Atomic Image Projection Electron-Beam Lithography (AIPEL)
Park, Hui Joon High-density Sub-20 nm Plasmonic Nanostructures Fabricated by Nanoimprint Lithography using a Block Copolymer Template
Park, Hyunsoo Lateral Flow Particle Filtration and Separation with Multilayer Microfluidic Channels
Park, In-Sung The Improvement Of Imaging Properties By Optimizing The Capping Structure In Extreme Ultraviolet Lithography
Effect Of Electrical Characteristics By Surface Modification In Pentacene Field Effect Transistor With Thin Al2O3 Gate Oxide Layer
Park, Jungwon The Fabrication And Characterization Of Electrically Addressable Microfluidic Electrowetting Channels
Park, Sooyeon Fabrication Of The Transparent Cylindrical Stamp With Sub 50nm Linewidth By Means Of The Step & Flash Nanoimprint Lithography
Parker, Charles Characterization, Simulation, and Fabrication of a CNT Based Micro Mass Spectrometer
Patel, Amil A. Reproducible Periodic Patterns Using Coherent Diffraction Lithography And Interferometric Spatial-Phase Imaging
Patel, J. Hydrogen Silsesquioxane-Based Hybrid Electron Beam And Optical Lithography For High Density CMOS Prototyping
Pauliac-Vaujour, Sébastien High Aspect Ratio Fabrication Process For 3D Nanowire Gate-All-Around (GAA) And Double-Gate Transistors
Pauliac-Vaujour, Sebastien Ebeam Lithography for Platform of Multiple SET Architectures
Pease, Fabian Non-iterative Reconstruction for Detecting Buried Deviant Structures in Integrated Circuits using Coherent Hard X-ray Diffraction
Detection of Biomarkers Using Bio-Functionalized Microfluidic Channels
Fabrication of Nanoneedle Array for High Throughput Biomarker Detection in a Lab-On-a-Chip Device
Electron Reflection from Metal Targets
Iterative Phase Recovery Using Wavelet Domain Constraints
Real-Time DNA Sequencing via Detection of Polymerization with Silicon based Pico-calorimeter chips
Peckerar, Martin Thin Film Antenna Coupled Conductor-Barrier-Conductor (CBC) Diode Implementation Using E-Beam Proximity Correction for Light Detection
Fabrication of Flexible Ultracapacitor/Galvanic Cell Hybrids Using Advanced Nanoparticle Coating Technology
Pedersen, Rasmus Origins of Stamp Bending in Nano-Imprint Lithography
Pedrau, Richard Parallel Imaging With Micromachined Self-Actuated Piezoresistive Proximal Probes
Peijster, J.J.M Mapper: High Throughput Maskless Lithography
Pelissier, Bernard Double-Anchoring Fluorinated Molecules For Anti-Adhesion Mold Treatment in UV Nanoimprint Lithography
Peltonen, Antti Novel Nanostructure Fabrication Method Combining Silicon Doping with Focused Ion Beam and Cryogenic Deep Reactive Ion Etching
Pépin-Donat, Brigitte Double-Anchoring Fluorinated Molecules For Anti-Adhesion Mold Treatment in UV Nanoimprint Lithography
Perez-Murano, Francesc Nanostructuring Of Graphene Layers By AFM Local Anodic Oxidation
Perks, Richard Fabrication Of Templates For Large Area Patterning And The Replication Of "Motheye Lenses" Using Step And Flash Imprint Lithography (S-FIL)
Pernice, Wolfram (Invited) Light Force Silicon Devices
Peroz, Christophe Imprint And Pattern Transfer Of Silica Sol-Gel Resist: A Powerful Nanofabrication Approach
Fabrication of Novel Digital Optical Spectrometer-on-chip
Planarization of High-Aspect Ratio Nanostructures by Infiltration and Pressing of Organic and Inorganic Curable Materials
Automatic Measurement Of Electron Beam Size By BEAMETR Technique Using 20nm Test Patterns
Persson, Magnus High Volume Manufacturing Based on NanoImprint Lithography on Rough and Non-Planar Substrates
Pertsch, Thomas Fabrication Of Large Area Negative Index Structures By Nanoimprint Lithography
Petersen, Dirch Hjorth Conducting FIB Milled Nanowires
Petrillo, Karen Defectivity Solutions for Topcoat-Free Photoresists at the 22nm Node
Comparing The RLS Tradeoffs At EUV, E-Beam And 193 Nm For Common Resist Platforms
Peyrade, David A Transparent Multilevel-Electrodes Microfluidic Chip For Dielectrophoretic Colloidal Handling
Pianetta, Piero Non-iterative Reconstruction for Detecting Buried Deviant Structures in Integrated Circuits using Coherent Hard X-ray Diffraction
Electron Reflection from Metal Targets
Iterative Phase Recovery Using Wavelet Domain Constraints
Piascik, Jeff Characterization, Simulation, and Fabrication of a CNT Based Micro Mass Spectrometer
Pickard, Daniel Application of the Helium Ion Microscope to Biological Sciences
Direct Patterning and Imaging of Graphene Structures with a Helium Ion Microscope
Picraux, Tom Diameter-Dependent Electronic Transport Properties Of Au-Catalyst/Ge-Nanowire Schottky Diodes
Pilvi, Tero Ultrahigh Resolution X-Ray Fresnel Zone Plates Made By Thin Film Deposition
Pilz, Wolfgang (Invited) Programmable Aperture Plate System with integrated CMOS electronics for projection maskless nanolithography and nanopatterning
Pires, David Nanometer-Scale Direct-Write 3D-Patterning using Probes
Pitkänen, Olli Coating Technique For E-Beam Sensitive Polymers On Non-Flat Surfaces And Their Suitability For E-Beam Exposure
Placido, T. Surface Plasmons Coupled To Excitons For Photoluminescence Enhancement In Printed 2D Polymer Photonic Structures
Platzgummer, E. Positioning of Nanocrystals on Prestructured Substrates
Platzgummer, Elmar (Invited) Programmable Aperture Plate System with integrated CMOS electronics for projection maskless nanolithography and nanopatterning
(Invited) Charged Particle Nanopatterning (CHARPAN)
Ion Multi-Beam Nanopatterning for Photonic Applications: Experiments And Simulations, Including Study Of Precursor Gas Induced Etching And Deposition
Ponche, Arnaud DUV-Induced Nanopatterning Of Polyanhydride Films Deposited By Pulsed Plasma Polymerization
Popova, Vera High Quality Secondary Templates for Nanoimprint Lithography from Cubic Silsesquioxanes (SSQs)
Porod, Wolfgang Fabrication of Antenna-Coupled Metal-Oxide-Metal Diode Thermal Infrared Detectors Using In-Situ Oxidation
Postek, Michael Helium-ion Lithography with Hydrogen Silsesquioxane Resist
Prévitali, Bernard Ebeam Lithography for Platform of Multiple SET Architectures
Pribat, Didier Versatile Applications Of 80 Kv Electron Beam Lithography : From Nanotubes To Biochips
Prikhod'ko, Kirill E. Fabrication Of Metal Nanowires By Ion Beam Irradiation Of Metal Oxide Through High Aspect Ratio Resist Mask
Principe, Edward Reverse Bio-Engineering: Structural and Mechanical Modeling of Tadpole Teeth through FIB NanoTomography and Finite Element Analysis
Prinz, Christelle (Invited) Guiding, Rectifying and Sorting of Regenerating Axons by Free Standing Nanowire Patterns: A highway for nerve fibers.
Subcellular Force Dynamics of Outgrowing Axons Measured by Free-standing Nanowires.
Brain Tissue Response To Nanowires Implanted Into The Rat Striatum.
Prinz, Fritz (Invited) The Convergence Of Science And Engineering: Energy Conversion At Nano Scale
Provine, J Epitaxial Growth of Graphene on High Topology SiC Structures Patterned by Focused Ion Beam
Laser Print Patterning of Planar Spiral Inductors
Pshenay-Severin, Ekaterina Fabrication Of Large Area Negative Index Structures By Nanoimprint Lithography
Pudas, Marko Coating Technique For E-Beam Sensitive Polymers On Non-Flat Surfaces And Their Suitability For E-Beam Exposure
Pujia, Antonella 3D Self-Similar Chain Nanolens Fabrication And Their Use In Single Molecule Detection
Pyzyna, A. Hydrogen Silsesquioxane-Based Hybrid Electron Beam And Optical Lithography For High Density CMOS Prototyping

Q

Qi, Minghao Large-Area Manhattan Patterns via Cutting of Gratings
Qu, Xin-Ping Combined Near-Field Lithography And Reversal Imprint For High Resolution Patterning In Wafer Scale

R

Raabe, Jörg Ultrahigh Resolution X-Ray Fresnel Zone Plates Made By Thin Film Deposition
Rack, Philip D. Time Dependent Effects of Electron Beam Induced Etching (EBIE)
Rack, Philip The Fabrication And Characterization Of Electrically Addressable Microfluidic Electrowetting Channels
Directed, Liquid Phase Assembly of Patterned and Thin Metallic Films by Pulsed Laser Dewetting
Rack, Phillip Optical Imaging and Processing in a SEM/FIB: The Three Beam System
Rad, Leili Baghaei Non-iterative Reconstruction for Detecting Buried Deviant Structures in Integrated Circuits using Coherent Hard X-ray Diffraction
Iterative Phase Recovery Using Wavelet Domain Constraints
Raghunathan, Ananthan Simulation and Experimental Studies of Blanking Speed Limitations on Exposure Speed of Electron Beam Lithography
Raghunthan, Sudhar Experimental Determination of Image Placement Accuracy in EUV Lithography
Rahkila, Paavo Step & Stamp Imprinting Microlithography Studies of Chemical and Topographical Signaling on Osteoblast Cells.
Rahman, Mahmud Carbon Nanotube Field Emitters for Micro-Column Scanning Electron Microscopy and Nanolithography
Raju, Ramasamy Line Edge Roughness Reduction Studies Employing Grazing Incidence Ion Beam
Randall, John Atomic Precision Lithography on Si
Gas Dosing for Tip Based Nano-Fabrication Processes
Rangelow, I.W. Parallel Proximal Probe Arrays With Vertical Interconnections
Rangelow, Ivo Parallel Imaging With Micromachined Self-Actuated Piezoresistive Proximal Probes
Rauschenbach, Bernd Self-Organized Pattern Formation By Ion-Beam Erosion For Antireflection Surfaces
Hierarchical Nano-Structuring By Combining Ion Induced Self-Organization And Lithographic Pre-Patterning
Reano, Ronald Direct Write Reliefs in Excess of One Micrometer on Chalcogenide Thin-Films Using Electron Beams
Reboud, Vincent Surface Plasmons Coupled To Excitons For Photoluminescence Enhancement In Printed 2D Polymer Photonic Structures
Sub-Wavelength Diffraction Metrology For Inline And Critical Dimension Monitoring Of The Nanoimprint Lithography Process
IMPRINT Software: Stamp Bending Compensation, Residual Layer And Cavities Fullness Prediction
Redmond, G. Surface Plasmons Coupled To Excitons For Photoluminescence Enhancement In Printed 2D Polymer Photonic Structures
Reed, Lloyd P. Image Processing Using Shape Recognition for Alignment to Damaged Registration Marks in Electron Beam Lithography
Reed, Mark Locally-Gated, Suspended Silicon Nanowire FETs for Biomolecular Sensing
Reinspach, Julia 15-nm Nickel Zone Plates Achieved Using Cold-Developed Electron-Beam Patterned ZEP7000
Reitinger, R. Positioning of Nanocrystals on Prestructured Substrates
Reitinger, Ruediger Ion Multi-Beam Nanopatterning for Photonic Applications: Experiments And Simulations, Including Study Of Precursor Gas Induced Etching And Deposition
Rekawa, Senajith Double Patterning HSQ Processes Of Zone Plates For 10nm Diffraction Limited Performance
Research, Seagate Media Advantages And Challenges In The Directed Block Copolymer Assembly Approach For Bit Patterned Media
Resnick, Douglas (Invited) Toward Automated Pattern Inspection and Defect Characterization for Patterned Media Lithography
Retolaza, Aritz Fabrication of CMOS Integrated Nanomechanical Devices By Ion Beam Patterning
Rettner, Charles (Invited ) Directed Polymer Self-assembly for Lithography Application
Reuther, Freimut Easy Mask-Mold Fabrication For Combined Nanoimprint And Photolithography
Transparent Hybrid Polymer Stamp Copies With Sub-50nm Resolution For Thermal And UV-Nanoimprint Lithography
Revuru, Srividya Can Acid Amplifiers Help Beat the RLS Trade-Off?
Ribaya, Bryan Carbon Nanotube Field Emitters for Micro-Column Scanning Electron Microscopy and Nanolithography
Ribeiro, Gio Reconfigurable Logic Circuits in a Memristor-Transistor Hybrid Chip
Rich, Lauren E. Large Area Direct-Write Focused Ion-Beam Lithography With A Dual-Beam Microscope
Richter, Curt A. Transfer Printing Approach for Fabricating Molecular Electronic Junctions
Riedo, Elisa High-speed, Sub-15 nm Feature Size Thermochemical Nanolithography
Ring, Johan High Volume Manufacturing Based on NanoImprint Lithography on Rough and Non-Planar Substrates
Rio, David Study On Writing Strategy For Multiple Electron-Beam Lithography At 5keV
Ritala, Mikko Ultrahigh Resolution X-Ray Fresnel Zone Plates Made By Thin Film Deposition
Rius, Gemma Fabrication of CMOS Integrated Nanomechanical Devices By Ion Beam Patterning
Nanostructuring Of Graphene Layers By AFM Local Anodic Oxidation
Ro, Hyun Wook A Competition Between Vertical and Lateral Instabilities in Parallel Line-Space Gratings Fabricated by Nanoimprint Lithography
High Quality Secondary Templates for Nanoimprint Lithography from Cubic Silsesquioxanes (SSQs)
Robinett, Warren Reconfigurable Logic Circuits in a Memristor-Transistor Hybrid Chip
Rocca, J. Self-Imaging Of Complex Structures With A Table-Top EUV Laser
Rockett, Angus Direct Writing Of Nanoscale Hafnium Diboride Metallic Nanostructures On Hydrogen Passivated Silicon (100) Surfaces Using A UHV-STM
Roediger, Peter Analysis And Evaluation Process For Quantification Of Residual Gas Deposition By A Focused Electron Beam
Rooks, M.J. Contrast Enhancement Behavior of Hydrogen Silsesquioxane (HSQ) in a Salty Developer
Rooks, Michael Understanding Of Hydrogen Silsesquioxane Resist For Sub-5-Nm Half-Pitch Electron-Beam Lithography
Ross, Caroline Controlled Self-Assembly Of Linear Structures For Nanoscale Device Fabrication
Nanolithography Applications Of Siloxane Block Copolymers: Systematic Pattern Tunability And Pattern-transfer
Self-Assembled Nanostructures With Ring Arrays And Square Pattern From Organometallic-Containing Triblock Terpolymers
Rossier, Olivier Application of the Helium Ion Microscope to Biological Sciences
Roucoules, Vincent DUV-Induced Nanopatterning Of Polyanhydride Films Deposited By Pulsed Plasma Polymerization
Routenberg, David Locally-Gated, Suspended Silicon Nanowire FETs for Biomolecular Sensing
Roy, Ananya Shot Noise In Light Ion And Neutral Particle Lithography
A Point Source Of Energetic Helium Atoms For Proximity Lithography
Roy, E. Fully Automated Hot Embossing Processes Utilizing High Resolution Working Stamps
Ruan, Junru Simulation and Experimental Studies of Blanking Speed Limitations on Exposure Speed of Electron Beam Lithography
Ruchhoeft, P. Near-Neighbor Averaging Technique: A Method For Controlling Size Uniformity In Multigenerational Masks
Suspended Gold Particles With Magnetic Cores For In Vitro Diagnostics
A Microretroreflector-Based Diagnostic Platform
Ruiz, Ricardo 9x Density Multiplication Of HCP Lattice Pattern By Chemically Directed Block Copolymer Self-Assembly
Directing Block Copolymers Assembly within Patterned Media Specifications
Rumi, Mariacristina High-speed, Sub-15 nm Feature Size Thermochemical Nanolithography
Russell, Phillip Characterization, Simulation, and Fabrication of a CNT Based Micro Mass Spectrometer
Russell, Zachary Characterization, Simulation, and Fabrication of a CNT Based Micro Mass Spectrometer
Ruzic, David Line Edge Roughness Reduction Studies Employing Grazing Incidence Ion Beam
Rytkönen, Tuomo Fabrication of overhanging triangular gratings
Ryu, Sangil Nanoscale Laser Processing Using Near-Field Optics Combined With Electron Microscopy

S

Sagari, Ananda Step & Stamp Imprinting Microlithography Studies of Chemical and Topographical Signaling on Osteoblast Cells.
Saidani, Menouer Substrate Effects in EUV Lithography
Easy Mask-Mold Fabrication For Combined Nanoimprint And Photolithography
Saini, Rahul Atomic Precision Lithography on Si
Saini, S. Fabrication Of Nano-Periodic Josephson Junction Array In Bi2Sr2Ca2Cu3O10+δ (Bi-2223) Single Crystal Whiskers
Sajavaaraa, Timo Step & Stamp Imprinting Microlithography Studies of Chemical and Topographical Signaling on Osteoblast Cells.
Salemink, Huub Method For Improvement Of Aspect Ratio Of Ultra High Resolution Structures In Negative Electron Beam Resist.
Pillar Growth Rate Dependences in Ion-Beam-Induced Deposition
The Roles of Secondary Electrons and Sputtered Atoms in Ion-Beam-Induced Deposition
Samantaray, Chandan Amino-Propyl-Triethoxy-Silane (APTES) on Aluminum Fiducial Grids for Spatial-Phase-Locked Electron-Beam Lithography
Samuelson, Lars (Invited) Nanowires For Basic Science And For Applications In Electronics And Photonics
(Invited) Guiding, Rectifying and Sorting of Regenerating Axons by Free Standing Nanowire Patterns: A highway for nerve fibers.
Subcellular Force Dynamics of Outgrowing Axons Measured by Free-standing Nanowires.
Nanowire Templated Nanotubes for Cell Injection
Brain Tissue Response To Nanowires Implanted Into The Rat Striatum.
Sanchez, Martha Comparing The RLS Tradeoffs At EUV, E-Beam And 193 Nm For Common Resist Platforms
Sanders, Daniel (Invited ) Directed Polymer Self-assembly for Lithography Application
Sanford, Colin Understanding Imaging Modes In The Helium Ion Microscope
High Spatial Resolution Sample Analysis Using A Helium Ion Microscope
Sanford, Collin Beam Induced Deposition Of Metal Using A Helium Ion Microscope
Sangyuenyongpipat, Somjai Step & Stamp Imprinting Microlithography Studies of Chemical and Topographical Signaling on Osteoblast Cells.
Sanquer, Marc Ebeam Lithography for Platform of Multiple SET Architectures
Santillan, Julius Joseph Alternative Developer Solutions For EUV Resist
Sanz-Fernandez, Juan Jose Perturbed Frequency-Selective Surfaces Fabricated on Large Thin Polymer Membranes for Multiband Infrared Applications
Sardan, Ozlem Conducting FIB Milled Nanowires
Sarov, Yanko Parallel Imaging With Micromachined Self-Actuated Piezoresistive Proximal Probes
Parallel Proximal Probe Arrays With Vertical Interconnections
Savu, Veronica Stencilled Conducting Bismuth Nanowires
Schatttenburg, Mark (Invited) Lithography With Nanometer Precision On Monster Substrates
Scheer, H.-C. Recovery Prevention Via Pressure Control in T-NIL
Scheer, Hella-Christin Preparation Of Diamond-Like Channels In SU-8 For Optical Control Of The Filling State
Recovery Prevention Via Pressure Control in T-NIL
Self-Assembly For The Definition Of Hierarchical Patterns In Thermal Imprint
Scherer, Axel Advanced Silicon Processing for Active Integrated Photonic Devices
Techniques Of Cryogenic Reactive Ion Etching In Silicon For Fabrication Of Sensors
Transmission Electron Microscopy of Fabricated Nanostructures
Schiavone, Patrick Dynamic Scatterometry For Profile Control During Resist Trimming Process
Schiedt, Birgitta The Promises Of Graphene Structures Nano-Patterned Using High Resolution Focused Ion Beams
Schift, Helmut Easy Mask-Mold Fabrication For Combined Nanoimprint And Photolithography
Transparent Hybrid Polymer Stamp Copies With Sub-50nm Resolution For Thermal And UV-Nanoimprint Lithography
Schirmer, Michael Selective Fabrication of Pure Titanium Oxide Nanocrystals via Electron-Beam Induced Deposition in Ultra-High Vacuum
Lithographic Fabrication Of Clean Iron Nanostructures By Electron-Beam Induced Deposition In Ultra-High Vacuum
The Influence Of Lithographic Parameters On EBID
Schmid, Gerard (Invited) Toward Automated Pattern Inspection and Defect Characterization for Patterned Media Lithography
Schmidt , Holger Fabrication Of Large Area Negative Index Structures By Nanoimprint Lithography
Schmidt-Ott, A. Growing Carbon Nano Tubes With A Simple CVD Process On Predefined Patterns Of Pd Nano Particles That Where Positioned To Charge Patterns Created With A Scanning Electron Microscope
Schmidt, B. Parallel Proximal Probe Arrays With Vertical Interconnections
Schmidt, Holger Fabrication of Novel Digital Optical Spectrometer-on-chip
Schmits, Ruud Method For Improvement Of Aspect Ratio Of Ultra High Resolution Structures In Negative Electron Beam Resist.
Schmucker, Scott Atomic Precision Lithography on Si
Schneider, R. (Invited) Design Verification for sub 70 nm DRAM nodes via Metal Fix using E-Beam Direct Write
Schöftner, Rainer Fabrication Of Large Area Negative Index Structures By Nanoimprint Lithography
Schouenborg, Jens Brain Tissue Response To Nanowires Implanted Into The Rat Striatum.
Schuck, James Precise Placement of a Single Quantum Dot in a Bowtie Nanoantenna Gap
Schuck, P. James Manipulating Nano-scale Light Fields With The Plasmonic Color Nanosorter
Schuck, Peter Jim Nanofabrication and Optical Characterization of Optical Transformer with 2-D Tapered Tip
Schuette, Michael A Simple Technique for Beam Focusing in Electron Beam Lithography on Optically Transparent Substrates
Schuster, S Sub-10-nm Pattern Transfer and Nanoimprint Molds.
Schuster, Simon Lift-off of Sub-15-nm Hydrogen Silsesquioxane (HSQ) Structures
Schvartzman, Mark Fabrication of Sub-5nm Nanoscale Arrays by Nanoimprint Lithography Combined with an Angle-Evaporated Hard Mask and Lift-off
Schwind, Greg Off-Axis Emission Properties for the Schottky Electron Source
Scipioni, Larry Understanding Imaging Modes In The Helium Ion Microscope
Seley, David Electrodeposition of Patterned Metal and Semiconductor Microwires on Ultrananocrystaline Diamond Electrodes
Semichem, Dongjim Fabrication Of High Aspect Ratio Nano-Trenches And Characterization Of Spin-On Dielectric Filling
Seok, Tae Joon Nanofabrication and Optical Characterization of Optical Transformer with 2-D Tapered Tip
Sha, Jing Sub-millisecond Post Exposure Bake of Chemically Amplified Resists by CO2 Laser Spike Annealing
Shan, Yuyao Highly Robust Single-Wall Carbon Nanotube-Molecule Junction Device Fabrication Process
Sharma, Ashutosh A Competition Between Vertical and Lateral Instabilities in Parallel Line-Space Gratings Fabricated by Nanoimprint Lithography
Shearn, Michael Advanced Silicon Processing for Active Integrated Photonic Devices
Sheehan, Paul A General Strategy for Directly Writing Nanoscale Patterns of Nanoparticles and Polymer-Nanoparticle Composites.
Sheetz, Michael Application of the Helium Ion Microscope to Biological Sciences
Shen, Liangguo Nanoscale Deposition and Etching of Silicon Quantum Dots Using Field-Assisted AFM-Based CVD
Sherlock, T. Suspended Gold Particles With Magnetic Cores For In Vitro Diagnostics
A Microretroreflector-Based Diagnostic Platform
Shibata, Mayuko Impact Of Resist Shrinkages On De-Molding Process In Thermal and UV NIL
Shibuya, Takeshi Fabrication Of Organic TFT Arrays On A Flexible Sheet By Microcontact Printing
Shieh, J. Extraordinary Transmittance In Three-Dimensional Metal Structure Prepared Through Reversal Imprinting Of Metal Films
Shiely, James Wafer Topography Proximity Effect Modeling and Correction for Patterning the Implant Layer
Shim, Jongyoup Enhancement of Power Efficiency in Photonic Crystal Structured OLED
Shin, Hyun-Duck The Improvement Of Imaging Properties By Optimizing The Capping Structure In Extreme Ultraviolet Lithography
Shin, Jangho Characterization Of Pattern Placement Error For Sub-40-Nm Memory Devices
Sidler, Katrin Stencilled Conducting Bismuth Nanowires
Sidorkin, Vadim Method For Improvement Of Aspect Ratio Of Ultra High Resolution Structures In Negative Electron Beam Resist.
Siitonen, Samuli Fabrication of overhanging triangular gratings
Sijbrandij, Sybren High Spatial Resolution Sample Analysis Using A Helium Ion Microscope
Silver, Richard STM-induced Surface Modification with Reactive Ion Etch Pattern Transfer
Sirotkin, Vadim Coarse-Grain Simulation Of Resist Flow In SFIL
IMPRINT Software: Stamp Bending Compensation, Residual Layer And Cavities Fullness Prediction
Skinner, Jack Fabrication Methods For Passive Sensor Tags On Flexible Polymer Substrates
Sköld, Niklas Nanowire Templated Nanotubes for Cell Injection
Smith, Bruce Sidewall Spacer Double Patterning Technique With Reduced Process Complexity
193nm Photoresist Hybrids for Sub-32nm Resolution, LER, and Sensitivity Requirements
Smith, D. Near-Neighbor Averaging Technique: A Method For Controlling Size Uniformity In Multigenerational Masks
Smith, Douglas (Invited) Lithography With Nanometer Precision On Monster Substrates
Smith, Henry I. Reproducible Periodic Patterns Using Coherent Diffraction Lithography And Interferometric Spatial-Phase Imaging
Application of C60 to Improve the SPLEBL Reference Signal
Smith, Sean (Invited) Lithography With Nanometer Precision On Monster Substrates
Smith, Thomas 193nm Photoresist Hybrids for Sub-32nm Resolution, LER, and Sensitivity Requirements
Snider, Greg Reconfigurable Logic Circuits in a Memristor-Transistor Hybrid Chip
Snider, Gregory L. Effects Of Visible Light Illumination On The Conductance Of Al/Alox Single-Electron Transistors
Socquet-Clerc, Carole Diffraction Grating Fabrication On 100nm Silicon Membrane For EUV Interferometry
Solak, Harun H. Thermal Development Of Calixerene Resist
Solak, Harun Hadi Substrate Effects in EUV Lithography
Solak, Harun Easy Mask-Mold Fabrication For Combined Nanoimprint And Photolithography
Soldate, Paul Development of Pseudo-random Binary Gratings and Arrays for Calibration of Surface Profile Metrology Tools
Soles, Christopher A Competition Between Vertical and Lateral Instabilities in Parallel Line-Space Gratings Fabricated by Nanoimprint Lithography
High Quality Secondary Templates for Nanoimprint Lithography from Cubic Silsesquioxanes (SSQs)
Sologubenko, Alla 1nm Thin Carbon Nanosheets With Tunable Conductivity And Stiffness
Song, Aimin Fabrication of poly(3 -hexylthiophene) (P3HT) in-plane gate transistors by low temperature thermal nanoimprint lithography
Song, Hua Wafer Topography Proximity Effect Modeling and Correction for Patterning the Implant Layer
Song, Xi Fabrication Of Hard X-Ray Zone Plates With Very High Aspect-Ratio By X-Ray Lithography
Sooryakumar, R. Direct Write Reliefs in Excess of One Micrometer on Chalcogenide Thin-Films Using Electron Beams
Soppera, Olivier DUV-Induced Nanopatterning Of Polyanhydride Films Deposited By Pulsed Plasma Polymerization
Soulan, Sebastien Dynamic Scatterometry For Profile Control During Resist Trimming Process
Spreu, Christian Easy Mask-Mold Fabrication For Combined Nanoimprint And Photolithography
Transparent Hybrid Polymer Stamp Copies With Sub-50nm Resolution For Thermal And UV-Nanoimprint Lithography
Staffaroni, Matteo Nanofabrication and Optical Characterization of Optical Transformer with 2-D Tapered Tip
Staufer, U. Microcolumn Design For A Large Scan Field And Pixel Number
Steenbrink, S.W.H.K. Mapper: High Throughput Maskless Lithography
Stegemann, Bernd The Promises Of Graphene Structures Nano-Patterned Using High Resolution Focused Ion Beams
Stein, Aaron Fabrication Of Nanomagnetic Spin Ice Arrays of CoFeB with Controlled Resist Profile For Lift Off Of Sputtered Films
Stein, Gila Characterizing The Latent Image In Block Copolymer Resists With X-Ray Diffraction
Steinrück, Hans-Peter Selective Fabrication of Pure Titanium Oxide Nanocrystals via Electron-Beam Induced Deposition in Ultra-High Vacuum
Lithographic Fabrication Of Clean Iron Nanostructures By Electron-Beam Induced Deposition In Ultra-High Vacuum
The Influence Of Lithographic Parameters On EBID
Stern, Lewis Helium-ion Lithography with Hydrogen Silsesquioxane Resist
Beam Induced Deposition Of Metal Using A Helium Ion Microscope
Stokes, Paul Template Based Fabrication Of Size Tunable Single-Walled Carbon Nanotube Single Electron Transistors
Stoner, Brian Characterization, Simulation, and Fabrication of a CNT Based Micro Mass Spectrometer
Stosch, Reiner 1nm Thin Carbon Nanosheets With Tunable Conductivity And Stiffness
Strahan, J.R. A Dry-on, Dry-off, Long Wavelength Photoresist for NanoPlasmonic Field Metrology and Lithography
Striccoli, Marinella Surface Plasmons Coupled To Excitons For Photoluminescence Enhancement In Printed 2D Polymer Photonic Structures
Strohmaier, W. Microcolumn Design For A Large Scan Field And Pixel Number
Struck, Corey Line Edge Roughness Reduction Studies Employing Grazing Incidence Ion Beam
Subramanian, K.R.V. Investigation Of Surface Roughness Of Poly(Methylmethacrylate) At Reduced Temperatures
Sue, Hung-Jue Single-Walled Carbon Nanotube Alignment by Grating-Guided Electrostatic Self-assembly
Solid-State Dye-Sensitized Solar Cell Based on Semiconducting Nanomaterials
Suga, Osamu Characterization of EUV-Deposited Carboneous Contamination
Sugatani, Shinji Design for EB(DFEB), a Novel Approach to EBDW Throughput Enhancement For Volume Production
Sukharev, Valeriy Design Specific Variation In Via/Contact Pattern Transfer - Full Chip Analysis.
Sumant, Anirudha Electrodeposition of Patterned Metal and Semiconductor Microwires on Ultrananocrystaline Diamond Electrodes
Sun, Chuan-Shu Process And Properties Of The Carbon Nanotube Assisted LiCoO2 Thin Film Battery Electrode By The Pulsed Laser Deposition Method
Sun, Dazhi Single-Walled Carbon Nanotube Alignment by Grating-Guided Electrostatic Self-assembly
Solid-State Dye-Sensitized Solar Cell Based on Semiconducting Nanomaterials
Sun, Xiankai Advanced Silicon Processing for Active Integrated Photonic Devices
Sun, Yanming Fabrication of poly(3 -hexylthiophene) (P3HT) in-plane gate transistors by low temperature thermal nanoimprint lithography
Sun, Yun Electron Reflection from Metal Targets
Suyatin, Dmitry (Invited) Guiding, Rectifying and Sorting of Regenerating Axons by Free Standing Nanowire Patterns: A highway for nerve fibers.
Subcellular Force Dynamics of Outgrowing Axons Measured by Free-standing Nanowires.
Svintsov, A. Sub-10nm Test-Sample For Characterization And Tuning Of Focused Electron Beam Used In Technology, Inspection And Diagnostics
Svintsov, Alexander Coarse-Grain Simulation Of Resist Flow In SFIL
IMPRINT Software: Stamp Bending Compensation, Residual Layer And Cavities Fullness Prediction
Swanson, Lyn Off-Axis Emission Properties for the Schottky Electron Source
Swart, Jacobus Willibrordus Formation Of High-Aspect Ratio Silicon Nanopillars Using Deep Reactive Ion Etching
Swart, Jacobus Effects Of Ion Irradiation On Electrical Properties Of Carbon Nanotubes
Swartzentruber, Brian Diameter-Dependent Electronic Transport Properties Of Au-Catalyst/Ge-Nanowire Schottky Diodes
Swarup, Sanjay Application of the Helium Ion Microscope to Biological Sciences
Szakmany, Gergo Fabrication of Antenna-Coupled Metal-Oxide-Metal Diode Thermal Infrared Detectors Using In-Situ Oxidation
Szoszkiewicz, Robert High-speed, Sub-15 nm Feature Size Thermochemical Nanolithography

T

Tabib-Azar, Massood Nanoscale Deposition and Etching of Silicon Quantum Dots Using Field-Assisted AFM-Based CVD
Tada, Kazuhiro Molecular Dynamics Study On Fracture Of Si Mold In Nanoimprint For Glass Film
Tada, Yasuhiko 9x Density Multiplication Of HCP Lattice Pattern By Chemically Directed Block Copolymer Self-Assembly
Tago, Haruyuki Design for EB(DFEB), a Novel Approach to EBDW Throughput Enhancement For Volume Production
Takacs, Peter Development of Pseudo-random Binary Gratings and Arrays for Calibration of Surface Profile Metrology Tools
Takahashi, Masaharu 2D to 3D Imprinting on Surface of Teflon PFA Inlet Tube
Thermal Imprinting on Quartz Fiber using Glass-Like Carbon Mold
Takahashi, Tatsumi Fabrication Of Organic TFT Arrays On A Flexible Sheet By Microcontact Printing
Takashima, Yuzuru Iterative Phase Recovery Using Wavelet Domain Constraints
Takenaka, Mikihito 9x Density Multiplication Of HCP Lattice Pattern By Chemically Directed Block Copolymer Self-Assembly
Takizawa, Kazutaka Curing Process of Silsesquioxane in Self-Organized Diblock Copolymer Template
Talasaz, Amirali Detection of Biomarkers Using Bio-Functionalized Microfluidic Channels
Taldenkov, A.N. Fabrication Of Metal Nanowires By Ion Beam Irradiation Of Metal Oxide Through High Aspect Ratio Resist Mask
Talin, Alec Diameter-Dependent Electronic Transport Properties Of Au-Catalyst/Ge-Nanowire Schottky Diodes
Tallerico, Rossana Silver-Based SERS Substrate Fabrication Using Nanolithography And Site Selective Electroless Deposition
Tan, Chee-Wee Ferrofluid Lithography
Tan, Hua Nanotrench Filling Via Planarization by Laser Assisted Direct Imprint (PLADI) and Air Cushion Press (ACP)
Tan, Shida Sub-Surface Damage from Helium Ion as a Function of Dose and Beam Energy
Tanabe, Toshiaki Impact of Exposure Doses on De-Molding Process in UV-NIL
Tang, Cha-Mei Fabrication Of High Density, High-Aspect-Ratio Polyimide Nanofilters
Tang, Hong (Invited) Light Force Silicon Devices
Taniguchi, Jun Nano Scale Three-Dimensional Metal Pattern Transfer By Nanoimprint Lithography Using Metal Oxide As A Release Layer
Fabrication Of Nano Dots Array Mold Using Inorganic Electron Beam Resist And Post Exposure Bake
Fabrication Of Seamless Roll Mold Using Electron Beam Direct Writing To Rotating Cylindrical Substrate
Tao, Li Top-down Fabrication of Monodisperse Non-Spherical Polymer Composite Particles for Nanomedicine Applications
Taylor, Priscilla (Invited) Photolithographic Patterning for Organic Electronics
Tchikoulaeva, Anna Line Edge Roughness Contribution from Mask to Wafer
Technology, Seagate Directed Block Copolymer Assembly to 4 Teradot/in Patterned Media: Chemical or Topographic Guiding?
Teepen, T.F. Mapper: High Throughput Maskless Lithography
Tegenfeldt, Jonas Nanowire Templated Nanotubes for Cell Injection
Tekniker, Fundacion Fabrication of CMOS Integrated Nanomechanical Devices By Ion Beam Patterning
Terrell, Eric Electrodeposition of Patterned Metal and Semiconductor Microwires on Ultrananocrystaline Diamond Electrodes
Tesauro, M. (Invited) Design Verification for sub 70 nm DRAM nodes via Metal Fix using E-Beam Direct Write
Thamdrup, Lasse Origins of Stamp Bending in Nano-Imprint Lithography
Thayne, Iain G. Fully Self-Aligned Process For Fabricating 100 Nm Gate Length Enhancement Mode GaAs MOSFETs
Thayne, Iain Resist Residues and Transistor Gate Fabrication
Theis, Thomas How Will We Manufacture at the Nanoscale?
Thibaudeau, Giselle Reverse Bio-Engineering: Structural and Mechanical Modeling of Tadpole Teeth through FIB NanoTomography and Finite Element Analysis
Thibault, Pierre (Invited) High-Resolution Scanning X-Ray Diffraction Microscopy
Ultrahigh Resolution X-Ray Fresnel Zone Plates Made By Thin Film Deposition
Thiel, Brad Electron Post-Irradiation Of Platinum Nanostructures Created By Electron-Beam-Induced Deposition From Pt(PF3)4
Thompson, Bill Understanding Imaging Modes In The Helium Ion Microscope
Thompson, Michael Sub-millisecond Post Exposure Bake of Chemically Amplified Resists by CO2 Laser Spike Annealing
Thoms, Stephen Fully Self-Aligned Process For Fabricating 100 Nm Gate Length Enhancement Mode GaAs MOSFETs
Resist Residues and Transistor Gate Fabrication
Thong, John Direct Patterning and Imaging of Graphene Structures with a Helium Ion Microscope
Thrum, F. (Invited) Design Verification for sub 70 nm DRAM nodes via Metal Fix using E-Beam Direct Write
Thuau, Damien Properties of Single-Walled Carbon Nanotubes Integrated into Polyimide (SWNTs-Pi) Nanocomposites
Tittonen, Ilkka Novel Nanostructure Fabrication Method Combining Silicon Doping with Focused Ion Beam and Cryogenic Deep Reactive Ion Etching
Tiwari, Badri Fabrication of Antenna-Coupled Metal-Oxide-Metal Diode Thermal Infrared Detectors Using In-Situ Oxidation
To, B. Hydrogen Silsesquioxane-Based Hybrid Electron Beam And Optical Lithography For High Density CMOS Prototyping
Tolbert, Laren High Performance Negative Tone Molecular Resists Using Cationic Polymerization
Ultra-Thin Film Effects on Photoresist Imaging Performance
Quantitative Structure-Property Relations for the Prediction of the Glass Transition Temperature of Molecular Resists
Tong, Will Reconfigurable Logic Circuits in a Memristor-Transistor Hybrid Chip
Tono, Seiji Process Optimization Of Electron Beam Lithography Using High Resolution Resist TEBN-1
Torres, Clivia M. Sotomayor Surface Plasmons Coupled To Excitons For Photoluminescence Enhancement In Printed 2D Polymer Photonic Structures
IMPRINT Software: Stamp Bending Compensation, Residual Layer And Cavities Fullness Prediction
Torres, Clivia Sotomayor Sub-Wavelength Diffraction Metrology For Inline And Critical Dimension Monitoring Of The Nanoimprint Lithography Process
Toth, Milos Electron Post-Irradiation Of Platinum Nanostructures Created By Electron-Beam-Induced Deposition From Pt(PF3)4
Treiblmayr, D. Fully Automated Hot Embossing Processes Utilizing High Resolution Working Stamps
Treiblmayr, Dominik Positive And Negative Counterpart Working Stamps For Soft UV-NIL Using One Master Design
Trivedi, K. Lithographically Defined Silicon Nanowires And Quantum Dots For Quantum Devices
Trivedi, Krutarth Dimension Reduction Of Nano-Gratings By Controlled Melting Of Patterned Polymer Mask
Organic Solar Cells Using Imprinted P3HT Nanostructures: The Effects Of Geometry, Crystallization And Chain Ordering
Novel Immunoisolative Microcontainer With Nanoslots Defined By Nano Imprint Lithography
Fabrication Of High Aspect Ratio Nano-Trenches And Characterization Of Spin-On Dielectric Filling
Truffier-Boutry, Delphine Double-Anchoring Fluorinated Molecules For Anti-Adhesion Mold Treatment in UV Nanoimprint Lithography
Truong, Hoa (Invited ) Directed Polymer Self-assembly for Lithography Application
Comparing The RLS Tradeoffs At EUV, E-Beam And 193 Nm For Common Resist Platforms
Tsai, Kuen-Yu A New Parametric Proximity Effect Model Calibration Method for Improving Accuracy of Post-lithography Patterning Prediction in Sub-32-nm Half-Pitch Low-Voltage Electron Beam Direct-Write Lithography
Tsai, Richard Electron Reflection from Metal Targets
Tseng, Ching-Tung Stitching Periodic Submicron Fringes By Utilizing Step-And-Align Interference Lithography (SAIL)
Tsuchikawa, Haruo Design for EB(DFEB), a Novel Approach to EBDW Throughput Enhancement For Volume Production
Tsuji, Yukihiro Evaluation of Nanoimprint Lithography as a Fabrication Process of Phase-shifted Diffraction Gratings of Distributed Feedback Laser Diodes
Turchanin, Andrey 1nm Thin Carbon Nanosheets With Tunable Conductivity And Stiffness
Turner, Michael Fabrication of poly(3 -hexylthiophene) (P3HT) in-plane gate transistors by low temperature thermal nanoimprint lithography
Turnidge, Martin Image Processing Using Shape Recognition for Alignment to Damaged Registration Marks in Electron Beam Lithography
Tzvetkov, George Ultrahigh Resolution X-Ray Fresnel Zone Plates Made By Thin Film Deposition

U

Ueki, Ryuuichi Graphitization at Interface between Amorphous Carbon and Liquid Gallium for Fabricating Large Area Graphene Sheets
Ueno, Akihisa Thermal Imprinting on Quartz Fiber using Glass-Like Carbon Mold
Underwood, William High-speed, Sub-15 nm Feature Size Thermochemical Nanolithography
Unno, Noriyuki Nano Scale Three-Dimensional Metal Pattern Transfer By Nanoimprint Lithography Using Metal Oxide As A Release Layer
Uozumi, Ryou Two Stage Ion Beam Figuring And Smoothing Method For Shape Error Correction Of ULE®Substrates Of EUVL Multilayer Mirrors - Evaluation Of Surface Roughness
Urbanski, L. Self-Imaging Of Complex Structures With A Table-Top EUV Laser
Ushijima, Hirobumi Fabrication Of Organic TFT Arrays On A Flexible Sheet By Microcontact Printing
Utlaut, Mark Material Contrast from Ga Ion Induced Secondary Electron Images

V

Vallini, Felipe Low Roughness Microdisk Resonators Fabricated By Focused Ion Beam (FIB)
Van De Peut, T. Mapper: High Throughput Maskless Lithography
Van Delden, Jay Fabrication of Ultra-Sensitive Silicon Cantilevers with Integrated, Overhanging Nickel Magnet Tips for Magnetic Resonance Force Microscopy
Van Der Drift, Emile Method For Improvement Of Aspect Ratio Of Ultra High Resolution Structures In Negative Electron Beam Resist.
Van Dommelen, Youri Defectivity Solutions for Topcoat-Free Photoresists at the 22nm Node
Van Kouwen, Leon Focused Electron-Beam-Induced Deposition Of 3 Nm Dots In A Scanning Electron Microscope
Van Veen, A.H.V. Mapper: High Throughput Maskless Lithography
Van Wolferen, Henk Large-area Silicon Masters for the Fabrication of Nanostructured Bioactive Surfaces utilizing Laser Interference Nanolithography and Dry Etching.
Van Wyck, Neil Fabrication of Flexible Ultracapacitor/Galvanic Cell Hybrids Using Advanced Nanoparticle Coating Technology
Vaz, Alfredo Low Roughness Microdisk Resonators Fabricated By Focused Ion Beam (FIB)
Effects Of Ion Irradiation On Electrical Properties Of Carbon Nanotubes
Vazquez-Mena, Oscar Stencilled Conducting Bismuth Nanowires
Venkatesan, Thirumalai Application of the Helium Ion Microscope to Biological Sciences
Venkatesan, Venky Direct Patterning and Imaging of Graphene Structures with a Helium Ion Microscope
Veres, T. Fully Automated Hot Embossing Processes Utilizing High Resolution Working Stamps
Verissimo, Carla Formation Of High-Aspect Ratio Silicon Nanopillars Using Deep Reactive Ion Etching
Effects Of Ion Irradiation On Electrical Properties Of Carbon Nanotubes
Verma, L K Magnetic Properties Of Diamond Shaped Elements And Chains Fabricated By Nanosphere Lithography
Verma, L. K. Fabrication Of Arrays Of Magnetic Nanostructures Using Nanosphere Lithography And Ion Beam Etching
Vig, Asger L. Origins of Stamp Bending in Nano-Imprint Lithography
Vila-Comamala, Joan Ultrahigh Resolution X-Ray Fresnel Zone Plates Made By Thin Film Deposition
Villanueva, Guillermo Stencilled Conducting Bismuth Nanowires
Vinet, Maud Ebeam Lithography for Platform of Multiple SET Architectures
Viswanathan, R. Hydrogen Silsesquioxane-Based Hybrid Electron Beam And Optical Lithography For High Density CMOS Prototyping
Viswanathan, Raman Image Processing Using Shape Recognition for Alignment to Damaged Registration Marks in Electron Beam Lithography
Viswanathan, Vignesh Direct Patterning and Imaging of Graphene Structures with a Helium Ion Microscope
Vizioz, Christian High Aspect Ratio Fabrication Process For 3D Nanowire Gate-All-Around (GAA) And Double-Gate Transistors
Vladar, Andras Helium-ion Lithography with Hydrogen Silsesquioxane Resist
Vogel, Konstantin Brain Tissue Response To Nanowires Implanted Into The Rat Striatum.
Vogel, Viola (Invited) Why Mechanical Forces Matter in Health and Disease: proteins as mechano-chemical switches
Volland, B. Parallel Proximal Probe Arrays With Vertical Interconnections
Völlner, Jens Self-Organized Pattern Formation By Ion-Beam Erosion For Antireflection Surfaces
Hierarchical Nano-Structuring By Combining Ion Induced Self-Organization And Lithographic Pre-Patterning
Vollnhals, Florian Selective Fabrication of Pure Titanium Oxide Nanocrystals via Electron-Beam Induced Deposition in Ultra-High Vacuum
The Influence Of Lithographic Parameters On EBID
Von Ehr, James Atomic Precision Lithography on Si
Voronov, Dmytro Development of Pseudo-random Binary Gratings and Arrays for Calibration of Surface Profile Metrology Tools

W

Wachulak, P.W. Self-Imaging Of Complex Structures With A Table-Top EUV Laser
Wacquez, Romain Ebeam Lithography for Platform of Multiple SET Architectures
Waid, Simon NIL Stamp Modification Utilizing Focused Ion Beams
Walboomers, Frank Large-area Silicon Masters for the Fabrication of Nanostructured Bioactive Surfaces utilizing Laser Interference Nanolithography and Dry Etching.
Wallow, Tom Pushing EUV Lithography Development Beyond 22-Nm Half Pitch
Wallraff, Gregory Comparing The RLS Tradeoffs At EUV, E-Beam And 193 Nm For Common Resist Platforms
Walz, Marie-Madeleine Selective Fabrication of Pure Titanium Oxide Nanocrystals via Electron-Beam Induced Deposition in Ultra-High Vacuum
Lithographic Fabrication Of Clean Iron Nanostructures By Electron-Beam Induced Deposition In Ultra-High Vacuum
The Influence Of Lithographic Parameters On EBID
Wang, Chao Fabrication of Large-Area 100nm Checker Board Mold Using 3D Patterning with Multiple Nanoimprint Lithography and Self-Aligned Selective Etching
Self-Aligned Fabrication of 10 nm-Wide Asymmetric Trenches in Si for Heterojunction Tunneling FETs Using Nanoimprint Lithography
Wang, Chunlei Carbon Nanotube-confined MnO2/C-MEMS Nanostructures for On-Chip Electrochemical Capacitors
Wang, Debin High-speed, Sub-15 nm Feature Size Thermochemical Nanolithography
Wang, Feng Observing Protein-DNA Interactions Using Double-Tethered DNA Curtains
Wang, L. Shengnian Design And Fabrication Of Electrokinetic Microfluidics For Transportation And Manipulation Of Biomolecules
Wang, Lon Stitching Periodic Submicron Fringes By Utilizing Step-And-Align Interference Lithography (SAIL)
Wang, Shih-Yuan Nanoimprint Lithography for Sub-10 nm Complex Patterns
Wang, Ying Novel Fabrication of 3D Combined Nanoscale and Microscale Structures Using Functionalized SPEL
Wang, Zhihong Ferrofluid Lithography
Wang, Ziqian Direct Patterning and Imaging of Graphene Structures with a Helium Ion Microscope
Wanzenboech, H.D. Analysis And Evaluation Process For Quantification Of Residual Gas Deposition By A Focused Electron Beam
Wanzenboeck, Heinz D. NIL Stamp Modification Utilizing Focused Ion Beams
Warisawa, Sin'ichi Evaluations Of The Hopping Growth Characteristics On 3-D Nanostructure Fabrication Using Focused-Ion-Beam
Watanabe, Takeo Mask Observation Result using Coherent EUV Scattering Microscopy at New SUBARU
Watson, G. Patrick High Density Submicron Features Using A Laser Pattern Generator And Double Patterning
Weber-Bagioni, Alex Nanofabrication and Optical Characterization of Optical Transformer with 2-D Tapered Tip
Weber-Bargioni, Alexander Manipulating Nano-scale Light Fields With The Plasmonic Color Nanosorter
Weigand, H. Microcolumn Design For A Large Scan Field And Pixel Number
Weimann, Thomas 1nm Thin Carbon Nanosheets With Tunable Conductivity And Stiffness
Weiss, Paul Nanoscale Chemical Patterning
Wellenzohn, Markus Ion Multi-Beam Nanopatterning for Photonic Applications: Experiments And Simulations, Including Study Of Precursor Gas Induced Etching And Deposition
Weller, Dieter Advantages And Challenges In The Directed Block Copolymer Assembly Approach For Bit Patterned Media
Directed Block Copolymer Assembly to 4 Teradot/in Patterned Media: Chemical or Topographic Guiding?
Whitelegg, Stephen Fabrication of poly(3 -hexylthiophene) (P3HT) in-plane gate transistors by low temperature thermal nanoimprint lithography
Whitlow, Harry Step & Stamp Imprinting Microlithography Studies of Chemical and Topographical Signaling on Osteoblast Cells.
Wieland, M.J. Mapper: High Throughput Maskless Lithography
Wieser, T. Fully Automated Hot Embossing Processes Utilizing High Resolution Working Stamps
Wilke, Stephen Advanced Nanoscale Anode Fabrication for High-Performance Solid Oxide Fuel Cells
Williams, R. S. Sub-10-nm Pattern Transfer and Nanoimprint Molds.
Williams, R. Stanley Fabrication of Nanoscale Memristor Arrays with One Nanoimprint Lithography Step
Reconfigurable Logic Circuits in a Memristor-Transistor Hybrid Chip
Nanoimprint Lithography for Sub-10 nm Complex Patterns
Patterning Curved Surface Using Hybrid Nanoimprint-Soft Lithography Mold
Williamson, Todd Fabrication Of High Density, High-Aspect-Ratio Polyimide Nanofilters
Willson, R. C. Suspended Gold Particles With Magnetic Cores For In Vitro Diagnostics
A Microretroreflector-Based Diagnostic Platform
Wilson, C.G. A Dry-on, Dry-off, Long Wavelength Photoresist for NanoPlasmonic Field Metrology and Lithography
Wilson, R. Parallel Proximal Probe Arrays With Vertical Interconnections
Wimplinger, Markus Positive And Negative Counterpart Working Stamps For Soft UV-NIL Using One Master Design
Wind, Shalom Gold-Tipped Elastomeric Pillars for Cellular Mechanotransduction
Fabrication of Sub-5nm Nanoscale Arrays by Nanoimprint Lithography Combined with an Angle-Evaporated Hard Mask and Lift-off
Observing Protein-DNA Interactions Using Double-Tethered DNA Curtains
Highly Robust Single-Wall Carbon Nanotube-Molecule Junction Device Fabrication Process
Winnubst, Louis Large-area Silicon Masters for the Fabrication of Nanostructured Bioactive Surfaces utilizing Laser Interference Nanolithography and Dry Etching.
Winston, Donald Helium-ion Lithography with Hydrogen Silsesquioxane Resist
Wiraatmadja, Sandy Comparison Of Fast 3D Simulation And Actinic Inspection For EUV Masks With Buried Defects And Absorber Features
Witt, Martin (Invited) Programmable Aperture Plate System with integrated CMOS electronics for projection maskless nanolithography and nanopatterning
Wolfe, J.C. Shot Noise In Light Ion And Neutral Particle Lithography
A Point Source Of Energetic Helium Atoms For Proximity Lithography
Wolkow, Robert Applying Lessons From Atom-Scale Science To Develop True Single-Atom Sized Electron And Ion Sources
Woo, Sang-Gyun Pattern Placement Error Due To Resist Charging Effect At 50kv E-Beam Writer
Wood, Obert Experimental Determination of Image Placement Accuracy in EUV Lithography
Wu, Jing-Tang Imprinting with Revolving-belt for Effective and Efficient Replication of Microstructures
Wu, Jong-Ching Diameter-Dependent Extraordinary Optical Transmission Of Dielectric Hole-Array Membrane
Wu, Ming Nanofabrication and Optical Characterization of Optical Transformer with 2-D Tapered Tip
Wu, W. Sub-10-nm Pattern Transfer and Nanoimprint Molds.
Wu, Wei Fabrication of Nanoscale Memristor Arrays with One Nanoimprint Lithography Step
Reconfigurable Logic Circuits in a Memristor-Transistor Hybrid Chip
Nanoimprint Lithography for Sub-10 nm Complex Patterns
Patterning Curved Surface Using Hybrid Nanoimprint-Soft Lithography Mold
Wu, Xuan Fabrication Of Hard X-Ray Zone Plates With Very High Aspect-Ratio By X-Ray Lithography
Wu, Yueying Directed, Liquid Phase Assembly of Patterned and Thin Metallic Films by Pulsed Laser Dewetting
Wullinger, Michaela Line Edge Roughness Contribution from Mask to Wafer

X

Xavier, Stephane Versatile Applications Of 80 Kv Electron Beam Lithography : From Nanotubes To Biochips
Xia, Deying Tailoring Anisotropic Wetting Properties on One-Dimensional Nanopatterned Surfaces
Xia, Q. Sub-10-nm Pattern Transfer and Nanoimprint Molds.
Xia, Qiangfei Fabrication of Nanoscale Memristor Arrays with One Nanoimprint Lithography Step
Reconfigurable Logic Circuits in a Memristor-Transistor Hybrid Chip
Nanoimprint Lithography for Sub-10 nm Complex Patterns
Patterning Curved Surface Using Hybrid Nanoimprint-Soft Lithography Mold
Xiang, Bin Nanoscale Laser Processing Using Near-Field Optics Combined With Electron Microscopy
Xiao, Shuaigang Advantages And Challenges In The Directed Block Copolymer Assembly Approach For Bit Patterned Media
Directed Block Copolymer Assembly to 4 Teradot/in Patterned Media: Chemical or Topographic Guiding?
Xie, Changqing Fabrication Of Hard X-Ray Zone Plates With Very High Aspect-Ratio By X-Ray Lithography
X-Ray Transmission Gratings With Enhanced Second Orders And Deflected Odd Orders
Xie, Peng Sidewall Spacer Double Patterning Technique With Reduced Process Complexity
193nm Photoresist Hybrids for Sub-32nm Resolution, LER, and Sensitivity Requirements
Xiong, Gang Fabrication of Nanoscale ZnO Fets Using The Functional Material Zinc Neodecanoate Directly As A Negative E-Beam Lithography Resist
Xu, Bing (Invited) Lithography With Nanometer Precision On Monster Substrates
Xu, Ting Large Area Negative Refractive Index Structures at Optical Frequencies Using Nanoimprint Lithography
Xu, Yuan Advantages And Challenges In The Directed Block Copolymer Assembly Approach For Bit Patterned Media

Y

Yablonovitch, Eli Nanofabrication and Optical Characterization of Optical Transformer with 2-D Tapered Tip
Yakimov, Eu. Sub-10nm Test-Sample For Characterization And Tuning Of Focused Electron Beam Used In Technology, Inspection And Diagnostics
Yakubovsky, Andrei Yu. Fabrication Of Metal Nanowires By Ion Beam Irradiation Of Metal Oxide Through High Aspect Ratio Resist Mask
Yamabe, Masaki Evaluation of Each Electron Beam and Exposure Results with Four Column Cells in Multi Column E-Beam Exposure System
Yamada, Akio Evaluation of Each Electron Beam and Exposure Results with Four Column Cells in Multi Column E-Beam Exposure System
Yamada, Shoko High Volume Manufacturing Based on NanoImprint Lithography on Rough and Non-Planar Substrates
Yan, Minjun Ultra-Dense Gold Nanostructures Fabricated Using Hydrogen Silsesquioxane (HSQ) Resist And Applications For Surface-Enhanced Raman Spectroscopy (SERS)
Investigation Of Surface Roughness Of Poly(Methylmethacrylate) At Reduced Temperatures
Yanagisawa, Masaki Evaluation of Nanoimprint Lithography as a Fabrication Process of Phase-shifted Diffraction Gratings of Distributed Feedback Laser Diodes
Yang, J. Joshua Fabrication of Nanoscale Memristor Arrays with One Nanoimprint Lithography Step
Reconfigurable Logic Circuits in a Memristor-Transistor Hybrid Chip
Yang, J. K. W Sub-10-nm Pattern Transfer and Nanoimprint Molds.
Yang, Joel K.W. Contrast Enhancement Behavior of Hydrogen Silsesquioxane (HSQ) in a Salty Developer
Yang, Joel Controlled Self-Assembly Of Linear Structures For Nanoscale Device Fabrication
Helium-ion Lithography with Hydrogen Silsesquioxane Resist
Understanding Of Hydrogen Silsesquioxane Resist For Sub-5-Nm Half-Pitch Electron-Beam Lithography
Limiting Factors in Sub-10-nm Scanning Electron Beam Lithography
Lift-off of Sub-15-nm Hydrogen Silsesquioxane (HSQ) Structures
Nanoimprint Lithography for Sub-10 nm Complex Patterns
Yang, Ki-yeon Fabrication of TiO2 Nano Patterns using Direct Imprinting with TiO2 Sol
Yang, Ki-Yeon Direct Indium-Tin-Oxide Patterning Using Thermal Nanoimprint Lithography And ITO Nano-Particle Solution
Yang, Peidong Manipulating Nano-scale Light Fields With The Plasmonic Color Nanosorter
Yang, Sen-Yeu Imprinting with Revolving-belt for Effective and Efficient Replication of Microstructures
Complete Reversal Imprinting For Fabricating Microlens Array With High Height Transcription
Ultra-Large And Thin Light Guide Plates Fabricated Using UV Imprinting Process
Yang, Su-Geun Top-down Fabrication of Monodisperse Non-Spherical Polymer Composite Particles for Nanomedicine Applications
Yang, XiaoMin Advantages And Challenges In The Directed Block Copolymer Assembly Approach For Bit Patterned Media
Yang, Xiaomin Directed Block Copolymer Assembly to 4 Teradot/in Patterned Media: Chemical or Topographic Guiding?
Yang, Yinxiao Impact of Edge Roughness on Graphene Nanoribbons
Yankov, Vladimir Fabrication of Novel Digital Optical Spectrometer-on-chip
Yariv, Amnon Advanced Silicon Processing for Active Integrated Photonic Devices
Yase, Kiyoshi Fabrication Of Organic TFT Arrays On A Flexible Sheet By Microcontact Printing
Yashchuka, Valeriy Development of Pseudo-random Binary Gratings and Arrays for Calibration of Surface Profile Metrology Tools
Yasuda, Hiroshi Evaluation of Each Electron Beam and Exposure Results with Four Column Cells in Multi Column E-Beam Exposure System
Yasuda, Masaaki Molecular Dynamics Study On Fracture Of Si Mold In Nanoimprint For Glass Film
Comparison of Demolding Forces for Various Si Molds and Mold with Extremely Smooth Side Wall
Molecular Dynamics Study on Bending Deformation of Carbon Nanotubes by Electron Beam Irradiation
Ye, Tianchun Fabrication Of Hard X-Ray Zone Plates With Very High Aspect-Ratio By X-Ray Lithography
X-Ray Transmission Gratings With Enhanced Second Orders And Deflected Odd Orders
Ye, Wei Direct Writing Of Nanoscale Hafnium Diboride Metallic Nanostructures On Hydrogen Passivated Silicon (100) Surfaces Using A UHV-STM
Yen, Jia-Yush Stitching Periodic Submicron Fringes By Utilizing Step-And-Align Interference Lithography (SAIL)
Yeo, Jeongho Characterization Of Pattern Placement Error For Sub-40-Nm Memory Devices
Yerci, Selcuk Nanofabrication Of Deterministic Aperiodic Structures For Radiative Engineering In Nanoplasmonics
Yesilkoy, Filiz Thin Film Antenna Coupled Conductor-Barrier-Conductor (CBC) Diode Implementation Using E-Beam Proximity Correction for Light Detection
Yin, Ran 193nm Photoresist Hybrids for Sub-32nm Resolution, LER, and Sensitivity Requirements
Yoo, J. J. Spatial Dose Control for Fabrication of Saw-tooth Structures
Yoo, Jung Jae Step Width Adjustment in Fabrication of Staircase Structures
Yoon, Dae-geun Resistive Switching Memory Fabricated by UV-NIL Process
Yoon, Kyung-min Fabrication of TiO2 Nano Patterns using Direct Imprinting with TiO2 Sol
Yoon, Kyung-Min Direct Indium-Tin-Oxide Patterning Using Thermal Nanoimprint Lithography And ITO Nano-Particle Solution
Yoshida, Hiroshi 9x Density Multiplication Of HCP Lattice Pattern By Chemically Directed Block Copolymer Self-Assembly
Directing Block Copolymers Assembly within Patterned Media Specifications
Yoshimizu, Norimasa Self-Powered Electron Lithography
Yoshinaga, Hiroyuki Evaluation of Nanoimprint Lithography as a Fabrication Process of Phase-shifted Diffraction Gratings of Distributed Feedback Laser Diodes
Younkin, Todd High Performance Negative Tone Molecular Resists Using Cationic Polymerization
Sub-millisecond Post Exposure Bake of Chemically Amplified Resists by CO2 Laser Spike Annealing
Can Acid Amplifiers Help Beat the RLS Trade-Off?
Yuan, Changsheng Patterning Curved Surface Using Hybrid Nanoimprint-Soft Lithography Mold
Yueh, Wang Can Acid Amplifiers Help Beat the RLS Trade-Off?

Z

Zach, Michael Electrodeposition of Patterned Metal and Semiconductor Microwires on Ultrananocrystaline Diamond Electrodes
Zadok, Avi Advanced Silicon Processing for Active Integrated Photonic Devices
Zaitsev, S. Sub-10nm Test-Sample For Characterization And Tuning Of Focused Electron Beam Used In Technology, Inspection And Diagnostics
Zaitsev, Sergey Coarse-Grain Simulation Of Resist Flow In SFIL
IMPRINT Software: Stamp Bending Compensation, Residual Layer And Cavities Fullness Prediction
Zajadacz, Joachim Preparation Of Diamond-Like Channels In SU-8 For Optical Control Of The Filling State
Zakhidov, Alex (Invited) Photolithographic Patterning for Organic Electronics
Zakhor, Avideh Iterative Procedure For In-Situ Optical Testing Of EUV Exposure Tools With An Incoherent Source
Zamarreno, Carlos Ruiz Application of C60 to Improve the SPLEBL Reference Signal
Zawierucha, P. Parallel Proximal Probe Arrays With Vertical Interconnections
Zawierucha, Pawel Parallel Imaging With Micromachined Self-Actuated Piezoresistive Proximal Probes
Zelsmann, M. Surface Plasmons Coupled To Excitons For Photoluminescence Enhancement In Printed 2D Polymer Photonic Structures
Zelsmann, Marc Double-Anchoring Fluorinated Molecules For Anti-Adhesion Mold Treatment in UV Nanoimprint Lithography
Zeng, Hongjun Nanofabrication of Sharp Diamond Tips by E-beam Lithography and ICP-RIE
Zhang, Qiaolin (Charlie) Wafer Topography Proximity Effect Modeling and Correction for Patterning the Implant Layer
Zhang, Shouyin A System For Massive, Rapid Material Removal For Device Analysis In Monolithic 3D Integrated Circuits
Zhang, Xianhui 1nm Thin Carbon Nanosheets With Tunable Conductivity And Stiffness
Zhang, Y. Hydrogen Silsesquioxane-Based Hybrid Electron Beam And Optical Lithography For High Density CMOS Prototyping
Zhang, Yuegang Electrostatic Exfoliation of Pre-Patterned Graphene Micro- and Nanostructures
Zhang, Zhaoyu Manipulating Nano-scale Light Fields With The Plasmonic Color Nanosorter
Zhao, Lin Large-Area Manhattan Patterns via Cutting of Gratings
Zhao, Meng 193nm Photoresist Hybrids for Sub-32nm Resolution, LER, and Sensitivity Requirements
Zhao, Wei Direct Write Reliefs in Excess of One Micrometer on Chalcogenide Thin-Films Using Electron Beams
Zhao, Yong (Invited) Lithography With Nanometer Precision On Monster Substrates
Zhou, Haiping Fully Self-Aligned Process For Fabricating 100 Nm Gate Length Enhancement Mode GaAs MOSFETs
Zhou, Jing A Competition Between Vertical and Lateral Instabilities in Parallel Line-Space Gratings Fabricated by Nanoimprint Lithography
Zhou, Min Organic Solar Cells Using Imprinted P3HT Nanostructures: The Effects Of Geometry, Crystallization And Chain Ordering
Zhou, Ye High Volume Manufacturing Based on NanoImprint Lithography on Rough and Non-Planar Substrates
Zhu, Xiaoli Fabrication Of Hard X-Ray Zone Plates With Very High Aspect-Ratio By X-Ray Lithography
Ziberi, Bashkim Self-Organized Pattern Formation By Ion-Beam Erosion For Antireflection Surfaces
Hierarchical Nano-Structuring By Combining Ion Induced Self-Organization And Lithographic Pre-Patterning
Zielong, M. Parallel Proximal Probe Arrays With Vertical Interconnections
Zielony, Michal Parallel Imaging With Micromachined Self-Actuated Piezoresistive Proximal Probes
Zier, M. Parallel Proximal Probe Arrays With Vertical Interconnections
Zimmer, Klaus Preparation Of Diamond-Like Channels In SU-8 For Optical Control Of The Filling State
Hierarchical Nano-Structuring By Combining Ion Induced Self-Organization And Lithographic Pre-Patterning
Zimmerman, Paul 193nm Photoresist Hybrids for Sub-32nm Resolution, LER, and Sensitivity Requirements
Zimmermann, R. (Invited) Design Verification for sub 70 nm DRAM nodes via Metal Fix using E-Beam Direct Write
Zollner, J. Parallel Proximal Probe Arrays With Vertical Interconnections
Zöllner, Jens Parallel Imaging With Micromachined Self-Actuated Piezoresistive Proximal Probes
Zonnevylle, A. C. Growing Carbon Nano Tubes With A Simple CVD Process On Predefined Patterns Of Pd Nano Particles That Where Positioned To Charge Patterns Created With A Scanning Electron Microscope
Zonnevylle, A.C Measurements On The Electron Optical Properties Of A Multi-Electron Beam Source in a SEM Chamber
Zuckermann, Ronald Precise Placement of a Single Quantum Dot in a Bowtie Nanoantenna Gap
Zurich, ETH (Invited) Why Mechanical Forces Matter in Health and Disease: proteins as mechano-chemical switches

EIPBN Abstracts