EIPBN

EIPBN 2009 Table of Contents


Section Abstract and Authors
1A-1
Plenary

Nanostructure Incorporation in Analytical Systems, Harold Craighead, Cornell University

We have investigated incorporation of nano-scale tubes, fibers, apertures, and other structures into fluidic systems, and linking these to electrical, optical or mechanical signal transduction. These approaches can be used to investigate molecular manipulation and detection. They also allow study of chemical and biochemical reactions at the individual molecule level.

1A-2
Plenary

Nanoscale Chemical Patterning, Paul Weiss, The Pennsylvania State University

We exploit our ability to control self- and directed assembly at the sub-nanometer scale in order to create nanoscale chemical patterns, as well as to extend and to enhance soft and hybrid lithographies. The interactions between molecules can be tailored, controlled, and directed.

1A-3
Plenary

Applying Lessons From Atom-Scale Science To Develop True Single-Atom Sized Electron And Ion Sources, Robert Wolkow, University of Alberta

The atom-scale crafting of nano-tips will be described and directly illustrated. The talk will conclude with a description of our current effort to realize Gabor's dream of a point-projection microscope capable of atom-resolved, element specific, tomographic imaging of nano-structures.

1A-4
Plenary

Information on a Small Scale: The Power of Nanophotonics, Evelyn Hu, Harvard University

This talk will illustrate some of the opportunities available with nanophotonic structures formed in semiconductor materials

1A-5
Plenary

How Will We Manufacture at the Nanoscale?, Thomas Theis, IBM T.J. Watson Research Center

How long can exponential reduction in critical feature size be sustained? The answer will depend on the existence of strong economic drivers as well as on technical feasibility. I briefly survey the emerging devices and applications -- the economic drivers -- as well as recent exciting scientific and technical progress in nanopatterning.

2A-1
Directed Block Copolymer Self Assembly

(Invited ) Directed Polymer Self-assembly for Lithography Application, Joy Cheng, Charles Rettner, Daniel Sanders, Hoa Truong, Ho-Cheol Kim, William Hinsberg and Robert Allen, IBM Almaden Research Center

Directed self-assembly (DSA) which combines lithographically defined substrates and self-assembled polymers has been considered as a potential candidate to extend lithography. This paper discusses our studies on DSA of block copolymers which generates uniform nanometer-scale features with higher spatial resolution, precise placement and improved pattern quality.

2A-2
Directed Block Copolymer Self Assembly

Pathways For Implementation Of Block Copolymer Lithography In Nanomanufacturing, Paul Nealey, Shengxiang Ji, Guoliang Liu and Chi-Chun Liu, University of Wisconsin, Madison

Here we demonstrate a new technique called molecular transfer printing (MTP) that allows us to create, transfer, and easily replicate chemical patterns using block copolymers.  MTP addresses a major risk in the implemenation of directed assembly and block copolymer lithography in manufacturing - risk and expense of defining chemical prepatterns by electron beam or EUV lithography.

2A-3
Directed Block Copolymer Self Assembly

Controlled Self-Assembly Of Linear Structures For Nanoscale Device Fabrication, Joel Yang, Yeon Sik Jung, Caroline Ross, Karl Berggren and Jae-Byum Chang, Massachusetts Institute of Technology

We present a promising approach to high-resolution device manufacturing with high throughput. This approach allowed us to control the ordering and local orientation of line-forming block copolymers into zig-zags and meander structures using a sparse array of dots and dashes made by electron-beam lithography.

2A-4
Directed Block Copolymer Self Assembly

Nanolithography Applications Of Siloxane Block Copolymers: Systematic Pattern Tunability And Pattern-transfer, Yeon Sik Jung and Caroline Ross, Massachusetts Institute of Technology

We present long-range ordering of PS-PDMS block copolymers with different morphologies, which can be systematically tuned using a solvent-vapor treatment technique. The self-assembled patterns are utilized for making various sorts of nanostructures such as nanoscale lines, rings, dots, and antidots made of conducting polymers or metals, with sub-30 nm period.

2A-5
Directed Block Copolymer Self Assembly

9x Density Multiplication Of HCP Lattice Pattern By Chemically Directed Block Copolymer Self-Assembly, Hiroshi Yoshida, Yasuhiko Tada, Satoshi Akasaka*, Mikihito Takenaka*, Hirokazu Hasegawa*, Ricardo Ruiz** and Elizabeth Dobisz**, Hitachi, *Kyoto University, **Hitachi Global Storage Technologies

We demonstrate that pattern density of lithographically defined template can be multiplied by a factor of 9 by chemically directed self-assembly of cylinder forming PS-b-PMMA block copolymer.  Thickness of PS-b-PMMA film played an important role for successful interpolation of the cylinders between chemically patterned lattices to achieve high-order density multiplication.

2A-6
Directed Block Copolymer Self Assembly

Self-Assembled Nanostructures With Ring Arrays And Square Pattern From Organometallic-Containing Triblock Terpolymers, Vivian Chuang, Caroline Ross, Jessica Gwyther* and Ian Manners*, Massachusetts Institute of Technology, *University of Bristol

We demonstrate the generation of two morphologies: closepacked rings, and square arrays of dots. Moreover, since the features are made from an organometallic block, they have a high etch contrast and etch resistance compared to the organic blocks of the triblock terpolymer, making them useful for pattern transfer.

2B-1
NanoImprint Process

Imprint And Pattern Transfer Of Silica Sol-Gel Resist: A Powerful Nanofabrication Approach, Christophe Peroz, Allan S.P. Chang*, Bruce Harteneck*, Scott Dhuey*, Deirdre Olynick* and Stefano Cabrini*, Abeam Technologies, *Lawrence Berkeley National Laboratory

Soft nanoimprinting of inorganic sol gel materials is reported and we demonstrate the potential pattern transfer and multilevel structures fabrication.

2B-2
NanoImprint Process

Novel Fabrication of 3D Combined Nanoscale and Microscale Structures Using Functionalized SPEL, Ying Wang and Stephen Chou, Princeton University

Here we propose and demonstrate a new approach (Functionalized self-perfection by liquefaction) to the fabrication of certain 3D combined nanoscale and microscale structures, which has only a few yet simple steps, hence greatly reducing the fabrication steps, time and cost.

2B-3
NanoImprint Process

Self-Limited Self-Perfection by Liquefaction for Sub-20nm Trench/Line Fabrication, Yixing Liang, Patrick Murphy, Wen-Di Li and Stephen Chou, Princeton University

We proposed and demonstrated a new approach to pressed self-perfection-by-liquefaction (P-SPEL).  The new method is a self-limiting, hence eliminating the critical need to precisely control the gap between the top pressing plate and the substrate. We achieved 20 nm wide trenches and lines from initial 90 nm trenches and 140% improvement in line edge roughness.

2B-4
NanoImprint Process

(Invited) Nanometer-Scale Polymer Flow During Nanoimprint Lithography, William King, University of Illinois Urbana-Champaign

Polymer squeeze flow governs the dynamics of nanoimprint lithography.  We have made stress-strain measurements of nanometer-scale polymer squeeze flow using instrumented nanoindentation.  Measurements on high molecular weight, entangled polystyrene films showed thin polymer films being softer than expected and high molecular weight films softer than low molecular weight films.

2B-5
NanoImprint Process

Dimension Reduction Of Nano-Gratings By Controlled Melting Of Patterned Polymer Mask, Krutarth Trivedi and Wenchuang (Walter) Hu, University of Texas

We develop a simple process of dimension reduction of imprinted line gratings to single-digit nanometer regime by controlled melting of polymer lines to reduce the slope of the grating sidewall, followed by oblique angle metal evaporation and plasma etching. This method provides a low cost and low temperature process to shrink pattern dimensions.

2C-1
Electron Beam Lithography Tools

Design for EB(DFEB), a Novel Approach to EBDW Throughput Enhancement For Volume Production, Takashi Maruyama, Shinji Sugatani, Haruo Tsuchikawa, Hiromi Hoshino*, Yasuhide Machida*, Masaru Ito*, Haruyuki Tago**, Larry Chau#, Shone Lee# and Hideaki Komami##, *Fujitsu Microelectronikcs Ltd., **D2S KK, #D2S Inc., ##ADVANTEST Corp., e-Shuttle, Inc.

We report the new concept of DFEB which enables much higher shot count reduction rate of more than 10 times. Starting with RTL DFEB customized net-list is synthesized with DFEB customized library and optimized to minimize the estimated shot count, while keeping the circuit performance.

2C-2
Electron Beam Lithography Tools

Self-Powered Electron Lithography, Yuerui Lu, Norimasa Yoshimizu and Amit Lal, Cornell University

Electron-beam exposure has high resolution, but suffers from the low throughput during serial beam writing. We have designed and experimentally demonstrated a low-cost Self-Powered-Electron-Lithography (SPEL), which utilizes the spontaneously emitted energetic electrons from beta-emitting radioisotope thin-films. This approach enables massively parallel e-beam lithography, without limit on concurrently exposed surface area.

2C-3
Electron Beam Lithography Tools

Carbon Nanotube Field Emitters for Micro-Column Scanning Electron Microscopy and Nanolithography, Bryan Ribaya, Darrell Niemann, Mahmud Rahman, David Blake* and Cattien Nguyen**, Santa Clara University, *NASA Ames Research Center, **ELORET Corp.

We demonstrate a MEMS technique for the fabrication of an individual carbon nanotube field emission electron gun for high resolution electron microscpopy and lithography applications.  Our technique resolves key issues which have previously hindered micro-column development.  This approach enables precise system component alignment while improving the thermal and mechanical properties.

2C-4
Electron Beam Lithography Tools

Amino-Propyl-Triethoxy-Silane (APTES) on Aluminum Fiducial Grids for Spatial-Phase-Locked Electron-Beam Lithography, Chandan Samantaray and J. Todd Hastings, University of Kentucky

This is based upon the "Amino-propyl-triethoxy-silane (APTES) on aluminum fiducial grids for spatial-phase-locked electron-beam lithography" for metrology.

2C-5
Electron Beam Lithography Tools

Reversible Shape Changes Of The End Facet On Schottky Electron Emitters, M.S. Bronsgeest and P. Kruit, Delft University

Schottky emitters are predominant in today's focused electron beam equipment. The exact geometry of the tip (-1µm diameter) affects the probe current - probe size relation of such systems, because it determines the field enhancement at the emitting surface. By monitoring the emission pattern it was found the geometry of the end facet is a function of the operating conditions.

2C-6
Electron Beam Lithography Tools

Image Processing Using Shape Recognition for Alignment to Damaged Registration Marks in Electron Beam Lithography, Ernst Kratschmer, David P. Klaus , Raman Viswanathan, Martin Turnidge*, Lloyd P. Reed* and Betsy McPhail*, IBM T.J. Watson Research Center, *Vistec Lithography

While the achievable overlay using traditional registration hardware and software is ultimately limited by the quality of the registration marks, this novel image processing technique has been used successfully to register to marks changed significantly by device process steps between overlay critical exposure levels.

2D-1
Optical Lithography

Line Edge Roughness Contribution from Mask to Wafer, Yuansheng Ma, Anita Fumar-Pici*, Anna Tchikoulaeva, Michaela Wullinger**, Bruno LaFontaine, Jongwook Kye and Harry Levinson, Advanced Micro Devices, *ASML, **AMTC

In this paper, we will present our study of LER transfer from mask to wafer by understanding the LER transfer function as a function of spatial frequency.

2D-2
Optical Lithography

(Invited) Overcoming the Patterning Challenges of Extreme K1 Imaging, Martin Burkhardt, IBM Advanced Lithography

Collectively, double-patterning is a set of techniques that use conventional optical lithography to reduce the effective pitch with the use of innovative processes. In particular, pitch-split double exposure techniques are under development as are self-aligned double patterning techniques. Overlay and design-sensitivity are key challenges for double-patterning technology.

2D-3
Optical Lithography

Tailoring Anisotropic Wetting Properties on One-Dimensional Nanopatterned Surfaces, Xiang He, Deying Xia, Ying-Bing Jiang, Gabriel Lopez and Steven Brueck, University of New Mexico

Surface modification by plasma treatment with CHF3 or O2 was used to tailor one-dimensional nanopatterned surfaces exhibiting strongly anisotropic wetting between ultrahydrophic and ultrahydrophilic with a decreased anisotropy without changing the physical pattern profiles. The recovery of the anisotropic wetting was achieved by spin-coating thin polymer overcoat.

2D-4
Optical Lithography

Reproducible Periodic Patterns Using Coherent Diffraction Lithography And Interferometric Spatial-Phase Imaging, Corey P. Fucetola, Amil A. Patel, Euclid E. Moon and Henry I. Smith, Massachusetts Institute of Technology

Periodic structures are required in a variety of applications including photonic crystals.  Coherent-Diffraction Lithography (CDL), a mask-based interference lithography approach, utilizes the well-known Talbot effect to replicate periodic patterns. We describe developments of CDL permitting replication of a phase mask on a substrate at a gap greater than 50 microns.

2D-5
Optical Lithography

Dual Wavelength Interference Lithography, Thomas O'Reilly and Rajesh Menon, Massachusetts Institute of Technology

A dual wavelength interference lithography system has been developed for use with Absorbance Modulation Optical Lithography (AMOL).  The system is intended to write gratings and other patterns with periods below the conventional diffraction limit and to test materials for use with AMOL.

P-1A-1
Optical Lithography Session Posters

Characterization Of Pattern Placement Error For Sub-40-Nm Memory Devices, Jangho Shin, Dongho Cha, Jeongho Yeo, Hochul Kim, Seong-woon Choi and Woosung Han, Samsung Electronics Co.

Pattern placement error (PPE) is caused by lens aberration and telecentric error. In this paper, the impact of lens aberration and telecentric error on PPE is characterized for sub-40-nm memory devices so that the size of mark segmentation is optimized as a function of illumination condition, through-pitch behavior.

P-1A-2
Optical Lithography Session Posters

Sidewall Spacer Double Patterning Technique With Reduced Process Complexity, Peng Xie and Bruce Smith, Rochester Institute of Technology

The study explores a double patterning process scheme utilizing a resist freeze process to simplify the sidewall spacer approach by replacing the CVD-CMP-etch-stripping process with a three-step vapor phase reaction (VPR)-RIE-development process. Repeating the process with an interdigitating mask allows for patterns between two groups of spacers, leading to higher harmonic patterning.

P-1A-3
Optical Lithography Session Posters

Optimization Of Multilayer Absorptive Antireflection Coatings For Hyper-NA Optical Lithography, Ryoung-han Kim and Harry Levinson, Advanced Micro Devices

P-1A-4
Optical Lithography Session Posters

Stitching Periodic Submicron Fringes By Utilizing Step-And-Align Interference Lithography (SAIL), Yung-Ping Chen, Cheng-Hung Chen, Jer-Haur Chang, Hsin-Chieh Chiu, Guan-Yu Chen, Chieh-Hsiu Chiang, Lien-Sheng Chen, Ching-Tung Tseng, Chih-Hsien Lee, Jia-Yush Yen and Lon Wang, National Taiwan University

This paper demonstrates a method to form continuous submicron gratings by exposing successively a unit area filled with interference fringes. Two neighboring exposed areas were stitched together by utilizing step-and-align interference lithography (SAIL) to yield seamless gratings.

P-1A-5
Optical Lithography Session Posters

Combined Near-Field Lithography And Reversal Imprint For High Resolution Patterning In Wafer Scale, Bing-Rui Lu, Yifang Chen, Ejaz Huq, Xin-Ping Qu* and Ran Liu*, rutherford Appleton Laboratory, *Fudan University

We have developed a novel high resolution lithography technique by combining near field lithography with reversal imprint technique. This new technique is capable of cost effective patterning in wafer scale and with potential of application in industry for mass production.

P-1A-6
Optical Lithography Session Posters

Influence Of Polarization On Absorbance Modulated Sub-Wavelength Grating Structures, John Foulkes and Richard Blaikie, University of Canterbury

We use the finite element method to investigate the effect of the polarisation of the incident field on a thin layer having with modulated absorbance. The impact of the results on the use of the layer in a lithography system is considered.

P-1A-7
Optical Lithography Session Posters

A Dry-on, Dry-off, Long Wavelength Photoresist for NanoPlasmonic Field Metrology and Lithography, P.T. Carmichael, J.A. Liddle, J.R. Strahan*, and C.G. Wilson*, National Institute of Standards and Technology, *The University of Texas at Austin

We have developed a negative tone photoresist which works across the ultraviolet, the blue, and the red regions of the electromagnetic spectrum. The resist is applied via thermal evaporation and is developable with heat. Applications for this resist exist in nanoplasmonic metrology and lithography.

P-1B-1
Extreme Ultraviolet Lithography Session Posters

Carbon Nanotube-confined MnO2/C-MEMS Nanostructures for On-Chip Electrochemical Capacitors, Wei Chen, Majid Beidaghi and Chunlei Wang, Florida International University

We develop a novel method combining both “top-down” and “bottom-up” to fabricate integrated carbon nanotube (CNTs)/C-MEMS 3D nanostructures for on-chip supercapacitors. To achieve even improve the capacitance, MnO2 nanoparticles are introduced into CNT channels. This CNT-confined MnO2 composites show high specific capacitance.

P-1B-2
Extreme Ultraviolet Lithography Session Posters

Iterative Procedure For In-Situ Optical Testing Of EUV Exposure Tools With An Incoherent Source, Ryan Miyakawa, Patrick Naulleau* and Avideh Zakhor, University of California Berkeley, *Lawrence Berkeley National Laboratory

We propose an iterative procedure for optical testing of EUV tools with an incoherent source.  The simulation data utilizes the novel SOCS  algorithm for fast imaging calculations.  The optimization is performed using an adapted simulated annealing algorithm.

P-1B-3
Extreme Ultraviolet Lithography Session Posters

Two Stage Ion Beam Figuring And Smoothing Method For Shape Error Correction Of ULE®Substrates Of EUVL Multilayer Mirrors - Evaluation Of Surface Roughness, Kazuma Kamijo, Ryou Uozumi, S.Ahmed Pahlovy, Iwao Miyamoto, M. Ando*, and A. Numata*, Tokyo University, *Canon

We developed a method in which low energy ion beam( 0.3 or 0.5 keV)was used for smoothing the ULE surface after figuring the substrates with fine ion beam with energy of 5 keV. Result shows the smooth surface HSFR is 0.10±0.01 nm rms.

P-1B-4
Extreme Ultraviolet Lithography Session Posters

The Improvement Of Imaging Properties By Optimizing The Capping Structure In Extreme Ultraviolet Lithography, Chang Young Jeong, Sangsul Lee, Hyun-Duck Shin, Tae Geun Kim, Sunwoo Lee, In-Sung Park and Jinho Ahn, Hanyang University

we suggest an optimal structure of capping layer for EUVL to minimize mask shadowing effect without a loss of image contrast. Phase shift concept would be a possible solution to improve image contrast with thinner absorber stack.

P-1B-5
Extreme Ultraviolet Lithography Session Posters

Low Energy Ar+ Ion Beam Machining Of Si Thin Layer Deposited On A Zerodur® Substrate For EUVL Optics, Tetsu Iwata, Kazuma Fujiwara, S.Ahmed Pahlovy, Iwao Miyamoto, A. Numata* and Manabu Ando*, Tokyo University, *Canon

We carried out experiments on ion beam machining of Si deposited Zerodur® substrates by Ar+ ion beam with energy of less than 3 keV and evaluate the HSFR of the substrates and gets 0.10nm rms.

P-1B-6
Extreme Ultraviolet Lithography Session Posters

Using Aberration Test Patterns To Optimize The Performance Of EUV Aerial Imaging Microscopes, Iacopo Mochi, Kenneth Goldberg, Ryan Miyakawa*, Patrick Naulleau, Hakseung Han** and Sungmin Huh***, Lawrence Berkeley National Laboratory, *University of California Berkeley, **Samsung Electronics Co., ***SEMATECH

We will describe the use of aberration test patterns to quantify the aberrations of the AIT, an EUV wavelength zoneplate microscope, in order to optimize its optical performances in terms of contrast, measurement repeatability and image resolution.

P-1B-7
Extreme Ultraviolet Lithography Session Posters

Characterization of EUV-Deposited Carboneous Contamination, Toshihisa Anazawa, Yasushi Nishiyama, Hiroaki Oizumi, Iwao Nishiyama and Osamu Suga, Semiconductor Leading-Edge Technologies, Inc.

Carboneous contaminations by EUV light from SR have been investigated by various analytical techniques, including GIXR, ellipsometry, TEM, HR-RBS/HR-ERDA, EELS, etc. It was found that the contamination mainly consisted of carbon but it also contained hydrogen with half the number of carbon atom and the ratio of hydrogen to carbon decreases with the depth.

P-1B-8
Extreme Ultraviolet Lithography Session Posters

EUV Pattern Defect Detection Sensitivity Based On Aerial Image Linewidth Measurements, Kenneth Goldberg, Iacopo Mochi, Chris Clifford*, Ted Liang** and Sungmin Huh***, Lawrence Berkeley National Laboratory, *University of California Berkeley, **Intel Corp., ***SEMATECH

The SEMATECH Berkeley Actinic Inspection Tool (AIT) is a prototype zoneplate microscope dedicated to mask inspection. Using aerial image measurement data from a dense line array, we investigate the current defect detection sensitivity of the AIT.

P-1C-1
Masks Session Posters

Diffraction Grating Fabrication On 100nm Silicon Membrane For EUV Interferometry, Christophe Constancias, Bernard Dalzotto, Philippe Michallon, Yves Le Cunff and Carole Socquet-Clerc, CEA-LETI

Processes have been developped to fabricate ultra thin silicon membrane of 100nm thick without any deformation (less than 1nm). Onto these membranes we succeeded in processing diffraction grating from 30 to 200nm half pitch by, Ebeam lithography and Reactive Ion Etching, optimized for EUV interferometry, i.e diffraction efficiency of 25% versus 7% usually achieved.

P-1C-2
Masks Session Posters

Pattern Placement Error Due To Resist Charging Effect At 50kv E-Beam Writer, Jin Choi, Dong Seok Nam, Byung Gook Kim, Sang-Gyun Woo and Han Ku Cho, Samsung Electronics Co.

We present the charging effect in FEP-171 resist at e-beam writer with accelerating voltage of 50kV and its effect on pattern placement error of photomask

P-1D-1
Modeling and Computer Aided Design Session Posters

Estimation of Resist Profile Using Layer-Based Exposure Modeling, Qing Dai, Soo-Young Lee, Sang Hee Lee*, Byung-Gook Kim* and Han-Ku Cho*, Auburn University, *Samsung Electronics Co.

A simulation approach to estimating the remaining resist profile often leads to a significant error due to an inaccurate PSF, exclusion of development parameters, etc., and also requires extensive computation.  An estimation method which can overcome such drawbacks and reduce computation time by orders of magnitude has been developed.

P-1D-2
Modeling and Computer Aided Design Session Posters

Model Based OPC Runtime Saving With Multi-Segment Solver, Jianliang Li, Xiaohai Li, Steven Deeth, Robert Lugg and Lawrence Melvin III, Synopsys Inc.

A novel method of computing the signal change in MBOPC process with MSS turned on is proposed, which can save the MBOPC runtime significantly.  In the new method, the kernel sensitivity of the calibrated model is analyzed and only the most sensitive kernels are picked for computing the mask perturbation.

P-1D-3
Modeling and Computer Aided Design Session Posters

Fast Resist Development Model for Photolithography Process Simulation, Artak Isoyan and Lawrence S. Melvin III, Synopsys Inc.

In this study a new method for fast resist development process simulation proposed.  This method takes into account the combination of all resist development dependant parameters. The method is based on the resist response function of exposure and development, in both the lateral and longitudinal directions.

P-1D-4
Modeling and Computer Aided Design Session Posters

Wafer Topography Proximity Effect Modeling and Correction for Patterning the Implant Layer, Hua Song, Qiaolin (Charlie) Zhang and James Shiely, Synopsys Inc.

We propose an approximate simulation method that is capable of capturing wafer topography proximity effect in IC device manufacturing and fast enough to be used in full chip mask synthesis. We demonstrate the accuracy of the method and its use in implant layer mask synthesis with numerical examples.

P-1D-5
Modeling and Computer Aided Design Session Posters

Coarse-Grain Simulation Of Resist Flow In SFIL, Vadim Sirotkin, Alexander Svintsov and Sergey Zaitsev, Institute of Microelectronics Technology, RAS

A coarse-grain approach is presented, which make it possible to simulate SFIL process adequately even using standard Personal Computers. The simulation results substantiate the implementation of the viscous flow simulation to achieve optimal dispensing. This dispensing provides uniform filled areas and a homogeneous residual layer thickness in SFIL.

P-1D-6
Modeling and Computer Aided Design Session Posters

IMPRINT Software: Stamp Bending Compensation, Residual Layer And Cavities Fullness Prediction, Nikolaos Kehagias, Vincent Reboud, Clivia M. Sotomayor Torres, Vadim Sirotkin*, Alexander Svintsov* and Sergey Zaitsev*, Catalan Institute of Nanotechnology, *Institute of Microelectronics Technology, RAS

In this work, we review all our results on the use of the IMPRINT software for the residual layer and cavities fullness prediction and for the stamp bending optimization.

P-1D-7
Modeling and Computer Aided Design Session Posters

Design Specific Variation In Via/Contact Pattern Transfer - Full Chip Analysis., Jun-Ho Choy, Valeriy Sukharev, Ara Markosian,  Yuri Granik and Vladimir Bliznetsov*, Mentor Graphics, *Institute of Microelectronics Singapore

A novel model-based algorithm provides a capability to control full-chip design specific variation in pattern transfer caused by via/contact etch processes. It can be used also as a tool for etch process optimization to capture the impact of a pattern density variation on the feature shape distortion.

P-1E-01
Ion Beam Lithography and Processing Session Posters

Large Area Direct-Write Focused Ion-Beam Lithography With A Dual-Beam Microscope, Alexandra Imre, Leonidas E. Ocola, Lauren E. Rich* and Joseph Klingfus**, Argonne National Laboratory, *Missouri University of Science & Technology, ** Raith USA

We have investigated the use of FIB direct-write lithography for large area patterning in an FEI Nova NanoLab 600 Dual Beam microscope. Key issues with regard to configuration, process parameters and procedures have been addressed. We present stitching error characterization and the use of offset patterning and in-field registration marks.

P-1E-02
Ion Beam Lithography and Processing Session Posters

Slow Highly Charged Ions As A New Tool For Surface Nanostructuring, Friedrich Aumayr, Technical University of Wien

The impact of individual slow highly charged ions can lead to surface modifications (nanohillocks, - pits, craters) with nanometer dimensions. For possible applications in nanofabrication this new method holds the promise of forming regular structures on surfaces without inducing defects in deeper lying layers.

P-1E-03
Ion Beam Lithography and Processing Session Posters

Study On Ripple Or Nano Pattern Formation On Si By Low Energy Ar+ Ion Beam And Smoothing Of Rippled Or Nano Patterned Surface, Shahjada A. Pahlovy, Iwao Miyamoto, Junki Kawamura and Motoyuki Nishimura, Tokyo University of Science

We have conducted our research on both ripple or nano pattern formation and finally smoothing of rippled or nano patterned surface by low energy ion beam irradiation. Result shows patterned surface can be smoothed up to 0.11 nm rms.

P-1E-04
Ion Beam Lithography and Processing Session Posters

Beam Induced Deposition Of Metal Using A Helium Ion Microscope, Collin Sanford, Lewis Stern, Louise Barriss and Lou Farkas, Russ Mello, Mark DiManna, Carl Zeiss SMT, Inc.

An ORION helium ion microscope has been used along with an OmniGIS unit to deposit tungsten on silicon substrates while exploring a variety of controllable parameters such as dose rate, dwell, gas pressure, etc.

P-1E-05
Ion Beam Lithography and Processing Session Posters

Novel Nanostructure Fabrication Method Combining Silicon Doping with Focused Ion Beam and Cryogenic Deep Reactive Ion Etching, Nikolai Chekurov, Kestutis Grigoras, Antti Peltonen, Sami Franssila and Ilkka Tittonen, Helsinki University of Technology

We present a novel process for fabricating silicon nanostructures with the lateral dimensions of < 50 nm and high aspect ratio.  The process consists of masking a silicon substrate using a focused gallium ion beam (FIB) and forming structures using a cryogenic deep reactive ion etching (DRIE).

P-1E-06
Ion Beam Lithography and Processing Session Posters

Ion Beam Sharpening Of Diamond Tools Having Small Apex Angle Without Facet And Ripple Formation, Takashi Nagase, Hiroyuki Kato, Yuya Nakamura, S.Ahmed Pahlovy and Iwao Miyamoto, Tokyo University of Science

We have developed a new sharpening method of diamond tools having small apex angle without facet and ripple formations. Also, a simulation method has been developed for predicting the profile changes of diamond tools during ion beam machining at fixed tilt angle.

P-1E-07
Ion Beam Lithography and Processing Session Posters

NIL Stamp Modification Utilizing Focused Ion Beams, Heinz D. Wanzenboeck, Simon Waid and Emmerich Bertagnolli, Vienna University of Technology

The fabrication of NIL masters with features in the sub-µm range is currently  a bottleneck of the NIL technology. Focused ion beam technology is employed to modify prestructured NIL stamps. The capabilities and limitations of FIB as repair technology for NIL stamps will be discussed.

P-1E-08
Ion Beam Lithography and Processing Session Posters

Near-Neighbor Averaging Technique: A Method For Controlling Size Uniformity In Multigenerational Masks, D. Smith, P. Ruchhoeft and D. Litvinov, University of Houston

A practical approach for reducing the size variation in multi-generational aperture array masks is described and experimentally validated.

P-1E-09
Ion Beam Lithography and Processing Session Posters

Conducting FIB Milled Nanowires, Anders Lei, Kristen Høeg Madsen, Jonas Christian Due Buron, Ozlem Sardan, Dirch Hjorth Petersen, Yvonne Gyrsting and Peter Bøggild, Technical University of Denmark

A FIB is used to mill conductive silicon nanowires on prefabricated SOI chips. Nanowires with designed width of 100nm, 200nm and 400nm and length of 1000nm, 2000nm and 4000nm respectively have been milled. The scope of the work is to mill prototype electromechanical or electrical nanostructures.

P-1E-10
Ion Beam Lithography and Processing Session Posters

The Promises Of Graphene Structures Nano-Patterned Using High Resolution Focused Ion Beams, Jacques Gierak, Dalien Lucot, Abdelkarim Ouerghi, Eric Bourhis, Birgitta Schiedt*, Loic Auvray*, Ralf Jede**, Lars Bruchhaus** and Bernd Stegemann**,  LPN-CNRS, *Universite d'Evry, **Raith GmbH

In this presentation we aim at presenting our preliminary investigations in manipulating ultra-thin graphene sheets (2-3 nm thick) subsequently sculpted using a high resolution FIB technique into graphene nanostructures in the nanometre range.

P-1E-11
Ion Beam Lithography and Processing Session Posters

Evaluations Of The Hopping Growth Characteristics On 3-D Nanostructure Fabrication Using Focused-Ion-Beam, Reo Kometani, Sin'ichi Warisawa and Sunao Ishihara, The University of Tokyo

In this study, the scanning speed dependencies of growth mode of carbon nanowire growth on Si substrate were evaluated. As a result, we found that FIB-CVD have the hopping growth mode. Growth characteristics on the hopping growth mode will be reported in detail.

P-1E-12
Ion Beam Lithography and Processing Session Posters

Micro Fabrication Of Planar-Type Structures On Graphite Layer Using Focused Ion Beam And Transport Characterization, V. Gunasekaran and S.J. Kim, Jeju National University

We demonstrated micro fabrication of planar-type structures along ab-plane and c-axis, on thin graphite layers using focused ion beam. We investigated the transport characteristics of R-T and I-V for bare graphite flakes, planar-type structures and c-axis stacks. We observed a peculiar non-linear transport behavior for above structures and discussed briefly.

P-1F-01
Electron Beam Lithography and Processing Session Posters

Study On Writing Strategy For Multiple Electron-Beam Lithography At 5keV, David Rio, Christophe Constancias, Béatrice Icard, Serdar Manakli, Jessi Bustos and Laurent Pain, CEA-LETI

The European project MAGIC aims at the design of a multiple electron-beam machine. Involved into this project, Mapper lithography developes a 5kV beta platform that will be available at Leti. This work aims at defining a stable and robust process at 5kV, to evaluate the tool from Mapper.

P-1F-02
Electron Beam Lithography and Processing Session Posters

Microcolumn Design For A Large Scan Field And Pixel Number, H. Weigand, S. Gautsch*, W. Strohmaier, M. Fleischer, U. Staufer**, N.F. de Rooij and D.P. Kern, University of Tuebingen, *University of Neuchatel, ** Technical University Delft

An electron optical design to address a large number of pixels while keeping the pixel size, i.e. the beam size, as small as possible is presented. Less than 1 cm3 in size, it features a 40 mm working distance and a scan field of more than 7x7 mm2.

P-1F-03
Electron Beam Lithography and Processing Session Posters

Simulation and Experimental Studies of Blanking Speed Limitations on Exposure Speed of Electron Beam Lithography, Junru Ruan, Ananthan Raghunathan, Nigel Crosland*, Sam Doran* and John Hartley, University at Albany, *Vistec Semiconductor Systems

Slow blanking speed is one of the potential bottlenecks for the system speed in single pixel, probe forming systems.  Simulation and experimental results will show that the exposure time of an e-beam lithography system has to be much larger than the rise time of the blanking voltage in order to achieve high pattern fidelity.

P-1F-04
Electron Beam Lithography and Processing Session Posters

Operation And Performance The CNSE Vistec VB300 Electron Beam Lithography System, John Hartley, Timothy Groves, Andrew McClelland*, Nigel Crosland*, Phil Barlow*, John Cunanan*, Keping Han* and Edward Fitzgerald*, State University of New York at Albany, *Vistec Lithography Inc.

A Vistec VB300 system has been installed and accepted into the CNSE 300mm process line.  The VB300 installation is fully compatible with the 300mm process capability at CNSE and will enable a varitey of advance process integration experiments for early post CMOS learning.  Results of the acceptance tests are presented along with results of early process experiments.

P-1F-05
Electron Beam Lithography and Processing Session Posters

Off-Axis Emission Properties for the Schottky Electron Source, Kun Liu, Greg Schwind and Lyn Swanson, FEI Corp.

The critical electron source properties: energy spread, brightness, and angular intensity of ZrO/W Schottky source as a function of emission angle will be presented.  Analysis of the Boersch contribution to the energy spread will be discussed.

P-1F-06
Electron Beam Lithography and Processing Session Posters

Electron Reflection from Metal Targets, Juan R. Maldonado, Yun Sun, Richard Tsai*, Allen Carroll*, Fabian Pease and Piero Pianetta, Stanford University, *KLA-Tencor

We describe a technique utilizing a photocathode source which allows comparison of the electron reflection from different metal targets and may be applicable to the design of e-beam lithography tools like REBL. The CsBr based photocathode utilized provides an energy spread of <0.9 eV. Experimental results will be presented.

P-1F-07
Electron Beam Lithography and Processing Session Posters

Versatile Applications Of 80 Kv Electron Beam Lithography : From Nanotubes To Biochips, Stephane Xavier, Sylvain Combrié, Gaëlle Lehoucq, Eric Minoux, Paolo Bondavalli, Pierre Legagneux, Simone Cassette, Amael Caillard*, Costel-Sorin Cojocaru*, Didier Pribat*, Buntha Ea-Kim* and Henry Benisty*, Thales Research and Technology, *Ecole Polytech

Thales Research and Technology has been involved in nanoscale-device fabrication for a variety of applications. We use a  electron beam lithography from Nanobeam Ltd operating at 80 kV with 3-nm beam size. We will present our results in electron source, RF NEMS switches, biological sensors and photonic crystal applications.

P-1F-08
Electron Beam Lithography and Processing Session Posters

Step Width Adjustment in Fabrication of Staircase Structures, Pengcheng Li, Soo-Young Lee, Sang Cheol Jeon*, Jin Soo Kim*, Ki Nam Kim*, Moon Seop Hyun*, Jung Jae Yoo* and Jeoung Woo Kim*, Auburn University, *National nanofab Center

Due to the fact that resist development process is isotropic, the step width in a staircase structure fabricated by grayscale e-beam lithography can be substantially different from the target one.  A practical scheme for adjusting step widths has been developed, which minimizes the computational requirement by avoiding a complete resist development simulation.

P-1F-09
Electron Beam Lithography and Processing Session Posters

(Invited) Design Verification for sub 70 nm DRAM nodes via Metal Fix using E-Beam Direct Write, K. Keil, Pl Jaschinsky, C. Hohle*, K.-H. Choi*, R. Schneider**, M. Tesauro*, F. Thrum*, R. Zimmermann*, J. Kretz*, Fraunhofer CNT, *Qimonda Dresden GmbH, **Qimonda AG

P-1F-10
Electron Beam Lithography and Processing Session Posters

Ebeam Lithography for Platform of Multiple SET Architectures, Sebastien Pauliac-Vaujour, Romain Wacquez, Maud Vinet, Marc Sanquer*, Corinne Comboroure and Bernard Prévitali, CEA/LETI, *CEA-INAC

In the European project AFSID (Atomic Functionalities in Silicon Devices) we propose to build a silicon platform enabling the comparison of single gate MOS-FET as a SET and other architectures (using side gates, constrictions…), and to study coupled SET. This platform composed of sub-30nm architectures is achieved by ebeam lithography thanks to the use of datatypes or proximity effect corrections.

P-1F-11
Electron Beam Lithography and Processing Session Posters

A Simple Technique for Beam Focusing in Electron Beam Lithography on Optically Transparent Substrates, Michael Schuette and Wu Lu, The Ohio State University

Our technique for EBL focusing on transparent substrates avoids problems associated with metal-on-resist coatings by estimating substrate height from a plane calculated from height data points measured from perimeter metal.  We verify the effectiveness of this method with nano-pores and gratings in ZEP520A resist on ITO/glass substrates.

P-1F-12
Electron Beam Lithography and Processing Session Posters

Application of C60 to Improve the SPLEBL Reference Signal, Carlos Ruiz Zamarreno, Lin Lee Cheong*, Euclid Moon*, Henry I. Smith*, Ignacio R. Matias and Francisco J. Arregui, Public University of Navarra, *Massachusetts Institute of Technology

This paper deals with the fabrication of a fullerene C60 grid to be used as the fiducial grid in the Spatial Phase Locked Electron Beam Lithography system to improve the signal to noise ratio and increase the accuracy of the system.

P-1F-13
Electron Beam Lithography and Processing Session Posters

Modeling Strategies for the Incorporation and Correction of Proximity Effects in High-Resolution Electron-Beam Lithography, Artak Isoyan and Lawrence S. Melvin III, Synopsys Inc.

This study presents optimized algorithms for e-beam proximity effects which enable the creation of both fast and accurate full-chip process models for EBL. Model fits for various EBL effects will be demonstrated.  Algorithms used to create these fits will also be discussed.

P-1F-14
Electron Beam Lithography and Processing Session Posters

Geometry Assisted PEC For Electron Beam Direct Write Nanolithography, Leonidas Ernesto Ocola, Argonne National Laboratory

This paper proposes the combined use of serifs and proximity effect correction in e-beam lithography to achieve structures with sharp corners. We have been able to pattern in HSQ resist squares and triangles down to 25 nm dimensions with corners that have radii of curvature of 5 nm.

P-1F-15
Electron Beam Lithography and Processing Session Posters

Spatial Dose Control for Fabrication of Saw-tooth Structures, S.-Y. Lee, S. C. Jeon*, J. S. Kim*, K. N. Kim*, M. S. Hyun*, J. J. Yoo* and J W. Kim*, Auburn University, *National NanoFab Center

We are developing an effective and practical scheme for determining the spatial dose distribution, given a periodic saw-tooth structure, which can lead to the target structure via electron-beam grayscale lithography.

P-1F-16
Electron Beam Lithography and Processing Session Posters

Application of Neural Network to E-beam Dose Control for 3-D Proximity Effect Correction, Cheng Guo, Soo-Young Lee, Sang Hee Lee*, Byung-Gook Kim* and Han-Ku Cho*, Auburn University, *Samsung Electronics Co.

Sequential processing of regions in 3-D proximity effect correction has a fundamental limitation due to the recursive effect and the inherent characteristic of 3-D correction problem, i.e., over-determined.  A neural network has been shown to be effective in overcoming the limitation.

P-1F-17
Electron Beam Lithography and Processing Session Posters

A New Parametric Proximity Effect Model Calibration Method for Improving Accuracy of Post-lithography Patterning Prediction in Sub-32-nm Half-Pitch Low-Voltage Electron Beam Direct-Write Lithography, Chun-Hung Liu, Hoi-Tou Ng, Kuen-Yu Tsai, Shy-Jay Lin*, Shih-Ming Chang* and Jeng-Homg Chen*, National Taiwan University, *Taiwan Semiconductor Manufacturing Co.

The impact of curve-fitting algorithms and merit functions to patterning prediction accuracy is characterized by simulating one-dimensional grating layouts with various pitches and a representative two-dimensional six-transistor-SRAM layout. The proposed method out performs the conventional in in various cases.

P-1F-18
Electron Beam Lithography and Processing Session Posters

Robust, Efficient Grating Couplers for Planar Optical Waveguides Using No-PAG SU-8 EBL, Aimee Bross, Gregory Lafyatis, Rajani Ayachitula, Andrew Morss, Rob Hardman* and Jeremy Golden*, Ohio State University, *MicroChem Corp.

SU-8 with no photoacid generator is highly sensitive to electron beam exposure, yielding a new resist option for many applications.  We present a simple negative resist fabrication approach to optical gratings on Ta2O5 using SU-8 as the grating material, thereby minimizing process handling on the sensitive Ta2O5 material.

P-1F-19
Electron Beam Lithography and Processing Session Posters

Fabrication Of Nanomagnetic Spin Ice Arrays of CoFeB with Controlled Resist Profile For Lift Off Of Sputtered Films, Aaron Stein, Jason Morgan* and Christopher Marrows*, Brookhaven National Laboratory, *University of Leeds

Spin ice arrays of CoFeB were fabricated by EBL, sputtering and lift off.  Accelerating voltage and developer temperature were varied to tailor the resist profile for improved lift off.

P-1F-20
Electron Beam Lithography and Processing Session Posters

Fabrication of overhanging triangular gratings, Janne Laukkanen, Markku Kuittinen, Samuli Siitonen*, Tuomo Rytkönen*, Tapani Levola** and Jyrki Kimmel**, University of Joensuu, *Nanocomp Oy Ltd., **Nokia Research Center

We have developed a novel fabrication process for overhanging triangular gratings. To achieve the desired structure we used electron beam lithography, ion beam etching and UV replication.

P-1F-21
Electron Beam Lithography and Processing Session Posters

Fabrication Of High Density, High-Aspect-Ratio Polyimide Nanofilters, Olga Makarova, Cha-Mei Tang, Platte Amstutz, Mark Hoffbauer*, Todd Williamson*, Ralu Divan** and Alexandra Imre**, Creatv MicroTech, *Los Alamos National Laboratory, **Argonne National Laboratory

A novel method for fabrication of high porosity polymer nanofilters with smooth and straight pores is demonstrated.  Pore size, density, and shape can be predetermined with a high degree of precision.        We report polyimide filter with 200-nm pores and 400-nm periodicity.  Aspect ratios of 40 or more have been achieved.

P-1F-22
Electron Beam Lithography and Processing Session Posters

15-nm Nickel Zone Plates Achieved Using Cold-Developed Electron-Beam Patterned ZEP7000, Julia Reinspach, Magnus Lindblom, Hans Hertz and Anders Holmberg, Royal Institute of Technology

We present 50-nm thick soft x-ray nickel zone plates with 15-nm outermost zone width. This was achieved with a process including cold-developed electron-beam patterned ZEP7000. For zone plates, this represents the highest reported resolution obtained by a single e-beam exposure.

P-1F-23
Electron Beam Lithography and Processing Session Posters

Resist Residues and Transistor Gate Fabrication, Douglas Macintyre, Olesya Ignatova, Stephen Thoms and Iain Thayne, University of Glasgow

In this paper we investigate the formation and removal of resist residues with the main objective to improve the reliability of transistor gate fabrication using electron beam lithography. We examine residues in both exposed and non exposed areas.

P-1F-24
Electron Beam Lithography and Processing Session Posters

Process Optimization Of Electron Beam Lithography Using High Resolution Resist TEBN-1, Seiji Tono, Fumiaki Iwasaki, Jun Iwai and Yuki Chikashige, Tokuyama Corp.

We present a study on the effects of developing condition on the sensitivity of the negative EB-resist 'TEBN-1' which can draw under 10nm wide line. In this study, we found that the developer characteristic contributes significantly to increasing sensitivity.

P-1F-25
Electron Beam Lithography and Processing Session Posters

Coating Technique For E-Beam Sensitive Polymers On Non-Flat Surfaces And Their Suitability For E-Beam Exposure, Birgit Päivänranta, Kari Leinonen, Markku Kuittinen, Marko Pudas* and Olli Pitkänen*, University of Joensuu, *University of Oulu

A low cost liquid phase deposition method to coat dielectric substrates with uniform electron beam sensitive polymer films (PMMA, MMA, P(MMA-co-EA)) is presented. Flat and non-flat surfaces having pre-forms up to 40 micrometers are coated with this method and patterned with nanostructure by using high-voltage electron beam.

P-1F-26
Electron Beam Lithography and Processing Session Posters

Contrast Enhancement Behavior of Hydrogen Silsesquioxane (HSQ) in a Salty Developer, Sung-Wook Nam, M.J. Rooks*, Joel K.W. Yang**, Karl K. Berggren**, Hyun-Mi Kim and Ki-Bum Kim, Seoul National University, *Yale Institute for Nanoscience and Quantum Engineering, **Massachusetts Institute of Technology

This study reports on several Mix & Match processes for the integration of E-Beam lithography into the optical litho process flow of Qimonda's 70 nm and 58 nm DRAM nodes. We show the capability of EBDW for quick and flexible design verification.

P-1F-27
Electron Beam Lithography and Processing Session Posters

Lift-off of Sub-15-nm Hydrogen Silsesquioxane (HSQ) Structures, Simon Schuster, Deborah Morecroft, Joel Yang and Karl Berggren, Massachusetts Institute of Technology

In this work, we demonstrate lift-off of hydrogen silsesquioxane (HSQ) structures patterned by scanning electron beam lithography (SEBL). We propose a process which allows for reliable and reproducible lift-off of complex and arbitrarily shaped HSQ features down to resolutions of 15nm half-pitch.

P-1F-28
Electron Beam Lithography and Processing Session Posters

Ultra-Low Dose Exposure of HSQ using Electron Beam Lithography, Deborah Kidd, Kian Keyvanfar, Michael Cabral, Lloyd Harriott and John Bean, University of Virginia

Commonly used resist XR-1541 has a relatively low sensitivity using the conventional developing process.  Using nonaqueous developers showed a drastic increase in sensitivity, with an onset dose less than 10uC/cm2, for the e-beam resist, which significantly decreases the exposure time required to pattern the resist.

P-1F-29
Electron Beam Lithography and Processing Session Posters

Low Dose Patterning of HSQ For Use As A Silicon Etch Mask, Kian Keyvanfar, Hoa Nguyen, Deborah Kidd, Michael Cabral, Lloyd Harriott and John Bean, University of Virginia

Patterning of hydrogen silsesquioxane (HSQ), using electron beam lithography, typically requires a high clearing dose and therefore a long exposure time.  In this work, we show that HSQ exposed to a dose much less than the clearing dose can be used as a silicon etch mask.

P-1G-01
Maskless Lithography Session Posters

STM-induced Surface Modification with Reactive Ion Etch Pattern Transfer, Richard Silver, Kai Li, Summanth Chikkamaranahalli, Pradeep Namboodiri and Joe Fu, National Institute of Standards and Technology

This presentation covers recent research in silicon surface preparation and fabrication methods based on STM surface modification.        Results using wet chemical-prepared silicon surfaces showed that features smaller than 10 nm could be patterned in a UHV environment with subsequent pattern transfer using RIE etching.  Current work is focused on extending this technique to the atomic domain with UHV processes.

P-1G-02
Maskless Lithography Session Posters

Gas Dosing for Tip Based Nano-Fabrication Processes, Michael Kanouff and John Randall*, Sandia National Laboratories, *Zyvex Labs

Tip based nanofabrication uses AFM or STM tips to create localized fields and electron beams to make high precision nanostructures. A gas phase precursor provides the material for the structure. Direct Simulation Monte Carlo calculations were used to design a gas dosing system. The calculated results are compared to experiments.

P-1G-03
Maskless Lithography Session Posters

Direct Writing Of Nanoscale Hafnium Diboride Metallic Nanostructures On Hydrogen Passivated Silicon (100) Surfaces Using A UHV-STM, Wei Ye, Pamela Martin, Navneet Kumar, John Abelson, Greg Girolami, Angus Rockett and Joseph Lyding, University of Illinois

We direct write sub-5 nm hafnium diboride nanostructures onto H-Si (100) sufaces using an UHV-STM at room temperature.  STS data confirm that the HfB2 nanostructures deposited are purely metallic. To our knowledge this is the first demonstration of sub-5 nm metallic nanostructures in a STM/CVD experiment.

P-1G-04
Maskless Lithography Session Posters

Multiplexing and Transport Phenomena in Dip-Pen Nanolithography, Louise Giam and Chad Mirkin, Northwestern University

Using a piezo-controlled inkjet printer to dispense picoliter volumes of ink to tips in an array, we have developed multiplexed, massively parallel dip-pen nanolithography (DPN). Additionally, we have developed an understanding and control over transport rates associated with 16-mercaptohexadecanoic acid on gold substrates.

P-1G-05
Maskless Lithography Session Posters

High-speed, Sub-15 nm Feature Size Thermochemical Nanolithography, Debin Wang, Robert Szoszkiewicz, Takashi Okada, Simon Jones, Vamsi Kodali, William Underwood, Jonas Jarvholm, Mariacristina Rumi, William King*, Jennifer Curtis, Seth Marder and Elisa Riedo, Georgia Institute of Technology, *University of Illinois Urbana-

Nanolithography has been recognized as an essential component of future technologies. We have developed a novel thermochemical nanolithography technique based on atomic force microscopy. This technique offers sub-15 nm spatial resolution, mm/s writing speed, and high diversity of chemical patterning functionalities.

P-1G-06
Maskless Lithography Session Posters

A General Strategy for Directly Writing Nanoscale Patterns of Nanoparticles and Polymer-Nanoparticle Composites., Woo Kyung Lee, Paul Sheehan, Zhenting Dai* and Willaim King*, Naval Research Laboratory, *University of Illinois

Thermal Dip Pen Nanolithography was used to deposit a range of polymer-nanoparticle composites.  Careful control of the surface chemistry allows the particles to be dispersed through the polymer or to be focused into a line as narrow as 10 nm.

P-1G-07
Maskless Lithography Session Posters

Analysis And Evaluation Process For Quantification Of Residual Gas Deposition By A Focused Electron Beam, H.D. Wanzenboech, Peter Roediger, M. Hoertlackner, E. Bertagnolli, W. Buehler*, Vienna University of Technology, *Carl Zeiss NTS

Residual gas contamination in electron microscopy is frequently experienced as severe obstacle e.g. with imaging or electron beam induced processing. A quantitative investigation as well as a reproducible evaluation of the degree of contamination will be presented. Finally, methods for contamination removal and avoidance will be discussed.

P-1G-08
Maskless Lithography Session Posters

Pillar Growth Rate Dependences in Ion-Beam-Induced Deposition, Ping Chen, Huub Salemink and Paul Alkemade, Delft University of Technology

Ion-beam-induced deposition (IBID) is a powerful tool for prototyping 3D nanostructures, such as pillars. We demonstrate that the growth rate of IBID pillars can be greatly enhanced with increasing the precursor residence on the substrate, by adjusting variable IBID parameters, as substrate temperature, ion beam dwell time and refreshment time.

P-1G-09
Maskless Lithography Session Posters

Focused Electron-Beam-Induced Deposition Of 3 Nm Dots In A Scanning Electron Microscope, Leon van Kouwen, Aurelien Botman, Kees Hagen and Pieter Kruit, Delft University of Technology

We report a world record in the smallest structure written by electron beam induced deposition in a scanning electron microscope : a 3 nm FWHM nanodot, measured directly in the same microscope.

P-1G-10
Maskless Lithography Session Posters

The Roles of Secondary Electrons and Sputtered Atoms in Ion-Beam-Induced Deposition, Ping Chen, Huub Salemink and Paul Alkemade, Delft University of Technology

Ion-beam-induced deposition (IBID) is a powerful tool for prototyping nanostructures. To understand IBID mechanisms, the separate contributions of sputtered atoms and secondary electrons in IBID have been investigated by comparing the measured yields of deposition, sputtering and secondary electron as functions of ion beam incident angle and energy.

P-1G-11
Maskless Lithography Session Posters

Gas Assisted Focused Electron Beam Induced Etching of Alumina, Bamdad Afra, Patrik Hoffmann, Tristen Bret*, Thorsten Hofmann*, Klaus Edinger* and Ted Liang**, Ecole Polytechnique Federale de Lausanne, *NaWoTec GmbH, **Intel Corp.

This study investigates Focused Electron Beam Induced Etching (FEBIE) for removal of foreign particles on patterned EUV masks. As potential contaminants, particles of Aluminum oxide (alumina, Al2O3) and hydrocarbons (including PMMA and polystyrene) have been successfully removed, leaving the underlying layers undamaged.

P-1G-12
Maskless Lithography Session Posters

Formation Of High-Aspect Ratio Silicon Nanopillars Using Deep Reactive Ion Etching, Clovis Fischer, Carla Verissimo, Stanislav Moshkalev and Jacobus Willibrordus Swart, State University of Campinas

Formation of high-aspect ratio nanopillars in silicon (“black silicon”)  have numerous potential applications. Paper presents a method for black-silicon formation by Bosch process using inductively coupled plasma and electroless deposited Ni-P films as hard mask. After etching, formation of very dense arrays of vertical silicon pillars (aspect ratio up to 100:1) in areas not protected by hard mask was observed.

P-1G-13
Maskless Lithography Session Posters

Direct Write Reliefs in Excess of One Micrometer on Chalcogenide Thin-Films Using Electron Beams, Galen Hoffman, Wenchao Liu, Wei Zhao, R. Sooryakumar, Punit Boolchand* and Ronald Reano, Ohio State University, *University of Cincinnati

In this paper, we present experimental results of electron beam induced reliefs in amorphous GexSe1-x films deposited on silicon dioxide cladding layers with height in excess of one micrometer, thereby enabling flexibility in the realization of direct write optical waveguides.

P-1G-14
Maskless Lithography Session Posters

Measurements On The Electron Optical Properties Of A Multi-Electron Beam Source in a SEM Chamber, Ali Mohammadi-Gheidari, V.G Kutchoukov, A.C Zonnevylle, C.W Hagen and Pieter Kruit, Delft University of Technology

The Measurements on the electron optical properties of multi-electron beam source using a SEM chamber as experimental setup in which the focused beam serve as electron source is presented.

P-1G-15
Maskless Lithography Session Posters

High Density Submicron Features Using A Laser Pattern Generator And Double Patterning, Mikhail Gaevski, G. Patrick Watson and Anthony Novembre, Princeton University

Line and space patterns with periods ranging from 1.0 to 1.5 µm have numerous applications.  To directly write such patterns using a 405 nm wavelength diode laser, the spatial distribution of energy absorbed in photoresist  was carefully analyzed, and the double patterning technique, litho-etch, litho-etch was employed.

P-1G-16
Maskless Lithography Session Posters

The Influence Of Lithographic Parameters On EBID, Marie-Madeleine Walz, Michael Schirmer, Thomas Lukasczyk, Florian Vollnhals, Hans-Peter Steinrück and Hubertus Marbach, Universitat Erlangen-Nurnberg

We report the influence of a certain lithographic parameter on the shape of an EBID deposit. The corresponding effect (reduced size for higher number of loops at same accumulated electron dose) is discussed, interpreted and suggested as a general method to reduce the lateral size of EBID deposits.

P-1H-01
Novel Imaging Technologies Session Posters

A Multiple-Electron-Beam Imaging Technique For Surface Inspection, Tao Luo, Mans Osterberg and Anjam Khursheed, National University of Singapore

This paper presents a multiple-electron-beam imaging technique, which is able to simultaneously process images from an array of multiple scanned beams which either overlap or which strike the specimen in close proximity.

P-1H-02
Novel Imaging Technologies Session Posters

Precision Material Modification and Patterning with Helium Ions, David Bell, Max Lemme and Charles Marcus, Harvard University

The helium ion microscope (HeIM)is capable of milling and sputtering tasks.  Advantages of a using helium ions are the extremely low sputtering rates and the small beam size. The HeIm is a precise direct fabrication tools for suitable materials and presents the possibility of better device fabrication with novel physical properties.

P-1H-03
Novel Imaging Technologies Session Posters

Embedded SiO2 nanosheets in PDMS using an alternative nanopatterning process., Laurent Jalabert, Celine Bottier, Momoko Kumemura and Hiroyuki Fujita, University of Tokyo

We propose a versatile, cost effective and simple way for rending the PDMS more funtionnal by embedding vertical nanosheets of SiO2 on its surface. The nanosheet width, which corresponds to the sidewall of the patterns, is defined during the oxidation of the silicon substrate, typically 200nm.

P-1H-04
Novel Imaging Technologies Session Posters

Evaluation Of The Optical Performance Of X-Ray Zone Plates Made With Overlay Nanofabrication Technique, Ming Lu and Chris Jacobsen*, Argonne National Laboratory, *Stony Brook University

Multi-pass interlaced electron beam lithography patterning technique opened a new path to the high resolution x-ray zone plate fabrication.  In this article, the impacts of overlay misalignment to the device optical performances such as resolution, depth of focus and astigmatism have been theoretically evaluated.

P-1I-01
Nanoimprint Lithography Session Posters

Impact Of Resist Shrinkages On De-Molding Process In Thermal and UV NIL, Masato Mastue, Mayuko Shibata, Hiroaki Kawata and Yoshihiko Hirai, Osaka Prefecture University

Impact of the resist shrinkage on the contact force to the mold side wall is simulated in various configurations of NIL systems.  The contact force arises near the mold edge area and the amount depends on the residual thickness, free space of the resist flange and the mold width.

P-1I-02
Nanoimprint Lithography Session Posters

Release Force Reduction in UV-Nanoimprint By Mold Orientation Control And By Gas Environment, Hiroshi Hiroshima, National Institute of Advanced Industrial Science and Technology

We implemented mold orientation control system in UV-nanoimpriner and investigated the impact on release force not only by inclined mold release but by environment gas.   Averaged release force was reduced only by 20% by inclined mold release but was reduced to one third by pentafluoropropane used for bubble elimination.

P-1I-03
Nanoimprint Lithography Session Posters

Self-Assembly For The Definition Of Hierarchical Patterns In Thermal Imprint, Andre Mayer, Nicolas Bogdanski, Saskia Möllenbeck and Hella-Christin Scheer, University of Wuppertal

Hierarchical polymeric patterns with < 50 width are fabricated via T-NIL and self-assembly of the polymer. In contrast to other approaches, here the sidewall of the cavities represents the guide controlling the self-assembly.

P-1I-04
Nanoimprint Lithography Session Posters

Imprinting with Revolving-belt for Effective and Efficient Replication of Microstructures, Jing-Tang Wu, Bin-Da Chan, Tzu-Chien Huang and Sen-Yeu Yang, National Taiwan University

This paper proposes a novel method of imprinting with microstructured belt between two rollers for precision replication. The contact time between the master and the substrate is prolonged, starting from one roller through the flat belt to the other roller. This process allows complete and precision replication.

P-1I-05
Nanoimprint Lithography Session Posters

Rapid Thermal Imprint Of High-Aspect-Ratio Nanostructures, Keisuke Nagato, Shuntaro Hattori, Tetsuya Hamaguchi and Masayuki Nakao, The University of Tokyo

This paper introduces a rapid thermal imprint method for high-aspect-ratio nanostructures. A thin-film current heater located at the back of the mold and upper punch and the heater substrate as coolants realize the rapid heating and cooling, respectively. This method is useful for high throughput thermal nanoimprint.

P-1I-06
Nanoimprint Lithography Session Posters

Large-area Roll-to-Roll and Roll-to-Plate Nanoimprint Lithography and Analytical Models for Predicting Residual Layer Thickness, Se Hyun Ahn and L. Jay Guo, University of Michigan

We demonstrate large-area (4” wide) continuous imprinting of nanoscale structures (300nm linewidth) with greatly enhanced productivity by using a newly developed high-speed, 6”-capable roll-to-plate / roll-to-roll apparatus. In addition, an analytical model for predicting the residual layer thickness in dynamic roller imprinting has been developed.

P-1I-07
Nanoimprint Lithography Session Posters

A Competition Between Vertical and Lateral Instabilities in Parallel Line-Space Gratings Fabricated by Nanoimprint Lithography, Yifu Ding*, Kyle Alvine**, Hyun Wook Ro, Jing Zhou, Manish Kulkarni***, Ashutosh Sharma*** and Christopher Soles, National Institute of Standards and Technology, *University of Colorado, **Pacific Northwest National Laboratories

This presentation identifies a lateral, buckling-like instability in line-space patterns fabricated by nanoimprint lithography.  This instability occurs in high molecular mass polymers heated to elevated temperatures where their modulus is sufficiently reduced to allow distortions of the lines, but not high enough temperatures to induce viscous flow.

P-1I-08
Nanoimprint Lithography Session Posters

Impact of Exposure Doses on De-Molding Process in UV-NIL, Toshiaki Tanabe, Noriyoshi Fujii, Masato Matsue, Hiroaki Kawata and Yoshihiko Hirai, Osaka Prefecture University

Elastic modulus, polymerization rate and de-molding force for UV curable resin are evaluated in various exposure dosages.  We have been demonstrated that under lower polymerization rate, the de-moding process is not stable even if elastic modulus is stabilized by UV curing.

P-1I-09
Nanoimprint Lithography Session Posters

Molecular Dynamics Study On Fracture Of Si Mold In Nanoimprint For Glass Film, Kazuhiro Tada, Masaaki Yasuda, Hiroaki Kawata and Yoshihiko Hirai, Osaka Prefecture University

To elucidate the fracture mechanism of the mold during NIL processes, a comprehensive analysis involving three factors should be conducted: mold deformation, resist deformation, and the interaction between them. We newly developed a simulation system for the comprehensive analysis of NIL including mold deformation.

P-1I-10
Nanoimprint Lithography Session Posters

Nanoimprint Lithography for Sub-10 nm Complex Patterns, Wei Wu, Qiangfei Xia, Deborah Morecroft*, Joel Yang*, Karl K. Berggren*, Xuema Li, Shih-Yuan Wang and R. Stanley Williams, HP Labs, *Massachusetts Institute of Technology

we report our progresses on high-resolution NIL of complex patterns using molds made by electron-beam lithography (EBL).  Dense lines at sub-10 nm half-pitch, isolated lines with line-width less than 10 nm and sharp corners and various other patterns were all successfully imprinted.

P-1I-11
Nanoimprint Lithography Session Posters

2D to 3D Imprinting on Surface of Teflon PFA Inlet Tube, Harutaka Mekaru, Masaharu Takahashi, Eri Fukushima* and Yoshihito Hiyama*, National Institute of Advanced Industrial Science and Technology

We successfully demonstrated the imprinting of patterns from a plate electroformed-Ni mold onto the surface of a Teflon PFA inlet tube to develop three-dimensional micro-devices. In our method, it was important to imprint under an optimized condition that does not obstruct the rotation to be able to transfer precise patterns.

P-1I-12

Numerical Study on Bubble Trapping in UV Nanoimprint Lithography, Daisuke Morihara, Yoshinori Nagaoka, Hiroshi Hiroshima and Yoshihiko Hirai, Osaka Prefecture University

The basic mechanism of the bubble trapping comes from the resist flow branching at the cavity corner. To avoid the bubble trapping, lower contact angle between the resist and template and larger between substrate are generally demanded.  Nevertheless, the requirements are completely opposite directions for successful releasing of the template.

P-1I-13
Nanoimprint Lithography Session Posters

Origins of Stamp Bending in Nano-Imprint Lithography, Rasmus Pedersen, Lasse Thamdrup,  Asger L. Vig,  Anders Kristensen and David A.  Mendels*,  Technical University of Denmark, *Cognoscens

This paper presents experimental observation and model interpretation of two distinct regimes of stamp bending in nano-imprint lithography (NIL). We demonstrate that the complex interplay between resist and stamp protrusions results in either a plate or a membrane behavior, that drives the profile of the residual layer thickness.

P-1I-14
Nanoimprint Lithography Session Posters

Sub-10-nm Pattern Transfer and Nanoimprint Molds., D. Morecroft, J. K. W Yang, S Schuster, K. K. Berggren, W. Wu*, Q. Xia* and R. S. Williams*, Massachusetts Institute of Technology, *Hewlett-Packard Laboratories

The trend towards miniaturization of devices makes high-resolution pattern transfer a necessity, despite this it remains a challenge to fabricate sub-10nm arbitrary pattern nanoimprint molds. This work addresses this challenge by using a high contrast resist electron beam lithography process with reactive ion etching.

P-1I-15
Nanoimprint Lithography Session Posters

Fully Automated Hot Embossing Processes Utilizing High Resolution Working Stamps, T. Glinsner, T. Veres*, G. Kreindl, E. Roy*, T. Wieser, D. Treiblmayr, R. Miller, and P. Lindner, EVGroup, *National Research Council Canada

Features sizes in the sub-µm range and down to 50 nm utilizing working stamps are demonstrated by applying a fully automated hot embossing process mode in the EVG®750.

P-1I-16
Nanoimprint Lithography Session Posters

Comparison of Demolding Forces for Various Si Molds and Mold with Extremely Smooth Side Wall, Hiroaki Kawata, Kensuke Kubo, Masaaki Yasuda and Yoshihiko Hirai, Osaka Prefecture University

The relation between the side wall roughness of molds and the demolding force is focused.  Silicon mold with extremely smooth side wall is fabricated by the anisotropic etching by KOH, and the demolding forces are measured for both Si molds by plasma etching and by the KOH etching.

P-1I-17
Nanoimprint Lithography Session Posters

Hierarchical Structure Formation Induced By Dewetting And Imprinting And Its Pattern Replication, I-Ting Pai, Dai-Wen Chiou, Min-Hsiung Hon and Ing-Chi Leu*,  National Cheng Kung University, *National University of Tainan

We report on the fabrication of microstructures of polyimide (PI) by imprinting with the assistance of a residual solvent.  The controllable PI hierarchical structures could be obtained by using various concentrations (2, 3, 4, 5 wt %) of poly-amic acid (PAA) in У-butyrolatone-NMP mixture solution through imprinting and dewetting.

P-1I-18<
Nanoimprint Lithography Session Posters/td>

Ultra-Thin F-DLC Coating for Nanoimprint Lithography Imprinters, Ryan W. Fillman and Robert R. Krchnavek, Rown University

The advantages of F-DLC for nano-imprint lithography can be obtained using standard processing of SiO2 on Si imprinters with subsequent deposition of F-DLC. The advantage of this technique is that it does not require thick DLC layers or entire substrates of DLC.

P-1I-19
Nanoimprint Lithography Session Posters

Double-Anchoring Fluorinated Molecules For Anti-Adhesion Mold Treatment in UV Nanoimprint Lithography, Achille Francone, Delphine Truffier-Boutry, Marc Zelsmann, Arnaud Beaurain, Bernard Pelissier, Christian Lombard*, Brigitte Pépin-Donat* and Jumana Boussey, CEA-LETI-MINATEC, *CEA-SPrAM-LEMOH

In this paper, we use double-anchoring fluorinated molecules to treat nanoimprint lithography molds. Improved performances are found compared to previously used treatments. In addition to surface characterizations using surface energy and XPS measurements, the chemical interaction between resists and fluorinated treatments is investigated using electron spin resonance experiments.

P-1I-20
Nanoimprint Lithography Session Posters

Characteristics of Antisticking Layer Formed by Plasma Irradiation using Mixture Gas with CHF3 and O2 for Nanoimprint, Makoto Okada, Ken-ichiro Nakamatsu, Yuji Kang, Yuichi Haruyama, Kazuhiro Kanda and Shinji Matsui, University of Hyogo

We carried out thermal and UV nanoimprint using the plasma chemical vapor deposition film coated SiO2/Si and quartz molds. The mixture gas with CHF3 and O2 gases were used as a source gas. The pattern was successfully imprinted on the resin without any signs of adhesion.

P-1I-21
Nanoimprint Lithography Session Posters

Nano Scale Three-Dimensional Metal Pattern Transfer By Nanoimprint Lithography Using Metal Oxide As A Release Layer, Noriyuki Unno, Jun Taniguchi, Shouichi Ide and Shoichiro Ishikawa, Tokyo University

In order to fabricate fine three-dimensional metal patterns such as plasmonic devices easily, we have developed the three-dimensional nanoimprint lithography technique using spin on glass mold with metal oxide release layer. The fine three-dimensional and the high aspect ratio gold patterns were obtained on the PET substrate using this technique.

P-1I-22
Nanoimprint Lithography Session Posters

Step-and-Repeat Thermal Nanoimprint for Functional Polymers, Dehu Cui and Xing Cheng, Texas A&M University

A step-and-repeat thermal nanoimprint scheme is presented in this work. This technique can improve the throughput of thermal nanoimprint for large area functional polymer patterning.

P-1I-23
Nanoimprint Lithography Session Posters

Recovery Prevention Via Pressure Control in T-NIL, H.-C. Scheer, N. .Bogdeanski, S. Mollenbeck, and A. Mayer, University of Wuppertal

P-1I-24
Nanoimprint Lithography Session Posters

Fabrication Of The Transparent Cylindrical Stamp With Sub 50nm Linewidth By Means Of The Step & Flash Nanoimprint Lithography, Sooyeon Park, Keebong Choi*, Geehong Kim* and Jaejong Lee*, University of Science and Technology, *Korea Institute of Machinery and Materials

in this work, a process of fabricating a transparent cylindrical stamp is proposed. the transparent cylindrical stamp with sub 50nm linewidth is verified through the application of a roller-type UV-NIL process.

P-1I-25
Nanoimprint Lithography Session Posters

Fabrication Of Nano Dots Array Mold Using Inorganic Electron Beam Resist And Post Exposure Bake, Jun Taniguchi, Tetsuro Manabe and Kiyoshi Ishikawa*, Tokyo University of Science, *Tokyo OHKA Kogyo Co. Ltd.

Using inorganic electron beam resist and post exposure bake, novel mold fabrication process for patterned media has been established. Advantages of this process are as follows: developed resist pattern can use as mold and resolution are improved by post exposure bake. A 20 nm-diameter-dots-array mold was obtained using this method.

P-1I-26
Nanoimprint Lithography Session Posters

High Quality Secondary Templates for Nanoimprint Lithography from Cubic Silsesquioxanes (SSQs), Hyun Wook Ro, Vera Popova*, Lei Chan, Yifu Ding, Kyle Alvine, Dave Krug*, Richard Laine** and Christopher Soles, National Institute of Standards and Technology, *Mayaterials, Inc., **University of Michigan

In this presentation we will present specially designed cubic silsesquioxane (SSQ) materials for high quality secondary mold duplication in nanoimprint lithography (NIL). Quantify the fidelity of the pattern transfer process, with respect to dimension control from the NIL master to the secondary mold and through the imprinted pattern for both thermal and UV NIL systems, will be addressed.

P-1I-27
Nanoimprint Lithography Session Posters

Fabrication Of Seamless Roll Mold Using Electron Beam Direct Writing To Rotating Cylindrical Substrate, Jun Taniguchi and Masao Aratani, Tokyo university of Science

Fabrication process of seamless and continuous roll mold for NIL using EB direct writing to rotating cylindrical substrate have been established. Using this method, seamless and continuous line and space patterns were obtained along circumferential of roll mold. In addition, fabricated roll mold is use for roll to roll UV-NIL.

P-1I-28
Nanoimprint Lithography Session Posters

Positive And Negative Counterpart Working Stamps For Soft UV-NIL Using One Master Design, Gerald Kreindl, Thomas Glinsner, Dominik Treiblmayr, Friedrich Lindner, Markus Wimplinger and Ron Miller, EVGroup

P-1I-29
Nanoimprint Lithography Session Posters

Easy Mask-Mold Fabrication For Combined Nanoimprint And Photolithography, Helmut Schift, Christian Spreu, Martin Bednarzik, Menouer Saidani, Jens Gobrecht, Anna Klukowska*, Freimut Reuther*, Gabi Gruetzner* and Harun Solak**, Paul Scherrer Institut, *micro resist technology GmbH, **Eulitha AG

Hybrid transparent working stamps with both a surface relief and absorbing mask pattern were fabricated by replicating nanostructures in a thin Ormostamp film on pre-patterned glass substrates. By using a combined nanoimprint and photolithography process, self-aligned mixed patterns of nano- and microstructures can be generated within one single resist layer.

P-1I-30
Nanoimprint Lithography Session Posters

Transparent Hybrid Polymer Stamp Copies With Sub-50nm Resolution For Thermal And UV-Nanoimprint Lithography, Helmut Schift, Christian Spreu, Jens Gobrecht, Anna Klukowska*, Freimut Reuther* and Gabi Gruetzner*, Paul Scherrer Institut, *micro resist technology GmbH

The organic-inorganic hybrid polymer Ormostamp was successfully used for the fabrication of nanoimprint working stamps with sub-50 nm resolution. They were imprinted into several thermoplastic materials. We will show the effect of the imprint temperature and recoating with antisticking layer on the micro- and nanomolding capabilities.

P-1I-31
Nanoimprint Lithography Session Posters

Fabrication Of Templates For Large Area Patterning And The Replication Of "Motheye Lenses" Using Step And Flash Imprint Lithography (S-FIL), Jeff Kettle, Robert Hoyle*, Richard Perks* and Stefan Dimov*, The University of Manchester, *Cardiff University

The fabrication and characterisation of 2D and complex 3D structures using FIB onto templates for S-FIL is discussed. Furthermore, we introduce a method to overcome the problem of polymeric resist contraction for complex 3D patterns, using a “multilayer” imprinting technique and apply this technique for fabrication of “Motheye” lenses.

P-1I-32
Nanoimprint Lithography Session Posters

Resistive Switching Memory Fabricated by UV-NIL Process, Ki-don Kim, Dae-geun Yoon, Sungho Kim*, Dae-geun Choi,Ji-hye Lee,  Jun-hyuk Choi, Yang-kyu Choi*, Jun-ho Jeong and Eung-sug Lee, Korea Institute of Machinery and Materials, *Korea Advanced Institute of Science and Technology

We investigate the characteristic of nonvolatile memory fabricated by the UV-NIL process. Bottom and top electrodes are fabricated by UV-NIL process. The imprinted polymer is transferred to Al layer by the dry etch process. By using a plasma oxidation, Al2O3 layer is formed on the Al bottom electrode. After Al deposition, above UV-imprint and etch process are performed again.

P-1I-33
Nanoimprint Lithography Session Posters

Extraordinary Transmittance In Three-Dimensional Metal Structure Prepared Through Reversal Imprinting Of Metal Films, S. Y. Chuang, H. L. Chen, W. H. Lee, S. S. Kuo, G. S. Lai* and J. Shieh*, National Taiwan University, *National nano Device Lab

We have employed a reversal imprint process to fabricate complicated 3D metal structures. Using molds of various shapes, we patterned various sub-wavelength metal structures, including pyramidal films, 2D hole-arrays, and crater-like structures. We found that the cavity effect in a crater structure significantly enhanced the intensity of optical field transmission.

P-1I-34
Nanoimprint Lithography Session Posters

Complete Reversal Imprinting For Fabricating Microlens Array With High Height Transcription, Po-Hsun Huang, Jian-Wei Chen and Sen-Yeu Yang, Nnational Taiwan University

This work reports a reversal imprinting mechanism with a modified relative position of substrate and stemper. With the UV resin coated stamper pressed in the bottom, there is no fear of flowing down of the low-viscosity UV resin. Experiments further show that the full height transcription of microlens array can be easily achieved, regardless of the processing parameters.

P-1I-35
Nanoimprint Lithography Session Posters

Fabrication of TiO2 Nano Patterns using Direct Imprinting with TiO2 Sol, Kyung-min Yoon, Ki-yeon Yang and Heon Lee, Korea University

New fabrication process of TiO2 nano-patterns using nanoimprint lithography (NIL) and Sol-Gel method was presented. Ethanol based TiO2 sol was used as an imprint resin. During the imprinting process, TiO2 sol was changed to TiO2 gel. TiO2 gel patterns were finally converted to inorganic polycrystalline TiO2 patterns by subsequent annealing.

P-1I-36
Nanoimprint Lithography Session Posters

Ultra-Large And Thin Light Guide Plates Fabricated Using UV Imprinting Process, Po-Hsun Huang, Tzu-Chien Huang and Sen-Yeu Yang, National Taiwan University

This study presents an effective process to fabricate large-area and thin light guide plates by the mechanisms of gas-assisted pressing and UV imprinting. This process has proven its potential for the fabrication of large-size and thin LGPs in conventional LCD display even the flexible display by using thin plastic films as the substrates.

P-1I-37
Nanoimprint Lithography Session Posters

Fabrication Of Organic TFT Arrays On A Flexible Sheet By Microcontact Printing, Hiroshi Fujita, Mitsutaka Nagae, Tatsumi Takahashi*, Takeshi Shibuya, Masayoshi Koutake, Hiroshi Mogi, Hirobumi Ushijima** and Kiyoshi Yase**, Japan Chemical Innovation Institute, *Dai Nippon Printing Co., **Photonics Research Institute

We have attempted to fabricate organic TFT arrays by microcontact printing. Our TEG sample consists of bottom-gate bottom-contact TFTs of channel length 5-50 µm. The 4 layers (gate, gate insulator, source-drain and OSC) were stacked on a polycarbonate film. We obtained excellent transistor characteristic of small variation.

P-1I-38
Nanoimprint Lithography Session Posters

Thermal Imprinting on Quartz Fiber using Glass-Like Carbon Mold, Harutaka Mekaru, Chieko Okuyama, Akihisa Ueno and Masaharu Takahashi, National Institute of Advanced Industrial Science and Technology

We prepared a polished glass-like carbon (GC) disk and fabricated a GC mold for quartz imprinting by applying MEMS fabrication technologies. Convex patterns on a mold were imprinted at 1350°C onto a quartz fiber. It was confirmed that the height of imprinted pattern increased as the line width increased.

P-1I-39
Nanoimprint Lithography Session Posters

Patterning Curved Surface Using Hybrid Nanoimprint-Soft Lithography Mold, Zhiwei Li, Haixiong Ge, Changsheng Yuan, Minghui Lu, Yanfeng Chen, Wei Wu*,  Qiangfei Xia* and R. Stanley Williams*, Nanjing University, *Hewlett-Packard Laboratories

We developed a novel hybrid nanoimprint and soft lithography mold composed of a rigid crosslinked patterning layer on an elastic PDMS support. We  demonstrated high fidelity pattern transfer and sub-100nm resolution on curved surface using the hybrid mold.

P-1I-40
Nanoimprint Lithography Session Posters

Direct Indium-Tin-Oxide Patterning Using Thermal Nanoimprint Lithography And ITO Nano-Particle Solution, Ki-Yeon Yang, Kyung-Min Yoon, Kyeong-Jae Byeon and Heon Lee, Korea University

In this study, direct ITO nano patterning techniques were developed using thermal nanoimprint lithography with an ITO nano particle solution. And the optical properties of the ITO glass and the LED device with the directly patterned ITO layer were characterized by UV-VIS-NIR and PL spectroscopy.

P-1I-41
Nanoimprint Lithography Session Posters

Effect of UV Irradiation on Sol-Gel ITO Nanopatterns Replicated by Room-Temperature Nanoimprint, Yuji Kang, Makoto Okada, Ken-ichiro Nakamatsu, Kazuhiro Kanda, Yuichi Haruyama and Shinji Matsui, University of Hyogo

The spin-coated ITO film can be delineated by RT-NIL, but the patterns disappeared after 600o annealing process. To overcome the above problem, we examined UV irradiation effect onto a spin-coated ITO film. As a result, we found that the ITO patterns imprinted by RT-NIL were kept at annealing of 600o for 1 hour by UV irradiation before annealing.

P-1I-42
Nanoimprint Lithography Session Posters

Step & Stamp Imprinting Microlithography Studies of Chemical and Topographical Signaling on Osteoblast Cells., Somjai Sangyuenyongpipat, Ananda Sagari, Mikko Laitinen, Timo Sajavaaraa, Harry Whitlow, Paavo Rahkila, Sulin Cheng and Tomi Haatainen*, University of Jyvaskyla, VTT Microelectronics Centre

In this study murine pre-osteoblast cells have been grown on lithographically hydroxyapatite-like material on Si substrate using electron beam lithography and mass production from Step & Stamp imprinting lithography in micrometer-scale geometric patterns are used to investigate how this signal effects pre-osteoblast cell proliferation, function and cytoskeleton organization.

P-2A-01
Directed Self Assembly Session Posters

Lithographically Directed Surface Modification, Richard Kingsborough, Russell Goodman and Theodore Fedynyshyn,Massachusetts Institute of Technology

We developed a processing method that reduces the steps necessary to yield a surface directing material assembly. A single resistless lithography step directly changes the surface energy, where the difference acts as a template to direct diblock polymer self-assembly into low-defect structures and can exceed the resolution from current resists.

P-2A-02
Directed Self Assembly Session Posters

Curing Process of Silsesquioxane in Self-Organized Diblock Copolymer Template, Naoko Kihara, Kazutaka Takizawa and Hiroyuki Hieda, Toshiba Corporation

The profile of self-organized silsesquioxane pattern which was fabricated using PS-b-PEO template, was strongly influenced by curing method.  In case of oxygen plasma treatment, the silsesquioxane pattern shrank than the case of thermal treatment.  In this investigation, it was found the difference was attributable to the behavior of PEO.

P-2A-03
Directed Self Assembly Session Posters

Modification of the Wetting Behavior of PS Brush Layer by Inserting PMMA Molecules, Guoliang Liu and Paul Nealey, University of Wisconsin-Madison

We demonstrate that the chemistry of the PS brush layer can be modified by addition of hydroxyl terminated PMMA molecules.This can give insights in the directed self-assembly of block copolymers for advanced lithography applications.

P-2A-04
Directed Self Assembly Session Posters

Self-Aligned Double Patterning By Directed Self-Assembly Of Block Copolymer, Chi-Chun Liu, Eungnak Han, Shengxiang Ji, Padma Gopalan and Paul Nealey, University of Wisconsin-Madison

The proposed process incorporates directed assembly of block copolymers into self-aligned double patterning processes. The results showed high pattern quality while only requiring spin-coating and hotplate annealing. Hence it could be a promising intermediate for extending the use of current lithography tools and lower the overall cost.

P-2B-01
New Materials and Novel Patterning Techniques Session Posters

Sub-40-nm patterning of Au on GaAs for Nanowire Catalysis, Joshua Leu, Megan Brewster, Silvija Gradecak and Karl Berggren, Massachusetts Institute of Technology

In this work, we demonstrate sub-40-nm patterning of Au features on GaAs substrates by using a PMMA/PMGI bilayer-resist structure and through the introduction of a Cr adhesion layer. These structures were subsequently used to grow GaAs nanowires by metal-organic chemical-vapor deposition (MOCVD) with diameters as small as 30nm.

P-2B-02
New Materials and Novel Patterning Techniques Session Posters

FIB-Assisted Bending Of Patterned Grown Silicon Nanowires, Kimin Jun, Jaebum Joo and Joseph Jacobson, Massachusetts Institute of Technology

In this research, Focused Ion Beam(FIB) was used to bend patterned nanowires array. By adjusting irradiation direction and beam dose, complex structure with arbitrary direction and angle was possible. This potentially allows to fabricate nanowire based devices with precise position and shape control.

P-2B-03
New Materials and Novel Patterning Techniques Session Posters

Enhanced Transmission of Nanodots Metallic Arrays Fabricated by a Low Cost Directed Nanosphere Lithography (DNL), Yi Lou, Leda Lunardi and John Muth, North Carolina State University

Directed nanosphere lithography combined with Reactive Ion Etching has been applied as a low cost method to fabricate nanodots arrays with hexagonal symmetry on a gold film. The enhanced transmission effect of the patterned gold film has been studied, indicating strong surface plasmon resonance at the red near-IR wavelength region.

P-2B-04
New Materials and Novel Patterning Techniques Session Posters

Fabrication Of Arrays Of Magnetic Nanostructures Using Nanosphere Lithography And Ion Beam Etching, Vivian Ng and L. K. Verma, National University of Singapore

We present a fabrication process by combining nanosphere lithography and ion beam etching. By introducing tilt, combining different etch recipes and performing multiple self assembly and etch processes, arrays of novel magnetic structures such as holes with tilted sidewalls, dumbbells, zigzag wires and rings with triangular holes are created.

P-2B-05
New Materials and Novel Patterning Techniques Session Posters

Directed, Liquid Phase Assembly of Patterned and Thin Metallic Films by Pulsed Laser Dewetting, Jason Fowlkes, Lou Kondic*, Javier Diaz**, Anatoli Melechko***, Ramki Kalyanaraman#, Hare Krishna#, Yueying Wu# and Philip Rack#, Oak Ridge National Laboratory, *New Jersey Institute of Technology, **Universidad Nacional del Centro de la Provincia de Buen, ***North Carolina State University, #The University of Tennessee

While the dewetting of continuous thin metal and polymer films has been studied in detail, less work has been devoted to the dewetting and pattern formation of confined or patterned thin films.  In this work, nickel and nickel/copper thin films were patterned into various shapes and treated via nanosecond pulsed laser processing.

P-2B-06
New Materials and Novel Patterning Techniques Session Posters

Precise Placement of a Single Quantum Dot in a Bowtie Nanoantenna Gap, Baoquan Ding,  Alan Chang, Scott Dhuey, Bruce Harteneck, Bruce Cohen, Ronald Zuckermann, James Schuck, Stefano Cabrini and Jeffrey Bokor, Lawrence Berkeley National Laboratory

A 6nm semiconductor quantum dot is self-assembled precisely into the nano-gap of a bowtie nanoantenna structure for photonics applications.

P-2C-01
Carbon Nanotubes Session Posters

Molecular Dynamics Study on Bending Deformation of Carbon Nanotubes by Electron Beam Irradiation, Masaaki Yasuda, Ryosuke Mimura, Hiroaki Kawata and Yoshihiko Hirai, Osaka Prefecture University

Irradiating nanomaterials with energetic particles is expected to become a technique to tailor the structure with desirable properties. We perform a molecular dynamics simulation including the interaction between an incident electron and a target carbon atom to study the bending deformation of carbon nanotubes under focused electron beam irradiation.

P-2C-02
Carbon Nanotubes Session Posters

Growing Carbon Nano Tubes With A Simple CVD Process On Predefined Patterns Of Pd Nano Particles That Where Positioned To Charge Patterns Created With A Scanning Electron Microscope, A. C. Zonnevylle, P. Kruit, C.W. Hagen, F. Berwald and A. Schmidt-Ott, Delft University

We are developing a technique for directed deposition of nano particles suspended in a gas on insulators. A SEM is used for writing charge patterns. This technique can be used for directing nano particles with 100nm precision on arbitrary patterns. And cnt’s can be locally grown with these particles.

P-2C-03
Carbon Nanotubes Session Posters

Self-Organized Pattern Formation By Ion-Beam Erosion For Antireflection Surfaces, Jens Völlner, Bashkim Ziberi, Renate Fechner, Marina Cornejo, Frank Frost and Bernd Rauschenbach, Leibniz-Institute of Surface Modification

Low-energy ion beam erosion is an alternative bottom up process for the preparation of nanostructured surfaces via self-organization. In this contribution the exploration and optimization of this process for the generation of broad-band antireflection fused silica surfaces for the DUV-spectral range will be discussed.

P-2C-04
Carbon Nanotubes Session Posters

Conductive Atomic Force Microscopy Study Of Self-Assembled Silicon Nanostructures, M. R. Bari, R. J. Blaikie, V. Fang* and A. Markwitz*, University of Canterbury, *Institute of Geological & Nuclear Sciences

C-AFM investigations of self-assembled silicon nanostructures fabricated using EB-RTA have demonstrated for the first time a correlation between topography and current flow on these nanostructures. Observed higher currents in these nanostructures are either from surface states or from tunnelling or from a combined effect of the both.

P-2C-05
Carbon Nanotubes Session Posters

Electrodeposition of Patterned Metal and Semiconductor Microwires on Ultrananocrystaline Diamond Electrodes, Daniel Dissing, David Seley, Anirudha Sumant*, Ralu Divan*, Orlando Auciello*, Suzanne Miller*, Eric Terrell and Michael Zach, University of Wisconsin-Stevens Point, *Argonne National Laboratory

A generalized method producing large numbers of complex patterned nanowires with controlled diameters from many materials uses a reusable template. Mass production of nanowires with this method is almost as simple as using a rubber stamp and ink. Materials electrodeposited include: Pb, Au, Cu, Pd, Pt, Co, Te, CdTe, and CdS.

P-2C-06
Carbon Nanotubes Session Posters

Hierarchical Nano-Structuring By Combining Ion Induced Self-Organization And Lithographic Pre-Patterning, Bashkim Ziberi, Theresa Lutz, Jens Völlner, Marina Cornejo, Renate Fechner, Dietmar Hirsch, Klaus Zimmer, Frank Frost and Bernd Rauschenbach, Leibniz-Institute of Surface Modification

The fabrication principle of guided self-organization was applied for the nanostructuring of pre-patterned Si surfaces by low energy ion beam erosion. It is demonstrated that by combining conventional lithographic techniques with ion beam induced self-organization a multi-scale patterning is possible. Some of the potential applications will be discussed, shortly.

P-2C-07
Carbon Nanotubes Session Posters

Electron Beam Induced Deposition of Cobalt for Use as Single-Wall Carbon Nanotube Growth Catalyst, Matthew Ervin and Barbara Nichols, U.S. Army Research Laboratory

EBID of cobalt is used to produce patterned catalyst for the CVD growth of CNTs.  Various deposition conditions are known to affect the size of the metal particles deposited.  Depending on the amount of cobalt deposited, the resulting CNTs can be either singal- or multi-wall.

P-2C-08
Carbon Nanotubes Session Posters

Properties of Single-Walled Carbon Nanotubes Integrated into Polyimide (SWNTs-Pi) Nanocomposites, Damien Thuau, Vasileios Koutsos and Rebecca Cheung,  University of Edinburgh

We report the preparation of Single Walled Nanotubes-Polyimide (SWNTs-Pi) nanocomposite. Integration of SWNTs into the polyimide matrix has been found to increase the polymer properties. Also, the presence of dielectrophoresis (DEP) for SWNTs alignment appears to cause higher increase in the electrical conductivity.

P-2C-09
Carbon Nanotubes Session Posters

Stencilled Conducting Bismuth Nanowires, Veronica Savu, Sam Neuser, Guillermo Villanueva, Oscar Vazquez-Mena, Katrin Sidler and Juergen Brugger, Ecole Polytechnique Federale de Lausanne

Bismuth nanowires have been intensively studied in recent years due to their unique electronic and thermoelectric characteristics. Here we present the physical and electrical characterization of bismuth naowires fabricated for the first time using stencil lithography. We extract the resistivity and show that it is independent of the wire diameter.

P-2D-01
Resists Session Posters

Sub-millisecond Post Exposure Bake of Chemically Amplified Resists by CO2 Laser Spike Annealing, Jing Sha, Byungki Jung, Michael Thompson, Christopher Ober, Manish Chandhok* and Todd Younkin*, Cornell University, *Intel Corporation

Laser spike annealing has been studied for post exposure bake of chemically amplified resists. High-resolution patterns with sub-100nm features are formed under 500us spike annealing. Resist sensitivity is impacted significantly for some resist systems while others are relatively insensitive to the PEB conditions. Quantitative analyses were obtained using resist bilayers (PAG loaded/PAG free).

P-2D-02
Resists Session Posters

Ultra-Thin Film Effects on Photoresist Imaging Performance, David Noga, Richard Lawson, Clifford Henderson and Laren Tolbert, Georgia Institute of Technology

This study examines the effect of film thickness on pattern collapse by determining the modulus and critical stress at the point of pattern collapse at varying film thicknesses for an assortment of photoresists. Positive and negative tone resists are compared to determine the different effects of cross-linked and non-cross-linked films.

P-2D-03
Resists Session Posters

Quantitative Structure-Property Relations for the Prediction of the Glass Transition Temperature of Molecular Resists, Richard Lawson, Clifford Henderson and Laren Tolbert, Georgia Institute of Technology

We have developed several different quantitative structure-property relation models based on bond, group, and structural contribution along with other parameters that allow the prediction of the glass transition temperature of molecular resists. It works well across multiple different levels of protection, different structure moieties, and different molecular sizes.

P-2D-04
Resists Session Posters

193nm Photoresist Hybrids for Sub-32nm Resolution, LER, and Sensitivity Requirements, Bruce Smith, Thomas Smith, Meng Zhao, Burak Baylav, Ran Yin, Peng Xie, Christopher Ober* and Paul Zimmerman**, Rochester Institute of Technology, *Cornell University, **Intel Assignee, SEMATECH

CA resists possess high sensitivity, etch resistance, and thermal stability, but with poor resolution and LER for sub-32nm application.  Scissioning polymers possess low sensitivity, etch resistance, and base solubility but with potentially superior resolution and LER.  The hybrid parameter space is explored which is bounded by these technologies.

P-2D-05
Resists Session Posters

Can Acid Amplifiers Help Beat the RLS Trade-Off? , Seth Kruger, Craig Higgins, Srividya Revuru, Robert Brainard, Sarah Gibbons*, Daniel Freedman*, Wang Yueh** and Todd Younkin**, University  at Albany, *State University of New York at New Paltz, **Intel Corp.

We have synthesized over twenty new acid amplifiers, specifically designed for use in EUV resists.  These compounds can increase resist sensitivity by 5-10X.  We will explicitly describe these compounds and the resists made from them.  We will make lithographic comparisions between resolution, LER and sensitivity using KLUP and Z-Factor.

P-2D-06
Resists Session Posters

Investigation Of Surface Roughness Of Poly(Methylmethacrylate) At Reduced Temperatures, Minjun Yan, Sookyung Choi, Jaesun Lee, K.R.V. Subramanian and Ilesanmi Adesida, University of Illinois at Urbana-Champaign

The surface roughness of PMMA developed at low temperatures was reported for the first time.

P-2D-07
Resists Session Posters

Thermal Development Of Calixerene Resist, Vaida Auzelyte and Harun H. Solak, Paul Scherrer Institut

One of the dry resist development methods is thermal development. We have investigated thermal development of calixarene molecular resist. The development at 300-400 C improves electron beam exposed structure line edge roughness while maintaining sensitivity and good resolution of the resist.

P-2D-08
Resists Session Posters

Comparing The RLS Tradeoffs At EUV, E-Beam And 193 Nm For Common Resist Platforms, Gregory Wallraff, Karen Petrillo*, Ravi Bonam**, Tim Groves**, John Hartley**, Luisa Bozano, Martha Sanchez, Hoa Truong,  Patrick Naulleau*** and Robert Allen,  IBM Almaden Research Center, *IBM, **University at Albany, ***Lawrence Berkeley National Labor

Understanding and addressing the resolution, line width roughness (LWR) and phorospeed (RLS) performance limitations intrinsic to CA photoresists is a topic of significant interest.In this contribution, we describe experiments comparing the measured linewidths, LWR and photospeed for the same resists imaged at 193i, EUV and 100 keV e-beam.

P-2D-09
Resists Session Posters

DUV-Induced Nanopatterning Of Polyanhydride Films Deposited By Pulsed Plasma Polymerization, Olivier Soppera, Ali Dirani, Vincent Roucoules and Arnaud Ponche, CNRS

We describe here a new method for fabrication of chemical and topographical functional materials on the micrometer and on the nanometer scale. This technique entails a deposition of the polymer film by pulsed plasma polymerization and nanopatterning is achieved by means of DUV interferometry at 193 nm.

P-3-01
Metrology Session Posters

Material Contrast from Ga+ Ion Induced Secondary Electron Images, Lucille Giannuzzi and Mark Utlaut*, FEI Company, *University of Portland

The relative contrast of Ga+ focused ion beam (FIB) induced secondary electron (ISE) images in metal layers show non-monotonic behavior as a function of target atomic number which is consistent with non-monotonic ion-solid interaction characteristics observed across the periodic table.

P-3-02
Metrology Session Posters

Inspection Method For Contact/Via-Holes Using A Low-Energy Electron Microcolumn, Ho Seob Kim, Dae Wook Kim, Y.C. Kim, S. Ahn, N.W. Hwang*, S.W. Jin* and David Hasko**, Sun Moon University, *CEBT, **Cambridge University

We propose a novel method of inspecting small contact/via-holes using a low voltage Microcolumn, where the specimen current image is very sensitive to residues.  Simply by measuring the sample electron current when the beam is place over the hole, the presence of a no residual thin film at the bottom can be detected.

P-3-03
Metrology Session Posters

Iterative Phase Recovery Using Wavelet Domain Constraints, Leili Baghaei Rad, Yuzuru Takashima, Piero Pianetta, Jianwei Miao and Fabian Pease, Stanford University

Phase retrieval is a central problem in coherent x-ray diffraction microscopy. In many cases much of the available a priori information is not utilized by the algorithm. Wavelets have the advantage of being spatially localized and are more able to describe non-stationary signals. We gain the advantage of utilizing much more of the a priori information.

P-3-04
Metrology Session Posters

Automatic Measurement Of Electron Beam Size By BEAMETR Technique Using 20nm Test Patterns, Christophe Peroz, Sergey Babin, Mikhail Machin, Eric Anderson*, Stefano Cabrini*, Scott Dhuey* and Bruce Harteneck*, aBeam Technologies, *Lawrence Berkeley National Laboratory

Beam size is a critical parameter for any e-beam system. In this paper, BEAMETR technique which uses spatial spectral analysis was further developed; including test-samples with minimum feature size 20nm. As a result, both resolution and accuracy of measurements was improved. Results of beam size measurements are presented.

P-3-05
Metrology Session Posters

Sub-10nm Test-Sample For Characterization And Tuning Of Focused Electron Beam Used In Technology, Inspection And Diagnostics, Eu. Yakimov, S. Zaitsev, A. Svintsov and S. Borisov*, IMT RAS, *Institute RAS

Test-samples with high signal contrast and high fidelity in size are fabricated. Instead of traditional lateral patterning a planar technology is used for fabrication. Using MC simulation an original method for qualitative measuring of beam diameter is developed. The test-sample containing 5nm of W is fabricated and the method is experimentally confirmed.

P-3-06
Metrology Session Posters

Reverse Bio-Engineering: Structural and Mechanical Modeling of Tadpole Teeth through FIB NanoTomography and Finite Element Analysis, Edward Principe, Ronald Altig* and Giselle Thibaudeau*,  Carl Zeiss, *Mississippi State University

We describe our efforts to characterize keratinized tadpole teeth through a combination of micro-CT, FIB-SEM nanotomography, finite element modeling and stereolithographic printing.  Combined data form a hybrid tomographic 3D model.  Tadpole teeth, constructed of keratin but fastened in flexible tissue, is a good model for the design of microtools.

P-3-07
Metrology Session Posters

Transmission Electron Microscopy of Fabricated Nanostructures, Axel Scherer, Uday Kharanjole, Hinqing Huang, guy Derose and Bophan Chhim, California Institute of Technology

We show methods for nondestructive TEM analysis of etched or grown nanostructures with 5-500nm lateral dimensions without removal from their substrate. This high resolution imaging approach allows the examination of the internal composition as well as surface quality of nanostructures.

P-3-08
Metrology Session Posters

Mask Observation Result using Coherent EUV Scattering Microscopy at New SUBARU, Junki Kishimoto, Tetsuo Harada, Takeo Watanabe, Hiroo Kinoshita and Dong Gun Lee*, University of Hyogo, *Samsung Electronics Co.

For metrology of actinic EUVL mask, we have developed a coherent EUV scattering microscope at BL-3, NewSUBARU. Mask obserbation results will be introduced.

P-3-09
Metrology Session Posters

High Spatial Resolution Sample Analysis Using A Helium Ion Microscope, John Notte, Sybren Sijbrandij and Colin Sanford, Carl Zeiss SMT, Inc.

An innovative helium ion backscatter detector has been implemented on the ORION helium ion microscope. Using such a detector it is possible to perform nano-scale material identification, as well as thin film measurement.

P-3-10
Metrology Session Posters

Parallel Imaging With Micromachined Self-Actuated Piezoresistive Proximal Probes, Pawel Zawierucha, Michal Zielony, Teodor Gotszalk, Jens Zöllner*, Yanko Sarov*, Andreas Frank*, Tzvetan Ivanov*, Ivo Rangelow*, Nikolai Nikolov**, Richard Pedrau*** and Paul-Emile Latimier***, Wroclaw University of Technology, *Technical University of Ilm

In this article we will present the application and properties of the one dimensional VLSI NEMS-chip incorporating 32 proximal probes for high speed atomic force microscopy measurements. Each array cantilever integrates a thermal deflection actuator, a piezoresistor acting as a deflection detector and a microtip with radius of 10 nm.

P-4-01
Nanobiology Session Posters

Suspended Gold Particles With Magnetic Cores For In Vitro Diagnostics, J. Litvinov, A. Nasrullah, T. Sherlock, P. Ruchhoeft and R. C. Willson, University of Houston

Gold particles with a magnetic core are formed on a substrate using lithography and a lift-off step and are suspended into solution to form suspended particles with defined shape and composition.

P-4-02
Nanobiology Session Posters

Top-down Fabrication of Monodisperse Non-Spherical Polymer Composite Particles for Nanomedicine Applications, Li Tao, Huabin Chen*, Su-Geun Yang*, Jinming Gao and Walter Hu, University of Texas at Dallas, *University of Texas Southwestern Medical Center

We report top-down engineering methods using lithography to produce monodisperse, non-spherical (rod and disc shaped) polymer composite particles for nanomedicine applications. Wafer scale photolithography and nanoimprint enable high-rate fabrication and biocompatible and water soluble polymer is used as sacrificial layer to release particles to aqueous solution for nanomedicine applications.

P-4-03
Nanobiology Session Posters

3D Self-Similar Chain Nanolens Fabrication And Their Use In Single Molecule Detection, Gobind Das, Maria Laura Coluccio, Federico Mecarini, Antonella Pujia, Francesco De Angelis, Patrizio Candeloro, Carlo Liberale and Enzo Di Fabrizio, Universita "Magna Graecia" di Catanzaro

In this work, we report a novel process for the fabrication of nano-structures using e-beam lithography and electroless technique. The fabrication difficulty is the real nanoscale control. This device can be used as SERS substrate, obtaining enhancement of  the electric field up to 3 orders of magnitude.

P-4-04
Nanobiology Session Posters

Brain Tissue Response To Nanowires Implanted Into The Rat Striatum., Christelle Prinz, Philippe Caroff, Konstantin Vogel, Lars Samuelson, Lars Montelius, Cecilia Eriksson Linsmeier, Jens Schouenborg and Nils Danielsen, Lund University

We investigate the biocompatibility of nanowires implanted in the rat brain. The inflammatory response of the brain tissue to the nanowires has been assessed using immunohistochemistry at 1, 6 and 12 weeks after the implantation. The nanowires can be visualized by confocal microscopy.

P-4-05
Nanobiology Session Posters

A Transparent Multilevel-Electrodes Microfluidic Chip For Dielectrophoretic Colloidal Handling, Thibault Honegger, Kevin Berton and David Peyrade, CNRS-LTM

We present a new approach for colloidal handling in an original manufactured microfluidic system. A new kind of chip whose benefits are 2 aligned levels of ITO electrodes in a photopatternable silicone is developed. Several fundamental key functions for DEP colloidal manipulation at the single particle level are experimentally investigated.

P-4-06
Nanobiology Session Posters

Real-Time DNA Sequencing via Detection of Polymerization with Silicon based Pico-calorimeter chips, Hesaam Esfandyarpour, Fabian Pease and Ronald Davis, Stanford University

We illustrate the design and experimental results of a primary template as well as different advantages and potential applications of the presented platform for DNA sequencing and genetics.  The design rules for an optimal silicon-membrane based devices as well as the surrounding platform module for liquid phase picocalorimetry are discussed.

P-4-07
Nanobiology Session Posters

A Microretroreflector-Based Diagnostic Platform, T. Sherlock, S. Kemper, E. Cacao, J. Knoop, P. Ruchhoeft and R. C. Willson, University of Houston

We are developing a flexible platform for the detection of small quantities of analytes (e.g., virus particles, bacteria, DNA, RNA, etc.) that is based on the use of micron-scale retroreflectors.

P-4-08
Nanobiology Session Posters

Fabrication and Characterization of Electroporation Devices with Micropore Arrays for Drug/Gene Delivery, Kunyeh Chiang, Brian Henslee, Hyunchul Jung, Zhengzheng Fei, L. James Lee and Wu Lu, the Ohio State University

For uniform gene delivery in a local electroporation device, cells are immobilized on a poly (e-caprolacton) (PCL) membrane with well defined micropore arrays. The results        show that such devices have better uniformity and gene transfection efficiency in    comparison of bulk devices and track-etch membrane devices with random pores.

P-4-09
Nanobiology Session Posters

In-Situ Synthesis And Direct Immobilization Of DNA Oligonucleotides On Pre-Patterned HSQ Nanostructures, Omar Negrete, M.Serdar Onses, Paul Nealey and Franco Cerrina, University of Wisconsin-Madison

We demonstrate the ability to localize the synthesis and immobilization of ssDNA through the use of pre-patterned Hydrogen Silsesquioxane (HSQ), providing a method for the fabrication of nanoscale ssDNA spots, limited only by the resolution of the HSQ, well-known high-resolution negative tone resist. Tests were verified by DNA hybridization.

P-4-10
Nanobiology Session Posters

Silver-Based SERS Substrate Fabrication Using Nanolithography And Site Selective Electroless Deposition, Maria Laura Coluccio, Gobind Das, Federico Mecarini, Francesco Gentile, Luisa Bava, Rossana Tallerico, Patrizio Candeloro, Carlo Liberale, Francesco De Angelis and Enzo Di Fabrizio, Universita "Magna Graecia" di Catanzaro

We study fabrication of SERS devices based on metal nano-patterned structures combining e-beam lithography and silver electroless deposition, obtaining controlled and site related growth of metallic nanoparticles on silicon surface. R6G Raman spectra show enhancement of the signal in such a way that we can detect molecules at low concentration.

P-5-01
Nanostructure/Nanodevices Session Posters

Low Roughness Microdisk Resonators Fabricated By Focused Ion Beam (FIB), Alfredo Vaz, Luis Barea, José Mialichi, Felipe Vallini and Newton Frateschi, University of Campinas

In this work we present a new approach for the fabrication of microdisk resonators using a focused ion beam (FIB). This technique is very efficient, enabling the placement of the devices at any regions of a sample, facilitating a possible monolithical integration. Moreover, it allows the production of very low roughness walls in the microdisk.

P-5-02
Nanostructure/Nanodevices Session Posters

Real-Time Detection Of Airborne Dust Particles Using Highly Sensitive Paddle Type Silicon Cantilevers, Bonghyun Park, Jiseok Hong and Seung-Beck Lee, Hanyang University

We present the operation of silicon based paddle-type cantilever sensors for real-time monitoring of mass and size distribution of airborne deep-submicron dust particles by using electrostatic attraction. Electrostatic force attracts the airborne particles to the cantilever, detected by an oscillating phase shift, allowing real-time detection of sub 100 nm particles.

P-5-03
Nanostructure/Nanodevices Session Posters

Effects Of Ion Irradiation On Electrical Properties Of Carbon Nanotubes, Alfredo Vaz, Jorge Leon, Carla Verissimo, M. M. da Silva, Stanislav Moshkalev, Rogerio Gelamo and Jacobus Swart, University of Campinas

Carbon nanotubes attract  interest due to combination of electrical, mechanical and optical properties, with many potential applications in devices like sensors and other MEMS. These properties can be modified to provide selective sensitivity to different gases when CNT decorated with metals and oxides nanoparticles are used in chemical sensors.

P-5-04
Nanostructure/Nanodevices Session Posters

Design And Fabrication Of Electrokinetic Microfluidics For Transportation And Manipulation Of Biomolecules, Hyunchul Jung, Xin Hu, L. Shengnian Wang, James Lee and Wu Lu, The Ohio State University

The electroosmotic flow patterns of extensional and rotational flows have been demonstrated in a micro-scale fluidic device with a five cross design. The experimental flow patterns of polystyrene rigid beads agree well with the simulation results by solving the incompressible Navier-Stokes application mode and conductive media DC mode.

P-5-05
Nanostructure/Nanodevices Session Posters

Parallel Proximal Probe Arrays With Vertical Interconnections, Yanko Sarov, A. Frank. T. Ivanov, J. Zollner, K. Ivanova, B. Volland, I.W. Rangelow, A. Brogan*, R. Wilson*, P. Zawierucha**, M. Zielong**, T. Gotszalk**, N. Nikolov***, M. Zier#, B. Schmidt#, and I. Kostic##, Technical University of Ilmenau, *IceMOS Tech, **Wroclaw University of Technology, ***Microsystems Ltd., #Institute of Ion Beam Physics and Materials Research, ##Slovak Academy of Science

Massively parallel operation of large arrays of Scanning Probe Sensors makes possible a higher imaging throughput. In this work we are presenting a low-resistance polysilicon through-wafer interconnects, which, have been integrated with the piezoresistive deflection sensor and bimetal (bimorph) actuator to enable backside contacts to the drive ASIC circuitry.

P-5-06
Nanostructure/Nanodevices Session Posters

Lateral Flow Particle Filtration and Separation with Multilayer Microfluidic Channels, Hyunsoo Park, Younghak Cho, Arum Han and Xing Cheng, Texas A&M University

Multilayer microfluidic channels are useful structures for MEMS applications. We present a lateral-flow particle filtration and separation device based on PDMS multilayer structure fabricated by nanoimprint and transfer-bonding.

P-5-07
Nanostructure/Nanodevices Session Posters

Fabrication Methods For Passive Sensor Tags On Flexible Polymer Substrates, Harvey Ho and Jack Skinner, Sandia National Laboratories

Surface acoustic wave (SAW) devices enable wireless sensor nodes to operate in a locally passive mode in environments where battery and scavenged power is not viable. We present the fabrication and operation of a postage-stamp-sized low-profile SAW sensor tag with an integrated antenna on a flexible plastic substrate.

P-5-08
Nanostructure/Nanodevices Session Posters

Lithographically Defined Silicon Nanowires And Quantum Dots For Quantum Devices, K. Trivedi, C. Floresca, S.H. Lee, S.J. Kang*, M.J. Kim and W. Hu, University of Texas at Dallas, *Siltron

E-beam lithography is used to fabricate single-crystalline Si nanowires and Si quantum dots with critical dimension required for visible light emission (<10 nm) on ultrathin Si (<10 nm in thickness) on insulator (SOI) substrate made by wafer bonding.

P-5-09
Nanostructure/Nanodevices Session Posters

Removing Pt Contamination In FIB Assisted Deposition Of Electrodes For Nanodevices, Yunlong Li, J.J. Li,  Aizi Jin, Qiang Luo and Changzhi Gu, Chinese Academy of Sciences

So far, there are few discussions about the issue of Pt contamination during FIB assisted deposition, and the elimination of Pt contamination has rarely been reported.In this work, we reported the results on Pt contamination during FIB assisted deposition of Pt electrode pair and the way to remove this contamination.

P-5-10
Nanostructure/Nanodevices Session Posters

Nanostructuring Of Graphene Layers By AFM Local Anodic Oxidation, Gemma Rius, Nicolas Camara, Philippe Godignon,  Francesc Perez-Murano and Narcis Mestres*, Instituto de Microelectronica de Barcelona, *Institut de Ciencia de Materials de Barcelona

Graphene layers grown on SiC substrates are patterned at the nanometer scale by atomic force microscopy. Stripes of SiC are narrowed by defining local constrictions resulting in an increase of the resistivity of the stripe. Electrical Force Microscopy Images allows to test in situ the electrical disconnection of graphene areas.

P-5-11
Nanostructure/Nanodevices Session Posters

Template Based Fabrication Of Size Tunable Single-Walled Carbon Nanotube Single Electron Transistors, Saiful I. Khondaker, Paul Stokes, Daeha Joung and Yodchay Jompol, University of Central Florida

A novel technique is demonstrated for the fabrication of size tunable and controllable single electron transistors  by placing single-walled carbon nanotube on top of patterned Al/Al2O3 local bottom gate which acts as a mechanical template and bend the nanotube at the edges to form two tunnel barriers.

P-5-12
Nanostructure/Nanodevices Session Posters

Fabrication Of High Aspect Ratio Nano-Trenches And Characterization Of Spin-On Dielectric Filling, Krutarth Trivedi, Carlos Floresca, Moon Kim, Wenchuang (Walter) Hu and Sangjong Kim *, University of Texas at Dallas, *Dongjim Semichem

We demonstrate the fabrication of high aspect ratio nano-trenches (AR >30, trench width <25 nm) to simulate shallow trench isolation structures for 22 nm semiconductor node using nanoimprint lithography and plasma (etching.  These trenches were then used to evaluate gap filling property of new polysilazane (PSZ) based SOD.

P-5-13
Nanostructure/Nanodevices Session Posters

Effect Of Electrical Characteristics By Surface Modification In Pentacene Field Effect Transistor With Thin Al2O3 Gate Oxide Layer, Sunwoo Lee, Youngjae Choi, Ki-Young Ko, Chang Young Jeong, In-Sung Park and Jinho Ahn, Hanyang University

Surface modifications such as self-assembled monolayers (SAMs) and polystyrene (PS) polymer were carried on Al2O3 high-k material to satisfy the high mobility as well as the low operating voltage. We investigated the electrical characteristics of pentacene OFET depending on the various surface modifications.

P-5-14
Nanostructure/Nanodevices Session Posters

Process And Properties Of The Carbon Nanotube Assisted LiCoO2 Thin Film Battery Electrode By The Pulsed Laser Deposition Method, An-Ya Lo, Chuan-Shu Sun and Cheng-Tzu Kuo*, National Chiao Tung University, *Ming Dao University

In this work, a multi-step pulsed laser deposition (PLD) process was developed to deposit carbon nanotubes (CNTs) assisted LiCoO2 electrode, which can improve the power density 1.7 times greater then the corresponding electrode without CNTs.

P-5-15
Nanostructure/Nanodevices Session Posters

ITO-Free Organic Solar Cells On Flexible Plastic Substrates, Myung-Gyu Kang and L. Jay Guo, University of Michigan

We demonstrate organic solar cells made with metal nanowire array as transparent electrode on flexible substrate fabricated by nanolithography based on metal transfer printing, and evaluate its performance as compared with the device made with conventional ITO electrode.

P-5-16
Nanostructure/Nanodevices Session Posters

Diameter-Dependent Extraordinary Optical Transmission Of Dielectric Hole-Array Membrane, Neil Ou and Jong-Ching Wu, National Changhua University of Education

Dielectric nano-hole arrays made of silicon nitride membrane were fabricated for the study of extraordinary optical transmission (EOT). Besides the mechanism accounted for this phenomenon, the influence of the hole-diameter on the transmission spectrum will be reported.

P-5-17
Nanostructure/Nanodevices Session Posters

Substrate Patterning By Nanoimprint For Efficiency Enhancement Of Organic Light-Emitting Devices, N. Chaix, S. Landis, L. Andre, A. Mary, S. Boutami, Y. Desieres, H. Doyeux and R. Espiau de Lamaestre, CEA/LETI

In this paper we will address the whole process flow to manufacture nanostructured light emitting devices with NIL approach. First results show that up to 20% improvement, regarding light extraction was achieved with such patterned substrates. With 1mA/cm² current structured OLED emitted 17Cd/m² whereas a flat device emitted only 14Cd/m².

P-5-18
Nanostructure/Nanodevices Session Posters

Perturbed Frequency-Selective Surfaces Fabricated on Large Thin Polymer Membranes for Multiband Infrared Applications, Juan Jose Sanz-Fernandez, George Goussetis* and Rebecca Cheung, The University of Edinburgh, *Heriot-Watt University

We report on the design, fabrication and measurements of simply perturbed frequency-selective surfaces fabricated on large free-standing thin polyimide membranes for applications in multiband infrared filters with up to four transmission/reflection bands.

P-5-19
Nanostructure/Nanodevices Session Posters

Effects Of The Direction Of Magnetic Moment On Magnetic And Electronic Properties Of Co/MgO/Co Magnetic Tunnel Junction System: First-Principles Calculations, Kisung Chae and Yong-Chae Chung, Hanyang University

Spin polarized non-collinear calculation was conducted on a Co/MgO/Co magnetic tunnel junction system by the ab-initio study. Anti-parallel alignment of magnetic moments of both ferromagnetic electrodes showed fluctuations in sign of spin polarization values, which was considered as the major reason for the high tunneling resistance.

P-5-20
Nanostructure/Nanodevices Session Posters

Networks of Nanomagnets Fabricated via Stenciling and Magnetron Sputtering to Investigate Nanoscale Magnetic Switching, Cristian-Victor Cojocaru, Jeffrey Bates, Yoichi Miyahara and Peter Grütter, McGill University

We employed stenciling as the strategy to fabricate networks of permalloy (Py) nanomagnets on ultrathin silicon nitride (SiN) membranes. Then we used magnetic force microscopy (MFM) and transmission electron microscopy (TEM) to assess the importance of the microstructure/chemical composition on the magnetic switching field distribution (SFD).

P-5-21
Nanostructure/Nanodevices Session Posters

Magnetic Properties Of Diamond Shaped Elements And Chains Fabricated By Nanosphere Lithography, Vivian Ng and L K Verma, National University of Singapore

Nanosphere lithography is combined with etching and evaporation to form chains of NiFe diamonds. Detailed magnetic domain distributions as the number of diamond elements increased were studied by magnetic force microscopy. Micromagnetic simulations were used to understand and explain the domain arrangements and their switching behaviors.

P-5-22
Nanostructure/Nanodevices Session Posters

Magnetic Soft X-ray Imaging of Non-linear Vortex Core Dynamics, Brooke L. Mesler, Mi-Young Im, Kristen Buchanan, Erik H. Anderson and Peter Fischer, Lawrence Berkeley National Laboratory, University of California Berkeley, Colorado State University

We report on the fabrication of nanopatterned magnetic samples for observations of non-linear vortex core dynamics in permalloy structures utilizing magnetic full-field soft X-ray microscopy. An AC current sent through waveguides creates an Oersted field at the sample and excites the resonant vortex core motion in the sample.

P-5-23
Nanostructure/Nanodevices Session Posters

Fabrication Of Nano-Periodic Josephson Junction Array In Bi2Sr2Ca2Cu3O10+δ (Bi-2223) Single Crystal Whiskers, S. Saini and S. -J. Kim, Cheju National University

We fabricated nano-periodic Josephson junction array in Bi2Sr2Ca2Cu3O10+δ (Bi-2223) single crystal whisker using FIB, in ab-plane with size of 2 µm x 2 µm (and 0.5 µm x 0.5 µm). We tilted sample stage of FIB and etched in c-axis with junction height of about 150 nm (and 100 nm).

P-5-24
Nanostructure/Nanodevices Session Posters

Advanced Nanoscale Anode Fabrication for High-Performance Solid Oxide Fuel Cells, Evan Brown, Stephen Wilke and Sossina Haile, Californai Institute of Technology

As a first step towards optimized 3-D fuel cell electrode structures, we examine well-defined, two-dimensional electrode structures with tunable features. Here, we utilize a facile sacrificial templating method, known as nanosphere lithography, to deposit a fully connected, yet fully porous metallic thin-film on fuel cell electrolyte materials.

P-5-25
Nanostructure/Nanodevices Session Posters

Electron Post-Irradiation Of Platinum Nanostructures Created By Electron-Beam-Induced Deposition From Pt(PF3)4, Aurelien Botman, Kees Hagen, Juntao Li*, Brad Thiel*, Kathleen Dunn*, Hans Mulders** and Milos Toth**, Delft University, *University at Albany-SUNY, **FEI Electron Optics

We report on the fabrication of nanostructures by electron beam induced deposition from the Pt(PF3)4 precursor in a scanning electron microscope; we demonstrate that the operator can control the morphology of the created deposits (size and density of the platinum grains) by varying the electron dose during post-irradiation.

P-5-26
Nanostructure/Nanodevices Session Posters

Enhancement of Power Efficiency in Photonic Crystal Structured OLED, Ali Ozhan Altun, Sohee Jeon, Jongyoup Shim, Jun-Ho Jeong, Dae-Geun Choi, Ki-Don Kim, Ji-Hye Lee, Jun-Hyuk Choi, Soon-Won Lee and Eung-Sug Lee, Korea Institute of Machinery and Materials

A new high-efficiency Photonic crystal structured organic light emitting diode is fabricated using a low pressure and room temperature nanoimprint lithography (NIL) process. The power efficiency of the fabricated PC-OLED with a pillar height of 50 nm is 93% higher than the reference OLED with no PC structure.

3A-1
Extreme Ultraviolet Lithography

Pushing EUV Lithography Development Beyond 22-Nm Half Pitch, Patrick Naulleau, Christopher Anderson*, Paul Denham, Simi George, Kenneth Goldberg, Brian Hoef, Gideon Jones, Chawon Koh**, Bruno La Fontaine#, Warren Montgomery**, and Tom Wallow#, Lawrence Berkeley National Laboratory, *University of California, Berkel, **SEMATECH, #Advanced Micro Devices

We investigate the possibilities and limitations of using the SEMATECH Berkeley 0.3-NA MET for sub-22-nm development. We consider mask limitations and present a method unique to the centrally obscured MET allowing these limitations to be overcome. We explore projection optics resolution limits and describe various illumination schemes allowing resolution enhancement.

3A-2
Extreme Ultraviolet Lithography

Substrate Effects in EUV Lithography, Menouer Saidani, Andreas Langner and Harun Hadi Solak, Paul Scherrer Institut

Effects of substrate on EUV lithography was investigated through exposure of 40 nm half-pitch line/space patterns in PMMA films on substrates with vastly different absorption strengths. Results show a surprisingly large dependence of linewidth on the substrate type which may be attributed to secondary electrons generated in the substrate.

3A-3
Extreme Ultraviolet Lithography

Experimental Determination of Image Placement Accuracy in EUV Lithography, Sudhar Raghunthan, Obert Wood, John Hartley* and Scott Halle**, University at Albany, *Advanced Micro Devices, **IBM Corp.

Non-flatness of the reticle substrate and the chuck result in Image Placement Error(IPE). We have studied IPE with special EUV reticles with IP fiducials that can be imaged in four different orientations. We will discuss experimental results and modeling methods required to isolate IPE from systematics in the exposure tool.

3A-4
Extreme Ultraviolet Lithography

Comparison Of Fast 3D Simulation And Actinic Inspection For EUV Masks With Buried Defects And Absorber Features, Chris Clifford, Sandy Wiraatmadja, Tina Chan and Andrew Neureuther, University of California, Berkeley

Aerial images for isolated buried defects and buried defects near features are compared between the Actinic Inspection Tool (AIT) and the fast EUV simulator RADICAL.  The AIT aberrations will be extracted using a novel method and an overall perspective will be given on the nature of EUV defect-feature interactions.

3A-5
Extreme Ultraviolet Lithography

Line Edge Roughness Reduction Studies Employing Grazing Incidence Ion Beam, David Ruzic, Corey Struck, Ramasamy Raju, Martin Neumann, Randolph Flauta and Robert Bristol*, University of Illinois at Urbana-Champaign, *Intel Corp.

At the CPMI a new technique to reduce LER from patterened photoresist has been developed in conjunction with INTEL. Results obtained using our technique showed significant LER reduction from 6.9±0.47 nm to 3.9±0.61 nm for 90 nm lines and spaces. Results on the change of CD values while reducing the LER values in a EUV exposed samples will be presented.

3A-6
Extreme Ultraviolet Lithography

Self-Imaging Of Complex Structures With A Table-Top EUV Laser, Artak Isoyan, Fan Jiang, Y.-C. Cheng, P.W. Wachulak*, L. Urbanski*, C. Menoni*, J. Rocca*, Mario Marconi* and Franco Cerrina, University of Wisconsin-Madison, *Colorado State University

We report the development of novel high-resolution EUV patterning approach, capable of patterning large area periodic structures of arbitrary content with nanoscale resolution. It is based on Talbot imaging – the Generalized Talbot Imaging, or GTI. Talbot imaging opens the door to novel application of nanolithography of periodic patterns, such as high-density memory chips.

3B-1
Ion Microscopy

Sub-Surface Damage from Helium Ion as a Function of Dose and Beam Energy, Richard Livengood, Shida Tan, Yuval Greenzweig, John Notte* and Shawn McVey*, Intel Corp., *Carl Zeiss SMT

In recent years, helium ion microscopy has produced high resolution images with novel contrast mechanisms.  However, when using any charged particle beam, one must consider the potential for sample damage.        In this paper, we will consider the helium-induced damage as compared to the traditional gallium beam for semiconductor applications.

3B-2
Ion Microscopy

Application of the Helium Ion Microscope to Biological Sciences, Daniel Pickard, Michael Sheetz, Sanjay Swarup, Wei-Yi Ong, Linda Kenney, Li-Li Chew, Olivier Rossier and Thirumalai Venkatesan, National University of Singapore

We have applied the Helium Ion Microscope (HIM) to image a wide spectrum of biological specimens.  The strength of the HIM for these applications is the high spatial resolution, ability to neutralize insulating samples, and large depth of field.  We discuss the strengths and limitations of this technology for bio-sciences.

3B-3
Ion Microscopy

Understanding Imaging Modes In The Helium Ion Microscope, Larry Scipioni, Colin Sanford, John Notte, Bill Thompson and Shawn McVey, Carl Zeiss SMT, Inc.

Recent investigations with the ORION helium microscope are gaining us a better understanding of sample interactions and what they mean for the image information provided. In secondary electron imaging, for example, the surface sensitivity is attributed to the very low mean energy in the SE spectrum.

3B-4
Ion Microscopy

Optical Imaging and Processing in a SEM/FIB: The Three Beam System, Phillip Rack, Jason Fowlkes*, Thomas Moore** and Cheryl Hartfield**,  University of Tennessee, *Oak Ridge National Labs, **Omniprobe, Inc.

This paper shows recent work that integrates true optical microscopy into a SEM/FIB microscope.  Capabilities such as fluorescence microscopy and laser-assisted processes with a high fluence source can now be considered within the FIB/SEM environment.  Design features, imaging and materials processing results will be described.

3B-5
Ion Microscopy

On The Influence Of Sputtering In Determining The Resolution Of A Scanning Ion Microscope, Vincenzo Castaldo and Pieter Kruit, Delft University

The evolution of a feature under ion bombardment can be of different types; fading, which only affects the ability of observing small features, since it limits the collectable SNR; shrinking, that must be taken into account when determining the accuracy of measurements. Understanding and modelling these effects is crucial to optimise and set the limits of a Scanning Ion Microscope.

3B-6
Ion Microscopy

Development of Pseudo-random Binary Gratings and Arrays for Calibration of Surface Profile Metrology Tools, Samuel Barber, Paul Soldate*, Erik Anderson, Rossana Cambie, Wayne McKinney, Peter Takacs**, Dmytro Voronov and Valeriy Yashchuka, Lawrence Berkeley National Laboratory, *Rensselaer Polytechnic Institute, **Brookhaven National Laboratory

A two dimensional Binary Pseudo-Random Array test surface, engineered to produce a flat “white noise” power spectral density response, has been fabricated using e-beam lithography and etching. The test surface has proven to be an effective calibration standard for the Modulation Transfer Function of Optical Metrology tools.

3C-1
Nanowires and Nanoelectronics

High Aspect Ratio Fabrication Process For 3D Nanowire Gate-All-Around (GAA) And Double-Gate Transistors, Sébastien Pauliac-Vaujour, Corinne Comboroure, Christian Vizioz, Sébastien Barnola, Virginie Maffini Alvaro,  Alexandre Hubert, Cecilia Dupre and Thomas Ernst, CEA/LETI

Stacked nanowire MOSFET devices with gate-all-around (GAA) or independent double gate (ΦFET) are real candidates for sub-32nm MOS transistors. By studying high aspect ratio fabrication processes we have succeeded in achieving 18nm GAA transistors and for the first time, sub-20nm ΦFET devices.

3C-2
Nanowires and Nanoelectronics

Diameter-Dependent Electronic Transport Properties Of Au-Catalyst/Ge-Nanowire Schottky Diodes, Alec Talin, Francois Leonard, Brian Swartzentruber and Tom Picraux**, Sandia National Laboratories, *Los Alamos National Laboratory

We present electronic transport measurement of individual Au catalyst/Ge nanowire interfaces demonstrating the presence of a Schottky barrier. Surprisingly, the small bias conductance increases with decreasing diameter. Theoretical calculations show that this effect arises because electron-hole recombination in the space-charge region is the dominant charge transport mechanism

3C-3
Nanowires and Nanoelectronics

Effects Of Visible Light Illumination On The Conductance Of Al/Alox Single-Electron Transistors, Hubert C. George, Alexei O. Orlov and Gregory L. Snider, University of Notre Dame

Single Electron Transistor (SETs) are proposed to detect charge redistribution inside so–called "blinking" semiconducting nanostructures. This work includes studies of the effects of light illumination on the behavior of SETs, with metal shields used to reduce detection of unrelated photon-excited effects and improve performance of the devices.

3C-4
Nanowires and Nanoelectronics

(Invited) Nanowires For Basic Science And For Applications In Electronics And Photonics, Lars Samuelson, Lund University

The nanowires (NWs) that I will talk about are single-crystalline semiconductors which form via guided self-assembly, replicating the crystalline structure of the substrate and with location and dimensions controlled by top-down lithography.

3C-5
Nanowires and Nanoelectronics

Fully Self-Aligned Process For Fabricating 100 Nm Gate Length Enhancement Mode GaAs MOSFETs, Xu Li, Richard J. W. Hill, Paulo Longo, Martin C. Holland, Haiping Zhou, Stephen Thoms, Douglas S. Macintyre and Iain G. Thayne, University of Glasgow

This work reports a process flow, which has enabled the first demonstration of functional, fully self-aligned 100 nm enhancement mode GaAs MOSFETs with metal gate and SiN sidewall spacers.

3C-6
Nanowires and Nanoelectronics

Gallium Nitride Nanowire Devices-Assembly, Fabrication And Applications, Abhishek Motayed, Albert Davydov, S, Noor Mohammad* and John Melngailis*, National Institute of Standards and Technology, *University of Maryland

GaN nanowires grown by the vapor-solid technique are aligned usind dielectrophoresis to fabricate various devices. FET's with the gate surrounding the nanowire demonstrate superior on/off ratios (~1E7). Light emission (LED's) and gas sensing are observed.

3D-1
Cell Guidance

(Invited) Guiding, Rectifying and Sorting of Regenerating Axons by Free Standing Nanowire Patterns: A highway for nerve fibers., Christelle Prinz, Waldemar Hällström, Dmitry Suyatin, Lars Samuelson, Lars Montelius and Martin Kanje, Lund University

We present an EBL-defined nanowire pattern that can sort axons coming from different directions on a substrate. The pattern defines tracks for left-bound traffic and right-bound traffic, which opens up new possibilities for designing neuron networks on a chip.

3D-2
Cell Guidance

Activation of Macrophages by High Aspect Ratio Nanostructures., Martin Kanje, Fredrik Johansson, Lars Montelius and Waldemar Hällström, Lund University

The effects of GaP, polystyrene and nickel nanowires on mouse macrophages were investigated. The nanowires were rapidly attacked and engulfed by the macrophages. The nanowires activated these inflammatory cells and also induced cell death in a manner which appeared related to the physical and mechanical properties of the wires.

3D-3
Cell Guidance

Subcellular Force Dynamics of Outgrowing Axons Measured by Free-standing Nanowires., Waldemar Hällström, Dmitry Suyatin, Christelle Prinz,  Lars Samuelson and Lars Montelius and Martin Kanje, Lund University

Neurons were cultured on fluorescently labeled GaP nanowires. The wires were grown with MOCVD in EBL defined arrays. Confocal microscopy was used to monitor the cells and the nanowires. The mechanical dynamics of the outgrowing axons could be measured with high spatial resolution, by observing deflections of the nanowire tips.

3D-4
Cell Guidance

Fabrication Of High Aspect Ratio Polymer Nanopillars By Nanoimprint Induced Elongation For Guided Cell Growth, Walter Hu, Adam Crouch, Mukti Aryal, Danielle Miller* and Kevin Luebke*, University of Texas, *University of Texas Southwestern Medical Center

We demonstrate a nanoimprint process using demolding-induced elongation to create high aspect ratio (~25) nanopillars of high density in bulk polystyrene plates.  Simply by demolding at an elevated temperature, imprinted nanopillars can be stretched vertically to obtain various lengths. The structure aspect ratio shows strong effects on the behaviors of human dermal fibroblasts for both pillar and gratings.

3D-5
Cell Guidance

Gold-Tipped Elastomeric Pillars for Cellular Mechanotransduction, Saba Ghasssemi, Shalom Wind and James Hone, Columbia University

Arrays of elastomeric pillars are formed which are selectively functionalized only on their top surface. This restricts cell adhesion to the tops of the pillars and enables more accurate measurement of cellular force transduction.

3D-6
Cell Guidance

Nanowire Templated Nanotubes for Cell Injection, Waldemar Hällström, Niklas Sköld, Lars Montelius, Lars Samuelson and Jonas Tegenfeldt and Martin Kanje, Lund University

Nanotubes were fabricated by etching the core of coated nanowires. By dimpling and wet-etching the tubes were accessed from the backside of the substrate. Adherent cells were cultured on the hollow nanowires and a fluorescent marker was added to the backside. The substance entering the cells were monitored by microscopy.

4A-1
Maskless Lithography

Mapper: High Throughput Maskless Lithography, M.J. Wieland, G. de Boer, G.F. ten Berge, L. Dinu-Gurtler, R. Jager, T. van de Peut, J.J.M Peijster, E Slot, S.W.H.K. Steenbrink, T.F. Teepen, A.H.V. van Veen, B.J. Kampherbeek, T.Y. Fang*, S.J. Lin* and S.M.Chang*, F. Krecinic*, J.H. Lin*, *TSMC, MAPPER

MAPPER is building multiple maskless tools based on a 300 mm platform with 110 parallel electron beams. In this paper we will describe the challenges for semiconductor manufacturing at the 22-nm node and beyond and our efforts to mitigate them together with the latest imaging results.

4A-2
Maskless Lithography

(Invited) Programmable Aperture Plate System with integrated CMOS electronics for projection maskless nanolithography and nanopatterning, Christof Klein, Elmar Platzgummer, Hans Loeschner, Florian Letzkus*, Michael Jurisch*, Mathias Irmscher*, Martin Witt**, Wolfgang Pilz**, IMS Nanofabrication AG, *Institute fur Mikroelektronik Stuttgart, **Fraunhofer Institut for Silicon Technology

Projection maskless nanolithography and nanopatterning techniques are based on the use of a programmable Aperture Plate System with integrated CMOS electronis (CMOS-APS).

4A-3
Maskless Lithography

(Invited) Charged Particle Nanopatterning (CHARPAN), Elmar Platzgummer and Hans Loeschner, IMS Nanofabrication AG

Charged Particle Nanopatterning (CHARPAN) techniques based on electron and ion multi-beam projection techniques are finding increased industrial interest for the fabrication of leading-edge complex masks, nanoimprint templates and for nanosystem devices.

4A-4
Maskless Lithography

Evaluation of Each Electron Beam and Exposure Results with Four Column Cells in Multi Column E-Beam Exposure System, Akio Yamada, Hiroshi Yasuda and Masaki Yamabe, Association of Super-Advanced Electronics Technologies

We are developing a multi column cell (MCC) EB exposure system with character projection (CP). We assembled a system made up of four column cells for the proof-of-concept of MCC with CP. In the conference, we will show evaluation results of each electron beam and exposure results with four column cells in the POC system.

4B-1
Nanometrology

(Invited) High-Resolution Scanning X-Ray Diffraction Microscopy, Pierre Thibault, Paul Scherrer Institut

I will describe an imaging method based on ptychography that addresses these challenges by bridging the gap between CDI and STXM through the collection of coherent diffraction patterns at each point of a STXM scan. The method allows high-resolution imaging of a wide range of materials and life science specimens.

4B-2
Nanometrology

Characterizing The Latent Image In Block Copolymer Resists With X-Ray Diffraction, Gila Stein and J. Alexander Liddle, National Institute of Standards and Technology

We characterize the structure of lamellar block copolymer resists assembled by epitaxy with transmission x-ray diffraction.  The domain shapes, sizes, interface structure (including line-edge roughness), and placement errors are extracted from the data and compared with integrated circuit manufacturing requirements.

4B-3
Nanometrology

GISAXS A New Metrology To Characterize Nano-Patterned Samples, Tommy Hofmann, Ben Ocko and Elizabeth Dobisz*, Brookhaven National Laboratory, *Hitachi San Jose Research Center

GISAXS is a powerful metrology to probe nano-patterned samples. Samples prepared by e-beam lithography and reactive ion-etching were used to show how GISAXS experiments reveal the structure of patterned substrates and how they contribute to a detailed quality control in a fabrication process.

4B-4
Nanometrology

Non-iterative Reconstruction for Detecting Buried Deviant Structures in Integrated Circuits using Coherent Hard X-ray Diffraction, Bing Dai, Leili Baghaei Rad, Piero Pianetta and Fabian Pease, Stanford University

We propose a non-iterative reconstruction approach to detect the functional deviance in extended patterns in integrated circuits by using coherent hard x-ray diffraction. Simulation shows the method can bypass the oversampling requirement in iterative reconstruction algorithms and overcome various experiment issues (beam stop, low contrast, etc).

4C-1
Graphene

Electrostatic Exfoliation of Pre-Patterned Graphene Micro- and Nanostructures, Xiaogan Liang, Alan Chang, Yuegang Zhang, Bruce Harteneck, Hyuck Choo, Deirdre Olynick and Stefano Cabrini, Lawrence Berkeley National Laboratory

We present a novel nanofabrication route, which uses a combination of electrostatic exfoliation with micro and nano lithographically patterned highly oriented pyrolytic graphite (HOPG) to produce pristine graphene features and fabricate electronic devices.

4C-2
Graphene

Impact of Edge Roughness on Graphene Nanoribbons, Yinxiao Yang and Raghu Murali, Georgia Institute of Technology

An understanding of the edge effect in graphene systems is important for realization of graphene-based electronics. In patterned graphene, the edge effect encompasses chirality, critical dimension (CD) variation, and line edge roughness(LER). In this study, it is shown how LER can affect transport properties of graphene nanoribbons (GNR).

4C-3
Graphene

Graphitization at Interface between Amorphous Carbon and Liquid Gallium for Fabricating Large Area Graphene Sheets, Jun-ichi Fujita, Ryuuichi Ueki, Yousuke Miyazawa and Toshinari Ichihashi*, PRESTO JST and University of Tsukuba, *NEC Nanoelectronics Laboratory

Bulk carbon generally known to be undissolve in gallium, although the liquid gallium covers a large area, the catalytic reaction was limited to the region just above the liquid surface. We succeeded to synthesis approximately 3 to 5 graphene sheets on an amorphous carbon film using liquid gallium.

4C-4
Graphene

Epitaxial Growth of Graphene on High Topology SiC Structures Patterned by Focused Ion Beam, J Provine, Nicola Ferralis*, Nathan Klejwa, Carlo Carraro*, Roya Maboudian* and Roger T. Howe, Stanford University, *University of California, Berkeley

We have demonstrated the epitaxial growth of graphene on SiC structures with high topology defined by milling with a Focused Ion Beam.  Multiple layers of graphene were confirmed via scanning Auger spectra with no detected layer difference between regions patterned by FIB and unpatterned regions.

4C-5
Graphene

1nm Thin Carbon Nanosheets With Tunable Conductivity And Stiffness, Andrey Turchanin, André Beyer, Christoph T. Nottbohm, Xianhui Zhang, Reiner Stosch*, Alla Sologubenko**, Joachim Mayer**, Peter Hinze*, Thomas Weimann* and Armin Gölzhäuser, Universitat Bielefeld, *Physikalisch-Technische Bundesanstalt, **RWTH

Atomically thin carbon films with tunable conductivity and arbitrary size are fabricated by self-assembly, lithography and pyrolysis. Self-assembled monolayers of biphenyls are cross-linked by e-beam and detached from the surface. Upon annealing at ~1000K, the cross-linked monolayer transforms into a graphitic phase and its resistivity changes from insulating to conducting.

4D-1
Photo-Resists

Control of the Critical Dimensions and Line Edge Roughness with Pre-organized Block Copolymer Pixelated Photoresists, Huiman Kang, Yun Jun Kim, Padma Gopalan and Paul Nealey, University of Wisconsin-Madison

The pre-organized pixel-forming resists created from block copolymers with desirable and well-defined material structures control the size and the resist heterogeneity, and also reduce LER of the structure. In this study, asymmetric poly styrene-block-poly(t-butyl acrylate) diblock copolymer is used as pre-organized photoresist by adding catalytic amounts of PAG.

4D-2
Photo-Resists

Defectivity Solutions for Topcoat-Free Photoresists at the 22nm Node, Jason Cantone*, Karen Petrillo, Shannon Dunn*, Youri van Dommelen**, Aiqin Jiang**, Ryan Callaghan# and Sanjay Malik#, IBM Corp., *Tokyo Electron America, Inc., **ASML, #FujiFilm Electronic Materials USA

Topcoat-less photoresists create a hydrophobic surface and thus eliminate many of the processes needed during an immersion process.  This paper will examine the relationship between contact angle (receding and advancing), and the different process adjustments required for defectivity levels equal to or better then current topcoat systems.

4D-3
Photo-Resists

Alternative Developer Solutions For EUV Resist, Toshiro Itani and Julius Joseph Santillan, Semiconductor Leading EdgeTechnologies, Inc.

The use of alternative developer solutions to improve line width roughness (LWR) for EUV resists is proposed. Imaging results have shown consistent resolution limits, a slight variation in the resist sensitivity and a significant improvement in LWR with alternative solutions in comparison to the standard tetramethylammonium hydroxide aqueous developer solution.

4D-4
Photo-Resists

Stochastic Approach to Modeling Photoresist Development, Chris Mack, Lithoguru.com

Dissolution rate variance comes from both the variance in polymer solubility and variation in the development path required to bypass randomly insoluble molecules.  Fractal surface etching models are applied to photoresist dissolution to predict the difference in dissolution rates between the stochastic and continuum models, and to predict surface roughness.

4D-5
Photo-Resists

High Performance Negative Tone Molecular Resists Using Cationic Polymerization, Richard Lawson, David Noga, Clifford Henderson, Laren Tolbert and Todd Younkin*, Georgia Institute of Technology, *Intel Corp.

We have developed a series of negative tone molecular resists that show a combination of high resolution, good sensitivity, and low LER. Under 100 keV e-beam and EUV lithography, they have shown resolution down to sub-25 nm half-pitch, sensitivity around 50-100 µC/cm2 and 5-10 mJ/cm2, and LER σof 2.3-4 nm.

5A-1
Nanoimprint Lithography Applications

High Volume Manufacturing Based on NanoImprint Lithography on Rough and Non-Planar Substrates, Marc Beck, Goran Frennesson, Matthias Keil, Nicklas Nilsson, Roland Palm, Magnus Persson, Johan Ring, Prasanna Venkatesh Krishnan, Shoko Yamada, Ye Zhou and Babak Heidari, Obducat Technologies AB

We present the advantages of a 2-step imprint process utilizing intermediate polymer stamps (IPS) and a simultaneous thermal and UV NIL process (STU) for manufacturing of nano-structred devices on rough and non-planar substrates. Examples given are high-brightness LEDs based on two dimensional photonic crystals and anti-reflection layers on optical lenses.

5A-2
Nanoimprint Lithography Applications

Fabrication of Large-Area 100nm Checker Board Mold Using 3D Patterning with Multiple Nanoimprint Lithography and Self-Aligned Selective Etching, Wen-Di Li, Chao Wang and Stephen Chou, Princeton University

We present a novel approach to fabricate wafer scale sub-100-nm checker board structures without using EBL. The method is based on double cycles of nanoimprint and self-aligned selective etching and opens a path to a number of applications in surface enhanced Raman spectroscopy and plasmonic devices.

5A-3
Nanoimprint Lithography Applications

(Invited) Nanoimprint Lithography: Enabling Discrete Track and Bit-patterned Media Disk Manufacturing, Dan Kercher, Hitachi Global Storage Technhologies

Nano-imprint lithography has been demonstrated as a munufactureable process for creating flyable patterned media disks.

5A-4
Nanoimprint Lithography Applications

Fabrication of Nanoscale Memristor Arrays with One Nanoimprint Lithography Step, Qiangfei Xia, J. Joshua Yang, Wei Wu, Xuema Li and R. Stanley Williams, HP Labs

One NIL step was used for patterning all three layers (two electrodes and a switching layer) in making memristors. Arrays of memristors with an ON/OFF ratio better than 1000 were demonstrated.

5A-5
Nanoimprint Lithography Applications

Evaluation of Nanoimprint Lithography as a Fabrication Process of Phase-shifted Diffraction Gratings of Distributed Feedback Laser Diodes, Masaki Yanagisawa, Yukihiro Tsuji, Hiroyuki Yoshinaga, Naoya Kono and Kenji Hiratsuka, Sumitomo Electric Industries, Ltd.

We have successfully fabricated diffraction gratings of phase-shifted LDs by nanoimprint lithography (NIL). The LDs show satisfactory characteristics and long-term stability up to at least 5000 hours. We have measured photoluminescence intensity before and after NIL in order to demonstrate that the epitaxial layers suffer no damage by imprint.

5B-1
Focused Ion Beam Nanofabrication

Direct Patterning and Imaging of Graphene Structures with a Helium Ion Microscope, Daniel Pickard, Sinu Mathew, Barbaros Özyilmaz, John Thong, Venky Venkatesan, Vignesh Viswanathan and Ziqian Wang, National University of Singapore

We report a new method of resist-free, sub-10 nm graphene patterning based on focused He+ ion beams.        The technique employs the Helium Ion Microscope to surface sputter graphene sheets.  We have patterned on both supported and suspended structures, demonstrating nano-ribbons of 7 nm width and individual holes of 5 nm.

5B-2
Focused Ion Beam Nanofabrication

Helium-ion Lithography with Hydrogen Silsesquioxane Resist, Donald Winston, Bryan Cord, Mark Mondol, Joel Yang, Karl Berggren, Bin Ming*, Andras Vladar*, Michael Postek*, David Bell**, William DiNatale# and Lewis Stern#, Massachusetts Institute of Technology, *National Institute of Standards and Technology, **Harvard University, #Carl Zeiss SMT Inc.

Helium ions may enable nanostructure fabrication with higher resolution than electrons. We have used a Zeiss Orion scanning helium ion microscope to demonstrate lithography of hydrogen silsesquioxane (HSQ) resist on silicon. Feature sizes of 10 nm were achieved.

5B-3
Focused Ion Beam Nanofabrication

Fabrication of CMOS Integrated Nanomechanical Devices By Ion Beam Patterning, Gemma Rius, Jordi Llobet, Xavier Borrise, Aritz Retolaza* and Santos Merino*, Instituto de Microelectronica de Barcelona, *Fundacion Tekniker

We present a novel approach to fabricate nanomechanical devices monolithically integrated into CMOS circuit based on ion beam patterning. Silicon and polysilicon surfaces are locally exposed to a galium beam to either locally modify the surface by galium implantation or to induced TEOS deposition. The exposed areas sustain a reactive ion etching process.

5B-4
Focused Ion Beam Nanofabrication

Ion Multi-Beam Nanopatterning for Photonic Applications: Experiments And Simulations, Including Study Of Precursor Gas Induced Etching And Deposition, Christoph Ebm, Elmar Platzgummer, Gerhard Hobler*, Anton Koeck**, Rainer Hainberger**, Markus Wellenzohn**, Stefan Eder-Kapl, Peter Joechl, Marco Kuemmel, Ruediger Reitinger, Florian Letzkus#, Mathias Irmscher#,  and Hans Loeschner, IMS Nanofabrication AG, *Vienna University of Technology, **Nano-System-Technologies, #Institute for Microelectronics Stuttgart

Photonic structures were sputtered with 10 keV Ar+ multi-beams using the CHARPAN – Charged Particle Nanopatterning Tool. Using the IonShaper simulation software an excellent agreement between theory and experiment was obtained. A new recoils-based algorithm for the simulation of gas-assisted etching and deposition was developed and results are presented.

5B-5
Focused Ion Beam Nanofabrication

Fabrication Of Metal Nanowires By Ion Beam Irradiation Of Metal Oxide Through High Aspect Ratio Resist Mask, Boris A. Gurovich, Alexei L. Bogdanov*, Kirill E. Prikhod'ko, A.N. Taldenkov, N.K. Chumakov, Andrei Yu. Yakubovsky and Evgenia A. Kuleshova, Kurchatov Institute, *National Research Council of Canada

A novel method of nanofabrication was demonstrated to produce metal bismuth nanowires embedded into a matrix of bismuth oxide. The nanowires were formed in the process of reduction of the oxide exposed by the beam of protons. High aspect ratio e-beam resist mask was used. Obtained nanowires were electrically characterized.

5C-1
Nanophotonics

(Invited) Light Force Silicon Devices, Mo Li, Wolfram Pernice, Hong Tang, Yale University

We demonstrate the convergence of silicon nanomechanics and nanophotonics by building a new class of novel silicon optomechanical devices that operate on optical forces.  Practical application enabled by this strong mechanics-photonics interaction, such as all-optical logic, reconfigurable photonics, mechanical nonlinear optics, ultrasensitive transducers, will be discussed.

5C-2
Nanophotonics

Advanced Silicon Processing for Active Integrated Photonic Devices, Michael Shearn, Kenneth Diest, Xiankai Sun, Avi Zadok, Amnon Yariv and Axel Scherer, California Institute of Technology

We present a set of processing technologies that enable hybrid silicon/III-V laser structures.  The wafer bonded structure supports a joint optical mode whose profile overlaps both Si and III-V.  The relative overlap is controlled by changing silicon waveguide dimensions.  CW operation with single facet power of 12.5mW is demonstrated.

5C-3
Nanophotonics

Fabrication of Novel Digital Optical Spectrometer-on-chip, Sergey Babin, Alexey Bugrov**, Stefano Cabrini*, Scott Dhuey*, Alexandr Goltsov**, Bruce Harteneck*, E.-Bernhard Kley#, Christophe Peroz, Holger Schmidt# and Vladimir Yankov**, aBeam Technologies, *Lawrence Berkeley National Laboratory, **Nano-Optic Devices, #Friedrich Schiller University

A novel type of Digital Optical Spectrometer-on-chip has been theoretically proposed and first results of their fabrication and characterization are reported. The optical performance of fabricated devices was measured. Spectrometers with 128 and 1000 channels were characterized; the optical performance was in good agreement with designed characteristics of these devices.

5C-4
Nanophotonics

Manipulating Nano-scale Light Fields With The Plasmonic Color Nanosorter, Zhaoyu Zhang, Alexander Weber-Bargioni, Scott Dhuey, Bruce Harteneck, Peidong Yang*, Stefano Cabrini and P. James Schuck, Lawrence Berkeley National Lab, *University of California Berkeley

Here, we present our experimental and theoretical study of a device, termed the Plasmonic Color Nanosorter, which demonstrates both the ability to efficiently capture and strongly confine broadband optical fields, as well as to spectrally filter and steer them while maintaining nanoscale field distributions.

5D-1
Directed Self Assembly and Novel Nanofabrication

Fabrication of Sub-5nm Nanoscale Arrays by Nanoimprint Lithography Combined with an Angle-Evaporated Hard Mask and Lift-off, Mark Schvartzman and Shalom Wind, Columbia University

Nanoimprint lithography is combined with shadow evaporation to form sub-5nm circular features. The process is extended to grids of orthogonal lines.

5D-2
Directed Self Assembly and Novel Nanofabrication

Positioning of Nanocrystals on Prestructured Substrates, Gang Chen, W. Heiss, W. Jantsch, E. Platzgummer*, S. Eder-Kapl*, P. Joechl*, M. Kuemmel*, R. Reitinger*, G. Gross* and H. Loeschner*, Johannes Kepler Universitat, *IMS Nanofabrication AG

We show that nanocrystals (diameter 10-25 nm) can be positioned on pre- patterned substrates by mechanical polishing.  The high resolution projection maskless nanopatterning method of IMS Inc (using 10 keV Ar+ multibeams) is applied to form pits in any desired pattern. Single pit occupation is demonstrated for the smallest pit diameter of <20 nm.

5D-3
Directed Self Assembly and Novel Nanofabrication

Single-Walled Carbon Nanotube Alignment by Grating-Guided Electrostatic Self-assembly, Huifeng Li, Dazhi Sun, Hung-Jue Sue and Xing Cheng, Texas A&M University

In this work, well-aligned single-walled carbon nanotube is achieved by a simple grating-templated electrostatic self-assembly technique. The easy processing and high process yield of this technique may present a practical route toward device and circuit applications of SWNTs.

5D-4
Directed Self Assembly and Novel Nanofabrication

Ferrofluid Lithography, Chih-Hao Chang, Chee-Wee Tan, Zhihong Wang*, Jianmin Miao* and George Barbastathis**, Singapore-MIT Alliance for Research and Technology, *Nanyang Technological University, **Massachusetts Institute of Technology

We propose a novel fabrication process to pattern quasi-periodic micro/nanoscale structures using field-induced assembly of nanoparticles.  In this scheme a fluid containing nanoparticles is used as a contact lithography mask, which the pattern can be actively tuned using externally applied magnetic field.

5D-5
Directed Self Assembly and Novel Nanofabrication

Ultrahigh Resolution X-Ray Fresnel Zone Plates Made By Thin Film Deposition, Christian David, Joan Vila-Comamala, George Tzvetkov, Jörg Raabe, Martin Dierolf, Cameron Kewish, Pierre Thibault, Konstantins Jefimovs*, Tero Pilvi** and Mikko Ritala**, Paul Scherrer Institute, *EMPA, **University of Helsinki

Thin film technology is used to bypass the current limitations on the manufacture of Fresnel zone plate lenses for x-ray microscopy and to boost the spatial resolution ever achieved up to date.

6A-1
Patterned Media

(Invited) Toward Automated Pattern Inspection and Defect Characterization for Patterned Media Lithography, Gerard Schmid, Niyaz Khusnatdinov and Douglas Resnick, Molecular Imprints, Inc.

This work presents a methodology for automated pattern inspection and defect characterization for imprint-patterned media.  We have developed software that analyzes optical metrology images and identifies defects distinctly from data storage structures or servo patterns.  Complementary metrology techniques are used to confirm the physical structure of defects.

6A-2
Patterned Media

Advantages And Challenges In The Directed Block Copolymer Assembly Approach For Bit Patterned Media, XiaoMin Yang, Yuan Xu, Shuaigang Xiao, Kim Lee and Dieter Weller, Seagate Media Research

We explored the feasibility of using the directed BCP approach for bit patterned media with areal density of 1 Tb/in2 and beyond.

6A-3
Patterned Media

Directing Block Copolymers Assembly within Patterned Media Specifications, Ricardo Ruiz, Elizabeth Dobisz, Dan S. Kercher, Olav Hellwig, Thomas R. Albrecht, Hiroshi Yoshida*, Huiman Kang**, Francois Detcheverry**, Juan J. de Pablo** and Paul F. Nealey**, Hitachi Global Storage Technologies, *Hitachi Ltd., **University of Wiscons

Directed assembly combines the uniformity of block copolymer self assembly with the long range placement accuracy of e-beam lithography as an alternative route to achieving dense patterns within the tight size and placement tolerances required for magnetic bit patterned media applications.

6A-4
Patterned Media

Directed Block Copolymer Assembly to 4 Teradot/in2 Patterned Media: Chemical or Topographic Guiding?, Shuaigang Xiao, Xiaomin Yang, Kim Lee and Dieter Weller, Seagate Technology

By combining BCP in the strong segregation limit with either chemically or topographically patterned surfaces, addressable dot arrays with an areal density of 1.3-3.8 Tdot/in2 can be easily achieved with resolution enhancement of a factor of 4. This approach has immediate application in fabricating patterned media with areal densities of 4 Tdot/in2 or more.

6B-1
High Resolution Electron Beam Lithography

Double Patterning HSQ Processes Of Zone Plates For 10nm Diffraction Limited Performance, Weilun Chao, Jihoon Kim*, Erik Anderson, Peter Fischer, Senajith Rekawa and David Attwood, Lawrence Berkeley National Laboratory, *University of California

6B-2
High Resolution Electron Beam Lithography

Hydrogen Silsesquioxane-Based Hybrid Electron Beam And Optical Lithography For High Density CMOS Prototyping, M. Guillorn, J. Chang, N. Fuller, J. Patel, J. Ott, J. Newbury, M. Darnon, A. Pyzyna, E. Joseph, S. Engellmann, D. Klaus, JU. Bucchignano, e. Kratschmer, W. Graham, B. To, Y. Zhang, R. Viswanathan and W. Haensch, IBM T.J. Watson Research Center

We present a process for performing hybrid lithography that is well suited to high density CMOS device fabrication.  Details on the electron beam and photolithographic processing will be discussed as well as results from CMOS circuits fabricated with a 90 nm minimum contacted gate pitch.

6B-3
High Resolution Electron Beam Lithography

Method For Improvement Of Aspect Ratio Of Ultra High Resolution Structures In Negative Electron Beam Resist., Vadim Sidorkin, Emile van der Drift, Ruud Schmits* and Huub Salemink, Delft University of Technology, *TNO

A method for improvement of aspect ratio of ultra high resolution structures in negative electron beam resist is provided. The key point of the proposed method is the formation of a protecting cap by electron beam induced deposition (EBID) on the top of the resist structure in a self-aligned approach.

6B-4
High Resolution Electron Beam Lithography

Understanding Of Hydrogen Silsesquioxane Resist For Sub-5-Nm Half-Pitch Electron-Beam Lithography, Joel Yang, Bryan Cord, Joseph Klingfus*, Sung-Wook Nam**, Ki-Bum Kim, Michael Rooks# and Karl Berggren, Massachusetts Institute of Technology, *Raith USA, Inc., **Seoul National University, #Yale University

The resolution patternable in HSQ just got higher. Down to 4.5-nm half pitch, are we limited by the resist or the lithography tool?

6B-5
High Resolution Electron Beam Lithography

Understanding The Mechanism Of Base Development Of Hydrogen Silsesquioxane, Jihoon Kim, Weilun Chao*, Xiaogan Liang*, Brian Griedel**, Dawn Hilken* and Deirdre Olynick*, University of California, Berkeley, *Lawrence Berkeley National Laboratory, **Rigel, Inc.

6B-6
High Resolution Electron Beam Lithography

Thin Film Antenna Coupled Conductor-Barrier-Conductor (CBC) Diode Implementation Using E-Beam Proximity Correction for Light Detection, Kwangsik Choi, Filiz Yesilkoy and Martin Peckerar, University of Maryland

Electron-beam lithography with proximity correction is employed to fabricate conductor-barrier-conductor (CBC) tunnel junctions, which are potential devices for near-infrared and visible light detection, out of doped polysilicon. Recursive oxidation and oxide etching processes are also applied so that atomic level junction area control is possible. Non-linearity in the I-V curve proves the success in the tunnel junction’s performance.

6C-1
Photovotaics

(Invited) Photolithographic Patterning for Organic Electronics, John DeFranco, Alex Zakhidov, J.-K. Lee, H.H. Fong, Priscilla Taylor, Christopher Ober and George Malliaras, Cornell University

A critical step for the realization of organic electronics is the availability of patterning techniques compatible with these materials. We report on the photolithographic patterning of organics using photoresists processed with solvents that are orthogonal to organics. We demonstrate the additive and subtractive patterning of several organic semiconductors.

6C-2
Photovotaics

Organic Solar Cells Using Imprinted P3HT Nanostructures: The Effects Of Geometry, Crystallization And Chain Ordering, Mukti Aryal, Min Zhou, Krutarth Trivedi and Wenchuang (Walter) Hu, University of Texas at Dallas

Imprinted P3HT polymeric pillars, pores, and gratings are used to make polymer-fullerene solar cells with vertically interdigitized and bicontinuous heterojunction morphology. Effects of nanostructure geometry and imprint-induced crystallinity on device performance are studied.

6C-3
Photovotaics

Effect of UV Irradiation on Sol-Gel ITO Nanopatterns Replicated by Room-Temperature Nanoimprint, Yuji Kang, Makoto Okada, Ken-ichiro Nakamatsu, Kazuhiro Kanda, Yuichi Haruyama and Shinji Matsui, University of Hyogo and JST-CREST

The spin-coated ITO film can be delineated by RT-NIL, but the patterns disappeared after 600o annealing process. To overcome the above problem, we examined UV irradiation effect onto a spin-coated ITO film. As a result, we found that the ITO patterns imprinted by RT-NIL were kept at annealing of 600o for 1 hour by UV irradiation before annealing.

6C-4
Photovotaics

Solid-State Dye-Sensitized Solar Cell Based on Semiconducting Nanomaterials, Tao-Hua Lee, Dazhi Sun, Hung-Jue Sue and Xing Cheng, Texas A&M University

A solid-state dye-sensitized solar cell based on semiconducting ZnO nanoparticles and SWNTs is presented for solar energy harvesting.

6D-1
Microfluidic

Ionic Current Rectification and Switching in Heterogeneous Oxide Nanofluidic Channels, Li-Jing Cheng and L. Jay Guo, University of Michigan

Sub-20 nm nanofluidic channels consisting of heterogeneous oxide materials of different isoelectric points were fabricated by using a sacrificial layer approach. Due to the abrupt junction formed between the positively and negatively charged surfaces, the fabricated nanofluidic diodes exhibit high rectification of ion current with record-high rectification factors over 300.

6D-2
Microfluidic

Dramatic Nano-Fluidic Properties Of Carbon Nanotube Membranes, Bruce Hinds, University of Kentucky

CNT membranes have recently been fabricated by impregnating aligned CNTs arrays with polymer and plasma processing to open CNT entrances.  Pressure driven fluid flows are 4-5 ORDERS OF MAGNITUDE FASTER than conventional Newtonian flow.  Entrances to CNTs can be chemically gated and efficient electro-osmotic processes are seen for device applications.

6D-3
Microfluidic

Detection of Biomarkers Using Bio-Functionalized Microfluidic Channels, Mehdi Javanmard, Amirali Talasaz, Mohsen Nemat-Gorgani, Fabian Pease and Ronald Davis, Stanford University

We successfully demonstrate detection of anti-hCG antibody, at a concentration of 1ng/ml and a dynamic range of three orders of magnitude, in less than one hour, detection of CEA (Carcinoembryonic Antigen) in a complex mixture at a concentration of 100 pg/ml, and the detection of DNA hybridization using our sensor.

6D-4
Microfluidic

The Fabrication And Characterization Of Electrically Addressable Microfluidic Electrowetting Channels, Seyeoul Kwon, Jungwon Park, Philip Rack, Manjeet Dhindsa*, Jason Heikenfeld*, Anatoli Melechko** and Dale Hensley**, University of Tennessee, *University of Cincinnati, **North Carolina State University

An electrowetting microfluidic platform designed for control and transport of aqueous ions and polar species has been fabricated on passive electrode as well as an active matrix thin film transistor arrays. Electrically addressable vertically aligned carbon nanofibers (VACNFs) were formed as nanoscale functional elements for the platform.

6D-5
Microfluidic

Preparation Of Diamond-Like Channels In SU-8 For Optical Control Of The Filling State, Saskia Möllenbeck, Nicolas Bogdanski, Andre Mayer, Hella-Christin Scheer, Joachim Zajadacz* and Klaus Zimmer*, University of Wuppertal, *Leibniz Institute for Surface Modification

Microfluidic channels with a diamond-like cross section were prepared in thin SU-8 layers on glass. The material provides biocompatibility and good chemical resistance, the novel cross sectional shape offers an easy control of the filling state via optical inspection thanks to total reflection in case of an unfilled channel.

7A-1
Masks and Zone Plates

(Invited) Lithography With Nanometer Precision On Monster Substrates, Mark Schatttenburg, Ralf Heilmann, Chih-Hao Chang, Yong Zhao, Douglas Smith*, Michael McCulloch*, Sean Smith* and Bing Xu*, Massachusetts Institute of Technology, *Plymouth Grating Laboratory

Scanning-beam interference lithography was scaled to pattern substrates nearly a meter in size and well over 100 kg in mass, while achieving <30 nm phase error. Sub-100 nm pitches have also been achieved.  Special tools for cleaning, resist coating, lithography, metrology, and pattern transfer on large substrates are discussed.

7A-2
Masks and Zone Plates

Fabrication Of Hard X-Ray Zone Plates With Very High Aspect-Ratio By X-Ray Lithography, Jie Ma, Changqing Xie, Tianchun Ye, Xiaoli Zhu, Xi Song, Hailiang Li, Xuan Wu, Ming Liu and Baoqin Chen, Chinese Academy of Sciences

With the 'buttress' structure integrated with X-ray lithography, we achieved an electroplated gold zone plate with a diameter of 160 micron, an outermost zone-width of 200 nm and a thickness of 2.8 micron. The theoretical efficiency is well above 20% for photon energies between 10k and 25k eV.

7A-3
Masks and Zone Plates

Stretching And Alignment Of Compliant Nanomembranes By Embedded Nanomagnets, Anthony Nichol, Martin Deterre and George Barbastathis*, Massachusetts Institute of Technology, *Singapore-MIT Alliance for Research and Technology

We present a method to distort, stretch, and align polymer nanomembranes via forces between nanomagnets.   An array of magnets embedded within the membrane is brought into proximity of a matching array patterned on a rigid substrate.  The magnetic attraction stretches and bends the membranes for repeatable, accurate overlay.

7A-4
Masks and Zone Plates

Negative Tone Quantum Lithography at 200-nm Pitch Nanoimprinted Blanks, Wen-Di Li, Xiaogan Liang and Stephen Chou, Princeton University

We propose and demonstrate a novel method for negative-tone quantum lithography with nanoimprinted 200-nm pitch Al/Cr bilayer tile array blanks. Besides improving the flexibility of using quantum lithography in negative-tone patterning applications, this electrochemical etching based method can also be used in “field-configurable” prepatterned blank substrates which is still being investigated.

7A-5
Masks and Zone Plates

X-Ray Transmission Gratings With Enhanced Second Orders And Deflected Odd Orders, Jie Ma, Changqing Xie, Tianchun Ye, Ming Liu, Baoqin Chen and Jiebin Niu, Chinese Academy of Sciences

A novel type of X-ray transmission grating is designed, fabricated and tested. The novel grating can be used with its second orders with a moderate efficiency and doubled dispersion. Its odd orders are deflected away from the original diffraction plane and can be excluded when the detector is narrow enough.

7B-1
Nanobiology

Novel Immunoisolative Microcontainer With Nanoslots Defined By Nano Imprint Lithography, Joonbum Kwon, Krutarth Trivedi, Walter Hu, Jeong-Bong Lee and Barjor Gimi*, University of Texas at Dallas, *University of Texas Southwestern Medical Center

We report a micro-container with nanoporous lid that permits the free transport of ions, nutrients and waste products, but immunoprotects the encapsulated cells by preventing the passage of large immune system molecules. A technique to achieve stricter pore size by creating a membrane with nanoslots using NIL was developed.

7B-2
Nanobiology

Locally-Gated, Suspended Silicon Nanowire FETs for Biomolecular Sensing, David Routenberg and Mark Reed, Yale University

We fabricate individually-gated, suspended silicon nanowire FETs for biomolecular sensing.  Top-gated nanowires are patterned by EBL, RIE and TMAH etching and widths as narrow as 20nm are achieved.  Devices demonstrate favorable FET characteristics including near-bulk mobility, 75mv/decade subthreshold slope and Ion/Ioff >2E6.  Preliminary sensing results will also be discussed.

7B-3
Nanobiology

(Invited) Why Mechanical Forces Matter in Health and Disease: proteins as mechano-chemical switches, Viola Vogel, ETH Zurich

New nanotechnology and computational tools begin to reveal novel mechanisms how the structure/function relation of proteins can be switched if proteins are mechanically stretched and partially unfolded.

7B-4
Nanobiology

High Q-Factor Small Size Polymer Micro-Ring Resonators For High-Frequency Ultrasound Detection, Tao Ling, Sung-Liang Chen and L. Jay Guo, University of Michigan

Polymer microring is a new platform for optical detection of ultrasound. Small ring sizes with smooth sidewalls are necessary to form 2D arrays with high-Q factors. The devices were fabricated by imprinting using a Si mold. A thermal oxidation process was used to smooth the sidewall of the Si mold.

7B-5
Nanobiology

Observing Protein-DNA Interactions Using Double-Tethered DNA Curtains, Teresa Fazio, Jason Gorman, Feng Wang, Eric Greene and Shalom Wind, Columbia University

DNA molecules are bound at each end to nanofabricated patterns for the purpose of observing repair protein diffusion along the DNA.

7B-6
Nanobiology

Fabrication of Nanoneedle Array for High Throughput Biomarker Detection in a Lab-On-a-Chip Device, Hesaam Esfandyarpour, Rahim Esfandyarpour, Fabian Pease and Ronald Davis, Stanford University, *Center for Integrated Systems

We introduced Nanoneedle, a label-free biosensor, which has the potential of measuring single molecule interactions useful for protein biomarker detection and DNA sequencing. We discuss the fabrication process for an array of needles. We also performed finite element modeling of impedance modulation in the nanoneedle during the capture of the target biomolecule.

7C-1
Nanomechanics

Techniques Of Cryogenic Reactive Ion Etching In Silicon For Fabrication Of Sensors, David Henry and Axel Scherer, California Institute of Technology

This work demonstrates the cryogenic etch in an Oxford Systems ICPRIE for fabrication of sensors in silicon.  Specifically, we demonstrate etching 70-90 micron tall high AR solar cells, liftoff of 15 microns of metallization using 1.5 microns of photoresist, and etching of 250 microns using 5 microns thick oxide masks.

7C-2
Nanomechanics

Integrated Strain Sensing on Flexible Waveguides with Bragg Gratings Fabricated by Focus Ion Beam, Tao Liu and Roberto Panepucci, Florida University

We report design and fabrication of Bragg grating strain sensors in flexible waveguides for application to our waveguide microgripper device. This capability will enable the measurement of mechanical properties while simultaneously measuring optical properties and manipulating cells and other micro/nanostructures. Sensitivities in pN range are predicted for these Bragg sensors.

7C-3
Nanomechanics

Nanofabrication of Sharp Diamond Tips by E-beam Lithography and ICP-RIE, Nicolaie Moldovan, Hongjun Zeng, John Carlisle and Ralu Divan*, Advanced Diamond Technologies, Inc., *Argonne National Laboratory

Diamond scanning microscopy probes excel through exceptional hardness, low adhesion force and low wear properties. We explore the fabrication feasibility of sharp diamond tips by direct etching, using ultra-nanocrystalline diamond with a SiO2 precursor cap as a starting material, and optimize the ICP-RIE recipe to obtain sub-50 nm tip radii.

7C-4
Nanomechanics

Electrothermal Actuation Of Silicon Carbide Ring Resonators, Enrico Mastropaolo, Rebecca Cheung, Anne Henry* and Eric Janzén*, University of Edinburgh, *Linkoping University

Silicon carbide flexural-mode ring resonators have been simulated, fabricated and tested for achieving higher resonant frequencies compared to beam structures. The resonators have been electrothermally actuated and resonant frequencies in the MHz range have been measured. Details of the fabrication process and characterization of the ring resonators are presented.

7C-5
Nanomechanics

(Invited) Effective Use of Focused Ion Beam (FIB) and E-beam lithography in Investigating Fundamental Mechanical Properties of Materials at the Nano-Scale. (Invited), Julia Greer, Dongchan Jang, Ju-Young Kim, and Michael Burek

We utilize Focised Ion Beam to manufacture 100-900nm diameter vertical nano-pillars with different initial microstructures to investigate mechanical properties at nano-scale. In-situ mechanical tests were conducted in one-of-a-kind instrument, SEMentor. All samples show significant size effects and unique evolved microstructure. Post-mortem microstructure is investigated by site-specific TEM analysis

7C-6
Nanomechanics

Fabrication of Ultra-Sensitive Silicon Cantilevers with Integrated, Overhanging Nickel Magnet Tips for Magnetic Resonance Force Microscopy, Steven A. Hickman, Jay Van Delden*, Lee E. Harrell*, Sean R. Garner, Jeremy C. Ong, Seppe Kuehn and John A. Marohn, Cornell University, *eigenphase Technologies, **United States Military Academy

Our work is in the batch fabrication of ultra-sensitive silicon cantilever with integrated nanomagnets, for magnetic resonance force microscopy.  Cantilevers with 50-600nm wide nickel magnets, with 4.2K force sensitivity of a few attoNewtons, have been successfully fabricated.

7D-1
Beam Induced Processes

Time Dependent Effects of Electron Beam Induced Etching (EBIE), Matthew G. Lassiter, Jason D. Fowlkes* and Philip D. Rack, University of Tennessee, *Oak Ridge National Laboratory

Electron Beam Induced Etching (EBIE) offers direct write capability by dissociation of an etch precursor gas in an adsorbed state, forming a volatile etch product.  The shape of the etch profile is highly dependent on the beam parameters such as current density, dwell time, and refresh time.

7D-2
Beam Induced Processes

Patterning Unity 4698P with Electron Beam Lithography to Create Submicron Air Cavities, Nicole Devin, Devin Brown and Paul Kohl, Georgia Institute of Technology

Unity 4698P is a decomposable sacrificial polymer normally patterned using photolithography to create micrometer-size air-cavities. In this work, direct electron-beam exposure was used instead to create submicron patterns.  Submicron air cavities can be useful in applications such as microfluidics, waveguides surrounded by air, and a low-k option for electrical interconnects.

7D-3
Beam Induced Processes

Selective Fabrication of Pure Titanium Oxide Nanocrystals via Electron-Beam Induced Deposition in Ultra-High Vacuum, Michael Schirmer, Thomas Lukasczyk, Marie-Madeleine Walz, Florian Vollnhals, Chen Chen, Hans-Peter Steinrück and Hubertus Marbach, Universitat Erlangen-Nurnberg

We present the generation of pure titanium oxide nanocrystals via EBID on Si(111) and Si(100) samples in UHV. Different post treatments are found to enhance the purity and to engineer the deposit morphology. We will present SEM-movies of the TiOx nanocrystal formation acquired in situ and local Auger spectra.

7D-4
Beam Induced Processes

Electron-Beam Induced Deposition using Liquid-Phase Precursors, E.U. Donev and J. Todd. Hastings, University of Kentucky

We report direct electron-beam induced deposition of ~50-nm structures from a chloroplatinic acid solution.  The solution is separated from the e-beam lithography vacuum environment by a polyimide membrane and deposition occurs at the membrane solution interface.  Dots, lines, and arbitrary patterns were created using a 20keV electron beam.

7D-5
Beam Induced Processes

Lithographic Fabrication Of Clean Iron Nanostructures By Electron-Beam Induced Deposition In Ultra-High Vacuum, Thomas Lukasczyk, Michael Schirmer, Marie-Madeleine Walz, Hans-Peter Steinrück and Hubertus Marbach, Universitat Erlangen-Nurnberg

We report the lithographic fabrication of sub-10 nm clean iron EBID structures with an SEM  in a UHV environment (precursor: Fe(CO)5, substrate: Si(001). It will be shown that UHV is not only mandatory to reduce carbonaceous deposits from residual gases, but also to guarantee a well defined surface condition.

7D-6
Beam Induced Processes

Ultra-Dense Gold Nanostructures Fabricated Using Hydrogen Silsesquioxane (HSQ) Resist And Applications For Surface-Enhanced Raman Spectroscopy (SERS), Sookyung Choi, Keng Hsu, Minjun Yan, Anil Kumar, Nicholas Fang and Ilesanmi Adesida, University of Illinois at Urbana-Champaign

We report a process using hydrogen silsesquioxane (HSQ) to fabricate ultra-dense gold (Au) nanostructures. 50-nm-period Au gratings acted as an effective substrate for surface-enhanced Raman spectroscopy (SERS). In this paper, detailed processing steps and the paths to better SERS-active substrates will be presented and discussed.

8A-1
Tip Based Processing

Invited) The Tip-Based Nanofabrication Program, Thomas Kenny, Defense Advanced Research project Agency

The primary goal of the Tip-Based Nanofabrication (TBN) program is to develop the capability to controllably manufacture nanostructures, specifically nanowires, nanotubes, and quantum dots, with nanometer-scale control over the size, orientation, and position of each individual nanostructure.

8A-2
Tip Based Processing

Nanometer-Scale Direct-Write 3D-Patterning using Probes, Armin Knoll, David Pires, Ute Drechsler, James Hedrick*, Bernd Gotsmann, Michel Despont and Urs Duerig,  IBM Zurich Research Laboratory, *IBM Almaden Research

We found that organic-molecular-glasses can be removed with nanometer-scale precision using heated probes. With this new technology one can fabricate complex three-dimensionally textured substrates, e.g. for the directed assembly of shape-matching objects. The technique also offers a competitive alternative in terms of resolution and speed to high-resolution E-beam lithography.

8A-3
Tip Based Processing

Frontiers of Tip-Based Nanofabrication: From DPN and Beyond, Adam Braunschweig, Louise Giam, Chad Mirkin, Chang Liu and Joe Fragala*, Northwestern University, *NanoInk, Inc.

We are now developing new tip-based nanofabrication technologies, specifically polymer pen lithography (PPL), redox-activating dip-pen nanolithography(RA-DPN), and scanning probe epitaxy (SPE) based on our initial efforts with DPN. This presentation will report progress and challenges in developing these new TBN methods.

8A-4
Tip Based Processing

Atomic Precision Lithography on Si, John Randall, Joseph Lyding*, Scott Schmucker*, James Von Ehr, Joshua Ballard and Rahul Saini, Zyvex Labs, *University of Illinois Urbana-Champaign

Scanning tunneling microscopes (STMs) may be used to remove H from Si (100) 2x1 surfaces with atomic precision.  The paper will present progress towards an automated, atomically-precise H depassivation lithography. Details on the STM instrument, tip, controlling software, demonstrations of lithography, and applications will be described.

8A-5
Tip Based Processing

Nanoscale Deposition and Etching of Silicon Quantum Dots Using Field-Assisted AFM-Based CVD, Liangguo Shen*, Mohammad Reza Daj and Massood Tabib-Azar, University of Utah, *CWRU

Electric-field assisted decomposition of gas molecules near a conducting AFM tip is used to directly deposit (from SiCl4 with +50MV/cm), etch (using SF6 with -10MV/cm) and pattern (speed: 0.01 cm/s) nanometer-scale silicon structures on  silicon at room temperature and one atmosphere. The byproducts are in gas phase making this technique clean.

8B-1
Plasmonics

Nanofabrication Of Deterministic Aperiodic Structures For Radiative Engineering In Nanoplasmonics, Ashwin Gopinath, Selcuk Yerci, Rui Li, Svetlana Boriskina and Luca Dal Negro, Boston University

8B-2
Plasmonics

(Invited) Designing Hierarchical and Quasi-3D Plasmonic Lattices, Teri Odom, Northwestern University

This talk will describe how a multiscale patterning approach—-soft interference lithography combined with PEEL-—can be used to create a wide range of hierarchically structured, plasmonic materials.

8B-3
Plasmonics

High-density Sub-20 nm Plasmonic Nanostructures Fabricated by Nanoimprint Lithography using a Block Copolymer Template, Hui Joon Park, Myung-Gyu Kang and L. Jay Guo, University of Michigan

High-density sub-20nm plasmonic nanostructures were fabricated by nanoimprint lithography. The nanoimprint mold was made by using a self-assembled block copolymer template. The strong enhancement of light absorption by surface plasmon resonance was observed, and this effect is being exploited in devices such as photovoltaic cells.

8B-4
Plasmonics

Surface Plasmons Coupled To Excitons For Photoluminescence Enhancement In Printed 2D Polymer Photonic Structures, Vincent Reboud1, Nikolaos Kehagias6, Marinella Striccoli3, T. Placido3, A. Panniello3, M. L. Curri3, M. Zelsmann2, J. A. Alducin3, D. Mecerreyes3, S. Newcomb5, D. Iacopino4, H. Doyle4, G. Redmond4 and Clivia M. Sotomayor Torres1, 1Catalan Institute of Nanotechnology, 2LTM-CNRS, 3Universita di Bari, 4Centre for Electrochemical Technologies, 5University College Cork, 6Sonsam Ltd

We report on a method to enhance the light-emission efficiency of printable thin films of polymer doped with semiconducting nanocrystals and with dye chromophores via metallic nanoparticules and via nanoimprinted photonic crystals. The nanocomposite materials showed very good nanoimprint properties and impressive enhancements in the emission intensity of incorporated emitters.

8B-5
Plasmonics

Infrared Dipole Antenna Enhanced by Surface Phonon Polaritons, Hyun Chul Kim and Xing Cheng, Texas A&M University

Due to the synergistic action of the dipole antenna and the resonant excitation of surface phonon polaritons, field enhancement in the gap region of gold dipole antennas can reach a value that is more than four orders of magnitude higher than that of free standing dipole antenna.

8C-1
Molecular and Nanoelectronic

Fabrication of poly(3 -hexylthiophene) (P3HT) in-plane gate transistors by low temperature thermal nanoimprint lithography, Jeff Kettle, Stephen Whitelegg, Yanming Sun, Yi Luo, Aimin Song, Marie Madec and Michael Turner, University of Manchester

In this paper, it is shown that in-plane gate transistors can be fabricated into poly(3 -hexylthiophene) (P3HT). We have developed a new approach to pattern organic semiconductors at the nanoscale. We demonstrate devices with excellent ambient air stability; a decrease in performance of only 40% is obsereved over a 15 day period.

8C-2
Molecular and Nanoelectronic

Reconfigurable Logic Circuits in a Memristor-Transistor Hybrid Chip, Qiangfei Xia, Warren Robinett, J. Joshua Yang, Wei Wu, Xuema Li, Will Tong, Gio Ribeiro, Greg Snider, Phil Kuekes and R. Stanley Williams, HP Labs

A memristor-transistor hybrid circuit is demonstrated. The memristors, vertically integrated with the CMOS layer, serve as the data routing network, connecting the transistors on the CMOS layer to form logic circuits.  Functional logic gates are demonstrated in the hybrid circuits.

8C-3
Molecular and Nanoelectronic

Fabrication of Nanoscale ZnO Fets Using The Functional Material Zinc Neodecanoate Directly As A Negative E-Beam Lithography Resist, Geraint Jones, Gang Xiong and David Anderson, University of Cambridge

When thin films of metal-organic compounds such as Zinc Neodecanoate are irradiated with a high energy e-beam they behave as high-resolution negative resists.  Further, when heat treated at 500C in air the films reduce to the widebandgap semiconductor ZnO.        By combining these two processes, nanoscale n-channel, depletion-mode FETs have been successfully fabricated directly from the metal-organic precursor.

8C-4
Molecular and Nanoelectronic

(MNC 2008 Best Paper) Wiring Single Metal-Phthalocyanine Molecules With Conjugeted Polymers, Yuji Okawa, Tsuyoshi Hasegawa and Masakazu Aono , National Institute for Materials Science

We report on the nanostructures of metal-phthalocyanine molecules on a molecular layer of diacetylene compound. We demonstrate that we can fabricate two polydiacetylene nanowires toward a single phthalocyanine molecule through nanoscale controlled chain polymerization.

8C-5
Molecular and Nanoelectronic

Transfer Printing Approach for Fabricating Molecular Electronic Junctions, Mariona Coll, Christina A. Hacker, Daniel R. Hines* and Curt A. Richter, National Institute of Standards and Technology, *University of Maryland

We have adapted nanotransfer printing to fabricate ultrasmooth gold (uS-Au) films for use as top metal electrodes in molecular electronic devices. We have fabricated molecular junctions by first forming self-assembled monolayers of bifunctional molecules either on uS-Au or Si substrates. By application of moderate pressure, dense monolayers are covalently bonded to both electrodes producing robust and reproducible uS-Au/molecule/Si junctions.

8D-1
Focused Ion Beam Tool Development

Optimization of Focused Ion Beam Performance., Cornelis Wouter Hagen and Pieter Kruit, Delft University

The limitations to the probe current of two-lens focused ion beam systems are considered. For a Ga-LMIS-based system the current is brightness limited for sub-10 nm probe sizes, whereas for a He-GFIS-based system (Orion microscope) the current is limited by the angular current density, probe sizes larger than 0.3 nm.

8D-2
Focused Ion Beam Tool Development

Shot Noise In Light Ion And Neutral Particle Lithography, Ananya Roy, Leonidas Ocola and J.C. Wolfe, University of Houston

This paper reports an integrated study of shot noise in helium lithographies that compares variations in the printed images of the same mask feature with the predictions of a Monte-Carlo model. Both the amplitude and spatial frequency of line edge roughness are in good agreement for 75 and 25 nm features.

8D-3
Focused Ion Beam Tool Development

A Point Source Of Energetic Helium Atoms For Proximity Lithography, Hong-Jie Guo, Ananya Roy, Leonidas Ocola* and J.C. Wolfe, University of Houston, *Argonne National Laboratory

This paper describes the performance of a bright, compact point source of energetic neutral particles where a beam of helium ions is focused into a high pressure cell and neutralized by charge transfer scattering.  The dependence of virtual source size, brightness, and field size on the design parameters will be discussed.

8D-4
Focused Ion Beam Tool Development

A System For Massive, Rapid Material Removal For Device Analysis In Monolithic 3D Integrated Circuits, Sean Kellogg, Armand Graupera, Robert Hoelle, Tom Miller, Shouyin Zhang, Dustin Laur and Antonius Dirriwachter, FEI Company

A FIB milling system is used to perform cubic-mm-scale material removal in order to access monolithic 3D IC components.  With the use of a Xe ICP source, epoxy packaging and bulk silicon were removed at rates which outperform LMIS FIB milling by three and two orders of magnitude, respectively.

8D-5
Focused Ion Beam Tool Development

Implementation and Characterization of an Iodine Field Emission Ion Source for FIB Applications, Timothy Fedkiw and Paulo Lozano, Massachusetts Institute of Technology

We present results on the properties of a field emission ion source using the ionic liquids BMI-I and EMI-I.  Emphasis is placed on these liquids due to the creation of I- ion beams.  The characteristics are used to predict performance in a FIB column.

09A-1
Maskless Lithography (Optical)

Large-area Silicon Masters for the Fabrication of Nanostructured Bioactive Surfaces utilizing Laser Interference Nanolithography and Dry Etching., Maciej Domanski, Edwin Lamers*, Regina Luttge, Henk van Wolferen, Louis Winnubst, Frank Walboomers*, John Jansen* and Han Gardeniers, University of Twente, *Radboud University

Utilizing nanotechnology towards biological applications we created arrays of nanoscale features on large area of silicon for biological evaluation. Fabrication methods used in the study are: Laser Interference Lithography and Reactive Ion Etching . The aim is to obtain information about influence of regular nanotopography on living cell behavior.

9A-2
Maskless Lithography (Optical)

Laser Print Patterning of Planar Spiral Inductors, Nathan Klejwa, Raj Misra, J Provine, Steven Klejwa and Roger Howe, S.J. Klejwa*, Stanford University, *Magnetic Abrasive Technologies Inc.

We fabricated square planar spiral inductors 12x12mm with inductances as high as 180nH on a quartz substrate using direct laser printed thin-film polymer as an aluminum etch mask.        This technique is a low-cost, low temperature, maskless, resist-free, means of patterning metal layers with features as small as 200 microns.

9A-3
Maskless Lithography (Optical)

Large-Area Manhattan Patterns via Cutting of Gratings, Lin Zhao and Minghao Qi, Purdue University

We report the generation of large-area Manhattan structures with highly smooth side walls, via cutting gratings exposed by interference lithography and formed with orientation dependent etch of Si. The achieved pattern could be used as a mold/template for nanoimprint lithography.

9A-4
Maskless Lithography (Optical)

Low-Cost Interference Lithography, Corey P. Fucetola, Hasan Korre and Karl Berggren, Massachusetts Institute of Technology

Interference lithography (IL) is well-suited to producing periodic patterns; existing IL systems require substantial investment and must be custom-built.  We report demonstration of an IL system capable of ~ 300-nm-pitch patterning, but which is accessible to researchers and educators at a low cost (~ 400 USD) using readily available components.

9A-5
Maskless Lithography (Optical)

Nanoscale Laser Processing Using Near-Field Optics Combined With Electron Microscopy, David J. Hwang, Bin Xiang, Sangil Ryu, Rajesh Chopdekar, Oscar D. Dubon, Andrew M. Minor and Costas P, Grigoropoulos, University of California

Paper for the TBN special session. A nanomanufacturing methodology is presented coupling near-field scanning optical microscopy (NSOM) tips with laser radiation. In-situ nanoscale imaging is accomplished by combining the tips to scanning electron and transmission electron microscopes. The nanofabrication tools are utilized for nanomachining and directed growth of nanostructures via laser chemical vapor deposition.

9B-1
Emerging Technologies

Fabrication of Flexible Ultracapacitor/Galvanic Cell Hybrids Using Advanced Nanoparticle Coating Technology, Martin Peckerar, Zeynep Dilli, Mahsa Dornajafi, Neil Goldsman, Brent Boerger*, Neil Van Wyck*, and James Gravelin*, University of Maryland, *Applied Research Associates

Ruthenium oxide has demonstrated superior performance in terms of energy storage density (over a Watt-hour per kilogram) when compared to other material systems. But the cost of the oxide has been a major impediment to the widespread use of this technology. In this paper, we report on a the fabrication of ruthenium oxide based ultra-capacitor made with a coating system capable of providing continuous, densely packed layers of the nano-particles a single nano-particle thick.

9B-2
Emerging Technologies

(Invited) The Convergence Of Science And Engineering: Energy Conversion At Nano Scale, Fritz Prinz, Stanford Universitsy

Our ability to effectively draw power from ultra thin membrane structures inspired us to explore interrupting the natural electron transport chain in thylakoid membranes, the key ingredient of every chloroplast organelle in light sensitive plant cells. Exposing thylakoid membrane stacks to nano-scale electrodes and stimulating them with light pulses resulted in measurable polarization currents.

9B-3
Emerging Technologies

Highly Robust Single-Wall Carbon Nanotube-Molecule Junction Device Fabrication Process, Yuyao Shan, Seokwoo Jeon, Colin Nuckolls, Shalom Wind and James Hone, Columbia University

A self-aligned nanopatterning technique is used to create openings in carbon nanotubes into which a single organic molecule can be inserted, thus forming a nanotube-molecule junction device.

9B-4
Emerging Technologies

Characterization, Simulation, and Fabrication of a CNT Based Micro Mass Spectrometer, Zachary Russell, Phillip Russell, S. Natarajan*, Jeff Glass*, Charles Parker*,  Kristin Gilchrist** , Jeff Piascik** and and Brian Stoner**, Appalachian State University, *Duke University, **RTI International

This work utilizes Carbon Nanotubes (CNT's) and Microelectomechanical Systems (MEMS) fabrication technology, in conjunction with extensive charged particle simulation techniques, to miniaturize the physical dimensions of a manufacturable mass spectrometer for use as an easily portable, on-site, real-time chemical analysis tool.

9C-1
Nano-optic Structures and Devices

Nanofabrication and Optical Characterization of Optical Transformer with 2-D Tapered Tip, Hyuck Choo, Stefano Cabrini, Matteo Staffaroni*, Peter Jim Schuck, Tae Joon Seok*, Baoquan Ding, Allan Chang, Xiao Fan Meng*, Alex Weber-Bagioni, Jeffrey Bokor, Ming Wu* and Eli Yablonovitch*, Lawrence Berkeley National Lab, *University of California Berk

Using electron-beam induced deposition and focused ion-beam milling, we have fabricated an advanced plasmonic optical transformer with a 2-dimensionally tapered tip.  The fabricated transformer is composed of Au/SiO2/Au layers, and in our initial optical characterization (TPPL measurements), it showed a factor-of-14 improvement in electric field enhancement over gold surface.

9C-2
Nano-optic Structures and Devices

Fabrication of Antenna-Coupled Metal-Oxide-Metal Diode Thermal Infrared Detectors Using In-Situ Oxidation, Badri Tiwari, Gergo Szakmany, Jeffrey Bean, Gary Bernstein, Patrick Fay and Wolfgang Porod, University of Notre Dame

We report the fabrication of antenna-coupled metal-oxide-metal diodes (ACMOMDs) that detect long-wavelength infrared (LWIR) radiation for thermal imaging applications. The dipole antenna detects LWIR radiation and resultant antenna currents are rectified by the diode.  ACMOMDs operate at room temperature without cooling or biasing, have a small footprint, and offer CMOS compatible fabrication.

9C-3
Nano-optic Structures and Devices

Fabrication Of Large Area Negative Index Structures By Nanoimprint Lithography, Iris Bergmair, Michael Mühlberger, Rainer Schöftner, Michael Bergmair*, Babak Dastmalchi*, Kurt Hingerl*, Ekaterina Pshenay-Severin**, Thomas Pertsch**, Holger Schmidt**  and Ernst B. Kley**, Profactor GmbH, *Christian Doppler Lab of Surface Surface Optics and Center for Surface, **Friedrich-Schiller-University

Negative index materials are artificially structured materials, where the designed structures provide resonances for the electric and magnetic field such that negative refraction occurs. Usually these materials are fabricated by electron beam lithography on small area.  In this work we show large area fabrication of negative index structures by Nanoimprint Lithography (NIL).

9C-4
Nano-optic Structures and Devices

Large Area Negative Refractive Index Structures at Optical Frequencies Using Nanoimprint Lithography, Alex Kaplan, Myung-Gyu Kang, L. Jay Guo, Ting Xu* and Xiangang Luo*, University of Michigan, *Chinese Academy of Science

Negative refraction index materials have been heavily pursued due to exciting applications such as the “super-lens”, waveguides, and many other optoelectronic devices.  Utilizing nanoimprint lithography, we have fabricated periodic vertically oriented split-ring resonator structures to achieve negative index behavior at optical frequencies.

9C-5
Nano-optic Structures and Devices

Lithographic Scaling In Silicon Photonics: Is Smaller Better?, Michael Hochberg and Tom Baehr-Jones, University of Washington

Silicon has emerged as a powerful platform for integrated optics.  Convenient wavelengths for silicon photonics are in the near-infrared, so the feature sizes of devices have typically been in the hundreds of nanometers.  There is, however, enormous promise in creating truly nanostructured waveguides for applications in ultrafast nonlinear optics.

10A-1
Metrology

3D Nanoparticle Trajectories by Orthogonal Tracking Microscopy, Matthew McMahon, Andrew Berglund, Peter Carmichael, Jabez McClelland and J. Alexander Liddle, National Institute for Standards and Technology

Using orthogonal tracking microscopy, we track 190 nm polystyrene beads in 3D and demonstrate localization precision < 20 nm at an imaging rate of 333 frames per second.

10A-2
Metrology

Imaging Interferometric Nanoscopy to the Limits of Available Frequency Space, Yuliya Kuznetsova, Alexander Neumann  and Steven Brueck, University of New Mexico

Imaging interferometric microscopy resolution to lambda/2(nsub+1) (nsub = substrate refractive index) is demonstrated using evanescent-wave illumination. Resolution to 150 nm (lambda/4.2) is achieved using a 633 nm source and a 0.4 NA lens. Extension to 50 nm resolution with a 633 nm source (lambda/12.7) is proposed.

10A-3
Metrology

Dynamic Scatterometry For Profile Control During Resist Trimming Process, Mohamed El Kodadi, Maxime Besacier, Sebastien Soulan and Patrick Schiavone*, CNRS, *Georgia Institute of Technology

In this article, first we show that the dynamic scatterometry can be used for real time process monitoring during resist trimming process for different process parameters such as chemistries and power bias, then we discuss the influence of these different parameters on the measurement.

10A-4
Metrology

Sub-Wavelength Diffraction Metrology For Inline And Critical Dimension Monitoring Of The Nanoimprint Lithography Process, Timothy Kehoe, Vincent Reboud, Clivia Sotomayor Torres and Brendan McCarthy*, Catalan institute of Nanotechnology, *Tyndall National Institute

Subwavelength diffraction metrology is investigated as a method for monitoring the nanoimprint lithography process.  The suitability of this method for inline integration is demonstrated by using collinear delivery of incident light and collection of diffraction patterns through microscope optics.  Its resolution limit for linewidth changes is shown to be +/-5nm.

10A-5
Metrology

A Toroidal Spectrometer For Signal Detection In Scanning Ion/Electron Microscopes, Anjam Khursheed and Hung Hoang, National Univesity of Singapore

This paper presents a toroidal spectrometer detection system for scanning ion/electron microscopes. It provides a way to combine energy spectral information with normal topographical imaging from scattered ions/electrons. This information can be used to map things like work function, material type and surface layer thickness on the nano-scale.

10B-1
NIL Issues

Nanotrench Filling Via Planarization by Laser Assisted Direct Imprint (PLADI) and Air Cushion Press (ACP), Chong Huang, Hua Tan, Lingshu Kong, Zengli Fu, Xiaoquan Luo and Stephen Chou*, Nanoex Corp., *Princeton University

We report a new approach to fill nanoscale holes and vias that combines Planarization by Laser Assisted Direct Imprint (PLADI) and Air Cushion Press (ACP). This technology is a low cost and fast method that can be applied to semiconductors or metals for wafer scale nanotrenches and nanoholes filling.

10B-2
NIL Issues

Planarization of High-Aspect Ratio Nanostructures by Infiltration and Pressing of Organic and Inorganic Curable Materials, Allan Chang, Christophe Peroz*, Xiaogan Liang, Scott Dhuey, Bruce Harteneck, Deirdre Olynick and Stefano Cabrini, Lawrence Berkeley National Laboratory, *aBeam Technologies

We demonstrate that by utilizing organic and inorganic nanoimprintable resist materials, large-area high-aspect ratio photonic nanostructures can be completely filled out and planarized in a rapid step with very low residual layer, thereby removing the need for the usual critical step of etchback. Different aspect-ratio structures and device fabrication examples will be discussed.

10B-3
NIL Issues

Recovery Prevention Via Pressure Control in T-NIL, Hella-Christin Scheer, Nicolas Bogdanski, Saskia Möllenbeck and Andre Mayer , University of Wuppertal

Recovery is a phenomenon observed when larger structures are imprinted in thin polymeric layers in a thermal process at low temperature. Adequate control of the imprint pressure can serve as a means to reduce or avoid this effect.

10B-4
NIL Issues

A Novel Dynamic Nano Inscribing Technique For Creating Continuous And Seamless Metal And Polymer Nano Gratings, Se Hyun Ahn and L. Jay Guo, University of Michigan

We introduce a novel nanofabrication technique, Dynamic Nano Inscribing (DNI) for directly creating true continuous nano grating patterns by using sharp edge of tilted Si mold on a variety of metal or polymer materials, with linewidth down to 70 nm, at extremely high speed (~100 mm/sec) at ambient environment.

10B-5
NIL Issues

Self-Aligned Fabrication of 10 nm-Wide Asymmetric Trenches in Si for Heterojunction Tunneling FETs Using Nanoimprint Lithography, Shadow Evaporation, and Etching, Chao Wang and Stephen Chou, Princeton Univesity

An asymmetric trench precisely aligned to the gate is required for potential heterojunction tunneling FET, however, the stringent alignment requirement is beyond the capabilities of conventional lithography tools. We here propose and demonstrate a self-aligned method to fabricate sub-10 nm wide asymmetric trenches to only one side of the gate.

10C-1
Electron Beam Lithography

The Interplay Between Kinetics And Thermodynamics During Development Of Calixarene, A Negative Resist, Deirdre Olynick, Weilun Chao, Mark Lewis, Timothy Jen and Stefano Cabrini, Lawrence Berkeley National Laboratory

10C-2
Electron Beam Lithography

Limiting Factors in Sub-10-nm Scanning Electron Beam Lithography, Bryan Cord, Joel Yang, David Joy*, Joe Klingfus** and Karl Berggren, Massachusetts Institute of Technology, *Oak Ridge National Laboratory, **Raith USA

Achieving the highest possible resolution using SEBL has become an increasingly urgent problem in recent years, as advances in nanotechnology have driven demand for feature sizes well into the sub-10-nm domain.  In this work, we have investigated the various limiting factors of SEBL and used the results to understand the fundamental limits of the technology.

10C-3
Electron Beam Lithography

Alpha Parameter, Resolution, Line Width Roughness and its Focus Dependencies in E-Beam Lithography, Katja Keil, Marc Hauptmann, Johannes Kretz*, Christophe Constancias**, Laurent Pain** and Johann W. Bartha***,Fraunhofer CNT, *Qimonda, **CEA LETI, *** Technical University Dresden

We present the interaction of alpha (parameter of the PSF), resolution and line width roughness against the focus, compared for variable shaped and Gaussian e-beam writers at different acceleration voltages. For the distinction between tool and process influences, alpha in dependency on the focus was determined with a new measurement method.

10C-4
Electron Beam Lithography

Noise Reduction Process for Atomic Image Projection Electron-Beam Lithography (AIPEL), Hyun-Mi Kim, Min-Hyun Lee, Kipil Lim, Chulmin Park and Ki-Bum Kim, Seoul National University

As we are using the crystalline lattice image observed by HRTEM to make patterns, the quality of image is a key factor. We introduce the noise reduction objective aperture (NR aperture) at the back-focal plane of objective lens to reduce the noise signal in the mask.

EIPBN Abstracts