EIPBN

EIPBN 2010 Authors Index


A | B | C | D | E | F | G | H | I | J | K | L | M | N | O | P | Q | R | S | T | U | V | W | X | Y | Z
Name Abstract

A

Abramson, Justin (Invited) Biomolecular-Scale Engineering
Lithographically Driven Nanoscale Assembly of DNA Nanostructures
Adato, Ronen Zeptomol Level Vibrational Spectroscopy of Proteins in Lithographically Engineered Plasmonic Nano-antenna Arrays
Adesida, Ilesanmi Surface Roughness and Resist Thickness Issues in Patterning of Ultra-Dense Lines in Hydrogen Silsesquioxane
Adeyenuwo, A.P. Simulator for Electron Beam Lithography (EBL) of Nanostructures
Agarwal, Ritesh In situ TEM Investigation of Electrically-Driven Phase Change Behavior in Ge2Sb2Te5 Nanowire Memory Devices
Agraffeil, Claire Diblock Copolymers Technology for Silicon Nanowires/Nanodots Elaboration
Ahn, Jinho Fabrication of Nanosphere Patterns by Using Micro-contact Transfer Printing
Ahn, Se Hyun Continuous Formation of Nano-Scale Periodic Patterns by Localized Dynamic Wrinkling
Aitchison, J. Stewart A Tunable Optofluidic Nano-Bragg Microcavity Filter
Ajmera, Pratul Incorporation of Micro and Nano Scale Porosity on a Silicon Surface
Akasaka, Satoshi Density Multiplication by Directed Self-Assembly of Block Copolymer Binary Blends
Aksoy, Funda Ambient Pressure Photoelectron Spectromicroscopy at Advanced Light Source
Aktary, M. Simulator for Electron Beam Lithography (EBL) of Nanostructures
Alam, Kawsar Monte Carlo Modeling of Electron Backscattering from Carbon Nanotube Forests
Alessi, David Table-top Microscope for the Actinic Aerial Imaging Inspection of Extreme Ultraviolet Lithography Masks
Alexandar, Morgan Surface Stiffness Modification by e-beam Irradiation for Stem Cell Growth Control
Alexander-Katz, Alfredo Templated Self-Assembly of Block Copolymers for Linear and Square Arrays
Alkaisi, Maan Microfluidics-assisted Photo Nanoimprint Lithography for the Formation of Cellular Bioimprints
Alkemade, Paul F.A. Fine and Dense Nanofabrication with Helium Ion Beam Induced Deposition
Alkemade, Paul Platinum Nano-Pillar Growth by Helium Ion Beam Induced Deposition
Alsina, Francesc Optimized Reactive Ion Etching for Fabrication of PhoXonic Crystals
Alti, K. Simulator for Electron Beam Lithography (EBL) of Nanostructures
Altug, Hatice Zeptomol Level Vibrational Spectroscopy of Proteins in Lithographically Engineered Plasmonic Nano-antenna Arrays
Lift-off Free Nanofabrication of Suspended Plasmonic Nanohole Arrays To Overcome Mass Transport Limitations in Bio-Sensors
Alvaro, Virginie Maffini Very High Aspect Ratio Fabrication Process for Stacked Nanowire Transistors with Gate-All-Around (GAA) or Double-Gate (ΦFET)
Amin-Shahidi, Darya (Invited) Positioning and Measuring at the Nanometric Level Over Macroscopic Distances
Amirsadeghi, Alborz An Experimental Approach to Measurement and Reduction of Demolding Force in UV-Nanoimprint Lithography
Amos, Nissim Terabit-Per-Square-Inch Magnetic Bit Patterned Media With a 26-nm Pitch and a 9-nm Square Bit
Amsden, Jason Zeptomol Level Vibrational Spectroscopy of Proteins in Lithographically Engineered Plasmonic Nano-antenna Arrays
Anazawa, Toshihisa Novel Ozone-based Contamination Cleaning for EUV Optics
Observation and Modeling of Asymmetric Carbon Contamination Growth on SFET-Exposed Mask
Anderson, Eric Table-top Microscope for the Actinic Aerial Imaging Inspection of Extreme Ultraviolet Lithography Masks
Anderson, Erik H. Extreme Ultraviolet Mask Substrate Surface Roughness Effects on Lithographic Patterning
Anderson, Richard Fabrication of Large Arrays of Ordered 3D Nanocups for Plasmonic Applications
Andrew, Trihsha Sub-Wavelength Optical Patterning via Optical-Saturable Transformations
Andrew, Trisha Deep Subwavelength Patterning via Absorbance Modulation
Aratani, Masao Fabrication of Seamless Three-Dimensional Roll Mold using Electron Beam Direct Writing to Rotating Cylindrical Substrate
Arisawa, Yukiyasu Observation and Modeling of Asymmetric Carbon Contamination Growth on SFET-Exposed Mask
Artar, Alp Lift-off Free Nanofabrication of Suspended Plasmonic Nanohole Arrays To Overcome Mass Transport Limitations in Bio-Sensors
Aryal, Mukti Hole Mobility Enhancement by Chain Alignment in Imprinted P3HT Nanogratings for Organic Solar Cells
Nanostructured P3HT/C60 Solar Cells using Oblique Angle Thermal Deposition of C60 into Nanoimprinted P3HT Gratings
Asbeck, P. (Invited) Self-aligned Epitaxial Graphene MOSFETs with a Record Field-Effect Mobility of 6000 cm2/Vs on 50 mm Wafers
Atmar, Robert Patterning of Antibody-Coated Surfaces using Energetic Helium Ions
Atobe, Hidemasa Residual Layer Uniformity using Complementary Patterns to Compensate Pattern Density Variation in UV Nanoimprint Lithography
Attwood, David Table-top Microscope for the Actinic Aerial Imaging Inspection of Extreme Ultraviolet Lithography Masks
Auciello, Orlando Fabrication of Nanoscale BiFeO3 Thin Film-Based Capacitors using Combined Electron-Beam and Focused Ion-Beam Lithography
Nanowire-Arrays via Block Copolymer Lithography

B

Babin, Sergey Simulation of SEM Images Taking into Account Local and Global Electromagnetic Fields
Improvement of Accuracy of SEM Based Dimensional Metrology
Fabrication of High Resolution Optical Spectrometer-on-Chip
Bae, Misuk Fabrication of PEG Hydrogel Particles for Pharmaceutics using Electron Beam and Optical Lithography
Bahm, Alan Accurate Calculation of the Field Factor for the Schottky Cathode
Bang, Min-Hyuk Fabrication of Nanosphere Patterns by Using Micro-contact Transfer Printing
Bangsaruntip, Sarunya Multiple Double XTEM Sample Preparation of Site Specific Sub-10 nm Si Nanowires
Barbastathis, George Fabrication of Antireflection Structures for Binary Diffraction Gratings
Assembling Nanoparticle Catalysts with Nanospheres for Periodic Growth of Carbon Nanotube Arrays
Barnola, Sebastien Very High Aspect Ratio Fabrication Process for Stacked Nanowire Transistors with Gate-All-Around (GAA) or Double-Gate (ΦFET)
Baron, Thierry Diblock Copolymers Technology for Silicon Nanowires/Nanodots Elaboration
Basilio, Lorena Experimental Demonstration of Resonant Coupling to a Dipole Absorber Inside a Metamaterial: Hybridization of the Negative Index Response
Bates, Christopher Pitch Division by Selective Acid Quenching
Battistella, Lorenzo Simulated Secondary-Electron Trajectories in Helium-Ion-Beam Lithography
Bauer, Günther UV Nanoimprint Lithography and Mold Replication for the Site-Controlled Self-Assembly of Si/Ge Islands
Bauerdick, Sven 3-D Microfluidics Devices using Ion Beam Lithography
Bay, Konstantin Improvement of Accuracy of SEM Based Dimensional Metrology
Bedzyk, Michael J. Fabrication of Nanoscale BiFeO3 Thin Film-Based Capacitors using Combined Electron-Beam and Focused Ion-Beam Lithography
Belova, Lyubov Electron Beam Induced Deposition of Gold using the Au(CO)Cl Precursor
Bentley, Steven A Low Damage Fully Self-Aligned Gate-Last Process for Fabricating sub-100 nm Gate Length Enhancement Mode GaAs MOSFETs
Copper–Plated 50 nm T–Gate Fabrication
Berggren, K. Electrochemical Development of Hydrogen Silsesquioxane
Berggren, Karl K. Templated Self-Assembly of Block Copolymers for Linear and Square Arrays
Controlled Surface Nanostructures for Performance-Analysis on Solid Support Fuel Cells
Berggren, Karl Sub-5 keV Scanning-Electron-Beam Lithography
(Invited) Electron-Beam Templating of Capillary-Force-Induced Nanocollapse
Sub-10-nm Half-Pitch Electron-Beam Lithography by Using PMMA as a Negative Resist
Simulated Secondary-Electron Trajectories in Helium-Ion-Beam Lithography
Metrology and Analysis of Sub-10-nm-Electron-Beam Lithography
Sub-30-nm Patterning of Au dots on GaAs for Templated Nanowire Growth
Temporal Coherence Effects on a Low-Cost Interference Lithography System
Berglund, Andrew Measurement of Acid-Generated Latent Image in Polymer Matrix by Fluorescence Microscopy
Bergmair, Iris Nanoimprint Lithography from CHARPAN Tool exposed Master Stamps with 12.5 nm hp
UV Nanoimprint Lithography and Mold Replication for the Site-Controlled Self-Assembly of Si/Ge Islands
3D Metamaterials made of Gold Fabricated by Nanoimprint Lithography
Bergmair, Michael 3D Metamaterials made of Gold Fabricated by Nanoimprint Lithography
Berro, Adam Measurement of Acid-Generated Latent Image in Polymer Matrix by Fluorescence Microscopy
Berse, Matthias 3-D Microfluidics Devices using Ion Beam Lithography
Bertagnolli, Emmerich Experimental Evaluation of Gas-Flux Distribution with Gas Injection Systems for Focused Beam Induced Deposition
Thermally Assisted Focused Electron Beam Induced Deposition
Electron Beam Induced Etching of Silicon using Chlorine Gas
Nanowire Synthesis on Catalyst Arrays Produced with Electron Beam Induced Deposition
Particle Beam Induced Fabrication of Nanoimprint Lithography Templates
Berton, Kevin Colloidal Optical Waveguides with Integrated Local Light Sources Built by Capillary Force Assembly
Rotation Speed Control of Janus Particles by Dielectrophoresis in a Microfluidic Channel
Besacier, Maxime Real Time Scatterometry for 193 nm Photoresist Trimming Monitoring: Influence of the Refractive Index Modification on CD Accuracy
Bevis, Chris (Invited) REBL: A Maskless ebeam Direct Write Lithography Approach using the Reflective Electron Beam Lithography Concept
Beyer, A. Fabrication of Graphene and Graphenoid Two-dimensional Materials from Self-Assembled Monolayers
Biais, Nicolas Magnetically Actuated Elastomeric Pillars for Cellular Force Measurement
Bielefeld, U Fabrication of Graphene and Graphenoid Two-dimensional Materials from Self-Assembled Monolayers
Biggs, Manus (Invited) Biomolecular-Scale Engineering
Magnetically Actuated Elastomeric Pillars for Cellular Force Measurement
Bishop, James Effect of Precursor Sticking Coefficient on Electron Beam Induced Deposition and Etching
Blaikie, Richard Absorbance-Modulation Interference Lithography Enhanced by a Planar Silver Lens
Blake, David Carbon Nanotube Field Emission Electron Gun Array for Micro-Column Scanning Electron Microsocopy and Maskless Lithography
Blick, Robert (Invited) Mode-Locked Nanomechanical Electron Shuttles for Phase Coherent Frequency Conversion
Bliznyuk, Valery Structure and Electrical Properties of Polymer Core-Shell Latex Systems Revealed by Helium Ion Microscopy
Bogdanski, Nicolas Sputtering for an Etch-Free Lift-Off in T-NIL
Bokor, Jeff PEEM Studies of Coupled-Nanomagnet Systems
Bokor, Jeffrey Graphene Nanomeshes with Sub-10 nm Ribbon Width Fabricated via Nanoimprint Lithography in Combination with Block Copolymer Self-Assembly
Bonam, Ravi Performance Optimization of Sub-10nm Electron Beam Lithography
Borisov, Sergey Simulation of SEM Images Taking into Account Local and Global Electromagnetic Fields
Borrisé, Xavier Batch Wafer Fabrication of Passivated Carbon Nanotube Transistors for Electrochemical Sensing Applications
Bosse, August Theory, Modeling, and Simulation of Line Edge Roughness in Diblock Copolymer Resists
Boswell, Rod (Invited) High Brightness Plasma Ion Source Developments for Next Generation FIB and Surface Analysis
Bot, Corina Carbon Nanotube Cellular Probes
Boussey, Jumana Organized Porous Alumina Membranes for High Density Silicon Nanowires Growth
Impact of the Resist Properties on the Antisticking Layer Degradation in UV Nanoimprint Lithography
Bove, Nadine Hybrid Lithography (E-beam/DUV) and Dedicated Etching Process for CMOS-compatible Monoelectronic Studies with Gates down to 12 nm
Brammer, Karla Long-Range Ordered Aluminum Oxide Nanotubes by Nanoimprint-Assisted Aluminum Film Surface Engineering
Branz, Howard M. Nanoimprint Method for All-Inorganic Resist for Photovoltaic Light-Trapping
Braunschweig, PTB Fabrication of Graphene and Graphenoid Two-dimensional Materials from Self-Assembled Monolayers
Brehm, Moritz UV Nanoimprint Lithography and Mold Replication for the Site-Controlled Self-Assembly of Si/Ge Islands
Brener, I. Fabrication Techniques for 3D Metamaterials in the Mid-infrared
Brener, Igal Experimental Demonstration of Resonant Coupling to a Dipole Absorber Inside a Metamaterial: Hybridization of the Negative Index Response
Brennecka, Geoff Bi-Level Micro- and Nano-Patterning of Functional Electronic Oxides
Brenner, Kevin Single-step, Complementary Doping of Graphene
Bresin, Matthew D. Gas-Mediated Electron and Ion Beam Induced Deposition using Ammonia as a Purification Medium
Bresin, Matthew Condensed Phase Electron Beam-Induced-Deposition: 3D Lithography and Growth Rate Enhancement using Cryogenic Cooling
Brewster, Megan Sub-30-nm Patterning of Au dots on GaAs for Templated Nanowire Growth
Brianceau, Pierre Very High Aspect Ratio Fabrication Process for Stacked Nanowire Transistors with Gate-All-Around (GAA) or Double-Gate (ΦFET)
Brien, John (Invited) Positioning and Measuring at the Nanometric Level Over Macroscopic Distances
Brimhall, Nicole Sub-Wavelength Optical Patterning via Optical-Saturable Transformations
Deep Subwavelength Patterning via Absorbance Modulation
Bristol, Robert Pitch Division by Selective Acid Quenching
Brizuela, Fernando Table-top Microscope for the Actinic Aerial Imaging Inspection of Extreme Ultraviolet Lithography Masks
Brodie, Alan (Invited) High-Current Electron Optical Design for REBL Direct Write Lithography
(Invited) REBL: A Maskless ebeam Direct Write Lithography Approach using the Reflective Electron Beam Lithography Concept
Brooks, Cynthia (Invited) Defect Inspection for High Volume Patterned Media
Brousse, D. Cl2-based ICP Etching of Photonic Crystals for the Visible Spectrum in GaN and SiC
Brown, Devin Decomposing Polynorbornene to Form Millimeter to Nanometer Sized Cavities
Nanometer Scale Bosch Process Silicon Etching
Bruccoleri, Alexander Ultra-High Aspect Ratio Silicon Dry-Etch Process
Bruck, Roman Argon Ion Multi-Beam Nanopatterning of Ni-Cu Inserts for Injection Molding
Brueck, S.R.J. Large Area 3D Photonic Crystals with Embedded Waveguides
Brueck, Steven Experimental Demonstration of Resonant Coupling to a Dipole Absorber Inside a Metamaterial: Hybridization of the Negative Index Response
The Integration of Block Copolymer Directed Assembly with 193 Immersion Lithography
(Invited) Large-Area Linear and Nonlinear Nanophotonics
Brugger, Juergen Nanoporous SiN Membranes Patterned by Wafer-Scale Nanosphere Lithography
Flexible Membranes Improve Resolution in Stencil Lithography
Metallic Nanodot Arrays Fabricated by Stencil Lithography on SiO2 and Polymer Substrates
Brugger, Jürgen Direct Write Laser at Visible Wavelength for Patterning of High Aspect Ratio Epoxy Materials
Bu, Caixia Tuning Transport and Two-State Noise in Carbon Nanotubes using Precisely Controlled Electron Beam
Bucchignano, James Controlling the Adhesion of Nanoscale Hydrogen Silsesquioxane Patterns
Büenfeld, M. Fabrication of Graphene and Graphenoid Two-dimensional Materials from Self-Assembled Monolayers
Bugrov, Alexey Fabrication of High Resolution Optical Spectrometer-on-Chip
Bühler, Wolfram Thermally Assisted Focused Electron Beam Induced Deposition
Bunk, Oliver In-Situ Characterization of Block Copolymer Density Multiplication on Chemically Patterned Surfaces by Coherent Small Angle X-ray Scattering
Bunting, Andrew Piezo-Electrically Driven Silicon Carbide Resonators
Bunting, Andy MEMS Systems for Biomimetical Applications
Burckel, D. B. Fabrication Techniques for 3D Metamaterials in the Mid-infrared
Burckel, D. Bruce Fabrication and Applications of Sub-Micron 2D/3D Periodic Carbon Structures
Bureau, Jean-Baptiste Direct Write Laser at Visible Wavelength for Patterning of High Aspect Ratio Epoxy Materials
Burk, Dorothea Understanding the Regrowth Mechanism of Multi-Walled Carbon Nanotube Forests
Burkhardt, Claus (Invited) Application Specific CVD Growth of Carbon Nanotubes
Burnier, Luc Organized Porous Alumina Membranes for High Density Silicon Nanowires Growth
Buttard, Denis Organized Porous Alumina Membranes for High Density Silicon Nanowires Growth

C

Cabrini, Stefano Manipulating the Local Symmetry and Geometry of Bowtie Optical Antennae for Controlling Spectral Properties while Maintaining the Near-Field Enhancement
Step and Repeat UV Nanoimprint Lithography with sub-15 nm Resolution and sub-5 nm Residual Layer Thickness
Graphene Nanomeshes with Sub-10 nm Ribbon Width Fabricated via Nanoimprint Lithography in Combination with Block Copolymer Self-Assembly
Nanoimprint-Induced Molecular Stacking and Pattern Stabilization in Small-Molecular Organic Compounds for Photovoltaic Applications
Fabrication of High Resolution Optical Spectrometer-on-Chip
Cacao, Elliedonna Patterning of Antibody-Coated Surfaces using Energetic Helium Ions
Cadarso, Víctor J. Direct Write Laser at Visible Wavelength for Patterning of High Aspect Ratio Epoxy Materials
Campbell, P.M. (Invited) Self-aligned Epitaxial Graphene MOSFETs with a Record Field-Effect Mobility of 6000 cm2/Vs on 50 mm Wafers
Materials Issues Impacting GHz Devices from Epitaxial Graphene on SiC
Candeloro, P. 3D Adiabatic Compression of Plasmon Polariton for Nanomapping Below 10nm Resolution
(Invited) 3D Adiabatic Compression of Plasmon Polariton for Nanomapping at 10 nm Resolution
Cantu, Precious Electric-Field-Induced Superconductivity in Electric Double Layer Transistors
Carbajo, Sergio Table-top Microscope for the Actinic Aerial Imaging Inspection of Extreme Ultraviolet Lithography Masks
Carlton, David PEEM Studies of Coupled-Nanomagnet Systems
Carmichael, P. T. A Dry-on, Dry-off, Long Wavelength Photoresist for Nano-Plasmonic Field Metrologoy and Lithography
Carmichael, Peter Measurement of Acid-Generated Latent Image in Polymer Matrix by Fluorescence Microscopy
Caroff, Philippe In-vivo Assessment of Nanowire Biocompatibility in the Rat Brain
Carroll, Allen (Invited) REBL: A Maskless ebeam Direct Write Lithography Approach using the Reflective Electron Beam Lithography Concept
Caskey, Greg (Invited) Positioning and Measuring at the Nanometric Level Over Macroscopic Distances
Castaldo, Vincenzo Ion-Induced Secondary Electron Yields and Simulation of Ion Imaging
Cha, Jennifer (Invited) Biomolecular Architectures and Systems for Nanoscience Engineering
Chan, Jack Tuning Transport and Two-State Noise in Carbon Nanotubes using Precisely Controlled Electron Beam
Chan, Tina Compensation Methods for Buried Defects in Extreme Ultraviolet Lithography Masks
Chang, Chih-Hao Fabrication of Antireflection Structures for Binary Diffraction Gratings
Assembling Nanoparticle Catalysts with Nanospheres for Periodic Growth of Carbon Nanotube Arrays
Chang, Jae-Byum Templated Self-Assembly of Block Copolymers for Linear and Square Arrays
Chang, Josephine Controlling the Adhesion of Nanoscale Hydrogen Silsesquioxane Patterns
Chang, Tsung-Yao Lift-off Free Nanofabrication of Suspended Plasmonic Nanohole Arrays To Overcome Mass Transport Limitations in Bio-Sensors
Chang, Wei-Yi A Two-Step Hot Embossing Process for Fabrication of Nano/Micro Hybrid Lens
Chao, Weilun Table-top Microscope for the Actinic Aerial Imaging Inspection of Extreme Ultraviolet Lithography Masks
Chen, Chen-Chia Rapid Ablation of Polymer Film and Self-Aligned Formation Gold Nanoparticles by Localized Joule Heating
Chen, Feng Density Multiplication by Directed Self-Assembly of Block Copolymer Binary Blends
Chen, J. H. Monte Carlo Study of Inelastic Scattering Models of Low Energy Electrons
Chen, Li-Han Enhanced Magnetic Properties of Bit Patterned Magnetic Recording Media by Improved Magnetic Island Geometry
Chen, Ping Platinum Nano-Pillar Growth by Helium Ion Beam Induced Deposition
Chen, Ray T. (Invited) Three Dimensional Silicon-on-Insulator based Optical Phased Array for Agile and Large Angle Laser Beam Steering Systems
Chen, Robert Extreme Ultraviolet Mask Surface Cleaning Effects on Lithography Process Performance
Chen, Sheng-Yung Analysis of Fabrication Misalignment Effects in a MEMS-based Electron-Optical System Design for Direct-Write Lithography
Beam Drift Detection using a Two-Dimensional Electron Beam Position Monitor System for Multiple-Electron-Beam–Direct-Write Lithography
Chen, Shin-Chuan Analysis of Fabrication Misalignment Effects in a MEMS-based Electron-Optical System Design for Direct-Write Lithography
Chen, Teresa Nanoimprint-Induced Molecular Stacking and Pattern Stabilization in Small-Molecular Organic Compounds for Photovoltaic Applications
Chen, Wenyu Modelling and Experimental Investigation on Liquid Confinement in Immersion Lithography
Chen, Xiaoshuang Application of Hydrogen Silsesquioxane (HSQ) as Etching Mask for Dense and Ultra-Sharp Silicon Tip Arrays
Chen, Yi-Fang Characterization of Nanoembossed PZT Ferroelectric Films
Chen, Yifang Surface Stiffness Modification by e-beam Irradiation for Stem Cell Growth Control
Application of Hydrogen Silsesquioxane (HSQ) as Etching Mask for Dense and Ultra-Sharp Silicon Tip Arrays
Chen, Yung-Pin A Novel Method to Fabricate Microlens Array with Normal Subwavelength Structures
Fabrication of Binary Phase Grating on the Fiber End by using Two- Beam Interference Lithography
Chen, Yung-Yaw Beam Drift Detection using a Two-Dimensional Electron Beam Position Monitor System for Multiple-Electron-Beam–Direct-Write Lithography
Chen, Zhihong (Invited) Scaling in Carbon Electronics
Cheng, Jing Novel Designs for Non-Chemically Amplified Molecular Resists
Cheng, Mosong Optimizing Photon Sieves to Approach Fresnel Diffraction Limit via Pixel-based Inverse Lithography
Cheng, Xing Hybrid Solar Cells Based on ZnO Nanoparticles and Nanorods
Ordered Carbon Nanotube Deposition by Electrophoresis-Enhanced Self-Assembly
A Raman Spectroscopic Study of Polymer Chain Conformation after Nanoimprint
SERS-Active Substrate Based on Gap Surface Plasmon Polaritons
Cheong, Kang Hao Design of Parallel Mass Detection using Focused Ion Beam Columns
Cheong, Lin Lee Sub-5 keV Scanning-Electron-Beam Lithography
Cheung, Rebecca MEMS Systems for Biomimetical Applications
Piezo-Electrically Driven Silicon Carbide Resonators
Chevolleau, Thierry Diblock Copolymers Technology for Silicon Nanowires/Nanodots Elaboration
Chiesa, Marco Large-Scale Nanopatterning of Single Proteins used as Carriers of Magnetic Nanoparticles
Cho, Han-Ku Optimization of Spatial Dose Distribution for Controlling Sidewall Shape in Electron-beam Lithography
Experiment-based Estimation of Point Spread Function in Electron-beam Lithography
Cho, Younjin Pitch Division by Selective Acid Quenching
Choi, Chulmin Toward Successful Nanoimprint Mould Fabrication: Large Area Hexagonally Ordered Si Daughter Stamps by Guided Anodization
Long-Range Ordered Aluminum Oxide Nanotubes by Nanoimprint-Assisted Aluminum Film Surface Engineering
Enhanced Magnetic Properties of Bit Patterned Magnetic Recording Media by Improved Magnetic Island Geometry
Choi, Heon Surface Electromagnetic Wave Assisted Photoelectron Source for Multi-beam Applications
Choi, Jun-Hyuk Fabrication of Si Nanotemplate using Nanosilver Colloids for Anti-Reflection Films.
Choi, Kwangsik Surface Plasmon and Geometry Enhanced Asymmetric Rectifying Tunneling Diodes
Choi, Kyung-Hak Massive Replication of Nanophotonic Crystals using Combined-Nanoimprint-and-Photolithography
Choi, Sookyung Surface Roughness and Resist Thickness Issues in Patterning of Ultra-Dense Lines in Hydrogen Silsesquioxane
Choi, Young-Jae Fabrication of Nanosphere Patterns by Using Micro-contact Transfer Printing
Choo, Hyuck Experimental and Simulation Studies on Raman-Enhancing Surface Features from Process-Engineered Substrates
Single-Step, Wafer-Scale, Hermetic Sealing Using Silicon Migration
Chou, Stephen Y. Multiple Double-Nanoimprint Fabrication of Wafer-scale Nanopillar Array Mold with Varying Pillar Shape, Pillar density, and Pillar Spacing Without EBL
Chou, Stephen Growth of Straight Crystal Silicon Nanowires on Nanopatterned Amorphous Substrate with Uniform Diameter and Length, Preferred Orientation, and Predetermined Location
Nanoimprint Mold Fabrication by Quantum Lithography on Nanoimprinted Blanks
Chouiki, Mustapha Nanoimprint Lithography from CHARPAN Tool exposed Master Stamps with 12.5 nm hp
Chow, Edmond Cathodoluminescence Imaging of Plasmonic modes of Au Nanostructures
Chryssis, Athanasios Surface Plasmon and Geometry Enhanced Asymmetric Rectifying Tunneling Diodes
Chuang, Vivian P. Templated Self-Assembly of Block Copolymers for Linear and Square Arrays
Chung, Jin Wook Study of Transport Properties in Graphene Monolayer Flakes on SiO2 Substrates
Clifford, Chris Compensation Methods for Buried Defects in Extreme Ultraviolet Lithography Masks
Clime, Liviu Fabrication of Nanostar Array by Nanoimprint Lithography
Cohen, Guy Multiple Double XTEM Sample Preparation of Site Specific Sub-10 nm Si Nanowires
Colburn, Matthew Wafer Level Critical Dimension Control in Spacer Defined Double Patterning for sub-72 nm Pitch Logic Technology
Coll, Mariona Flip Chip Lamination Approach to Fabricate Ultrasmooth Metal Contacts for Organic-Based Electronic Devices
Comboroure, Corinne Very High Aspect Ratio Fabrication Process for Stacked Nanowire Transistors with Gate-All-Around (GAA) or Double-Gate (ΦFET)
Hybrid Lithography (E-beam/DUV) and Dedicated Etching Process for CMOS-compatible Monoelectronic Studies with Gates down to 12 nm
Constantoudis, Vassilios Plasma Directed Assembly and Organization: Effect of Plasma Processing Conditions on Order and Nanodot Dimensions
Cook, Ben Statistical Coulomb Forces In Electron Guns
Ultra Fast Electron Sources A New Conclusion
Cooke, Simon High Accuracy Electron Beam Model Development in MICHELLE: eBEAM
Cord, Bryan Sub-10-nm Half-Pitch Electron-Beam Lithography by Using PMMA as a Negative Resist
Metrology and Analysis of Sub-10-nm-Electron-Beam Lithography
Methylsilsesquioxane (MSQ) - A Novel Resist for Electron Beam Lithography
Costner, Elizabeth Pitch Division by Selective Acid Quenching
Craig, Gordon In-Situ Characterization of Block Copolymer Density Multiplication on Chemically Patterned Surfaces by Coherent Small Angle X-ray Scattering
Crnogorac, Filip Rapid Laser Crystallization of Semiconductors for Three-Dimensional Integration
Semiconductor Crystal Islands for 3-Dimensional Integration
Cuffe, John Optimized Reactive Ion Etching for Fabrication of PhoXonic Crystals
Cui, Bo Nanofluidic Channels Fabricated by e-beam Lithography and Polymer Reflow Sealing
Fabrication of Nanostar Array by Nanoimprint Lithography
Ultrasmooth, 3D Nanostructured Gold Films for Enhanced SPR Detection by Nanoimprint Lithography and Template Stripping
Cui, Dehu A Raman Spectroscopic Study of Polymer Chain Conformation after Nanoimprint
Cui, Yonghao Massive Replication of Nanophotonic Crystals using Combined-Nanoimprint-and-Photolithography
Cumming, David R. S. Imprinted Quarter Wave Plate at Terahertz Frequency
Cummings, Kevin A Study of Extreme Ultraviolet Lithography Defectivity
Cunge, Gilles Diblock Copolymers Technology for Silicon Nanowires/Nanodots Elaboration
Curtis, D. (Invited) Self-aligned Epitaxial Graphene MOSFETs with a Record Field-Effect Mobility of 6000 cm2/Vs on 50 mm Wafers
Czaplewski, David Chemical Changes during Exposure of ZEP 520A Electron Beam Resist
Czepl, Peter Argon Ion Multi-Beam Nanopatterning of Ni-Cu Inserts for Injection Molding

D

Dagenais, Mario Surface Plasmon and Geometry Enhanced Asymmetric Rectifying Tunneling Diodes
Dai, Bing Algorithmic Reconstruction Methods in Diffraction Microscopy using a Priori Information
Coherent Diffractive Imaging for Extended Samples with a Pre-defined Illumination Pattern
Scaled-Up Optical Simulation of X-Ray Diffraction Microscopy
Dai, Qing Experiment-based Estimation of Point Spread Function in Electron-beam Lithography
Danielsen, Nils In-vivo Assessment of Nanowire Biocompatibility in the Rat Brain
Darling, Seth Nanowire-Arrays via Block Copolymer Lithography
Das, Gobind 3D Adiabatic Compression of Plasmon Polariton for Nanomapping Below 10nm Resolution
(Invited) 3D Adiabatic Compression of Plasmon Polariton for Nanomapping at 10 nm Resolution
Dastmalchi, Babak 3D Metamaterials made of Gold Fabricated by Nanoimprint Lithography
David, Christian High Aspect Ratio HSQ Structures for X-ray Optics
Davis, Ronald Nanoneedle Biosensor Array for High-Throughput DNA Sequencing
Integrated Differential Silicon Nano-Calorimeter with on-chip Microfluidic for Real-Time High-Throughput Drug Discovery
De Angelis, Francesco (Invited) 3D Adiabatic Compression of Plasmon Polariton for Nanomapping at 10 nm Resolution
3D Adiabatic Compression of Plasmon Polariton for Nanomapping Below 10nm Resolution
de Pablo, Juan J. In-Situ Characterization of Block Copolymer Density Multiplication on Chemically Patterned Surfaces by Coherent Small Angle X-ray Scattering
de Pablo, Juan Cross-Sectional Analysis of Resist Structures Derived from the Directed Assembly of Block Copolymers
(Invited) Multiscale Modeling of Block Copolymer Directed Assembly and its Application to Sub-Lithographic Patterning
Delcambre, Sean In-Situ Characterization of Block Copolymer Density Multiplication on Chemically Patterned Surfaces by Coherent Small Angle X-ray Scattering
Derks, Henk Throughput Enhancement Technique for Mapper Maskless Lithography
DeRose, Christopher (Invited) Silicon Photonics in High Performance Computing
Detcheverry, Francois Cross-Sectional Analysis of Resist Structures Derived from the Directed Assembly of Block Copolymers
Deterre, Martin Assembling Nanoparticle Catalysts with Nanospheres for Periodic Growth of Carbon Nanotube Arrays
Devices, Nano-Optic Fabrication of High Resolution Optical Spectrometer-on-Chip
Devin, Nicole Decomposing Polynorbornene to Form Millimeter to Nanometer Sized Cavities
Devlin, Nicole Nanometer Scale Bosch Process Silicon Etching
Dew, S.K. Simulator for Electron Beam Lithography (EBL) of Nanostructures
Dew, Steven Nano-Machining of Silicon Carbon Nitride Resonators Using Low Voltage Electron Beam Lithography and Cold Development
Dhima, Khalid (Invited) Convenience of T-NIL with Combined Processing
Dhuey, Scott Manipulating the Local Symmetry and Geometry of Bowtie Optical Antennae for Controlling Spectral Properties while Maintaining the Near-Field Enhancement
Step and Repeat UV Nanoimprint Lithography with sub-15 nm Resolution and sub-5 nm Residual Layer Thickness
Fabrication of High Resolution Optical Spectrometer-on-Chip
Di Fabrizio, E. 3D Adiabatic Compression of Plasmon Polariton for Nanomapping Below 10nm Resolution
(Invited) 3D Adiabatic Compression of Plasmon Polariton for Nanomapping at 10 nm Resolution
Diego, San (Invited) Biomolecular Architectures and Systems for Nanoscience Engineering
Toward Successful Nanoimprint Mould Fabrication: Large Area Hexagonally Ordered Si Daughter Stamps by Guided Anodization
Long-Range Ordered Aluminum Oxide Nanotubes by Nanoimprint-Assisted Aluminum Film Surface Engineering
Ding, Fei Multiple Double-Nanoimprint Fabrication of Wafer-scale Nanopillar Array Mold with Varying Pillar Shape, Pillar density, and Pillar Spacing Without EBL
Display, LG The Inspection of Open Defects in a TFT-LCD Panel by using Low Energy Electron Microcolumn
Divan, Ralu Nanoporous Ultrananocrystalline Diamond Membranes
Nanofabrication of X-Ray Zone Plates using Ultrananocrystalline Diamond Molds and Electroforming
Fabrication of PEG Hydrogel Particles for Pharmaceutics using Electron Beam and Optical Lithography
Diwekar, Mohit Deep Subwavelength Patterning via Absorbance Modulation
Dobisz, Elizabeth (Invited) Patterned Media: Pushing the Limits of Lithography in Manufacturing
Docherty, Kevin (Invited) Batch Fabrication of Cantilever Array Apertured Probes for Scanning Near-Field Optical Microscopy
Doktycz, Mitchel J. Nanostructured Silicon Membranes for Control of Molecular Transport
Doktycz, Mitchel Understanding Biology Through Nanostructured Interfaces
Dommelen, Youri Wafer Level Critical Dimension Control in Spacer Defined Double Patterning for sub-72 nm Pitch Logic Technology
Donev, Eugene Focused Electron-Beam-Induced Deposition of Platinum and Gold Nanostructures from Aqueous Solutions
Dou, James A Tunable Optofluidic Nano-Bragg Microcavity Filter
Drezek, Rebekah Experimental and Simulation Studies on Raman-Enhancing Surface Features from Process-Engineered Substrates
Duan, Huigao Sub-5 keV Scanning-Electron-Beam Lithography
(Invited) Electron-Beam Templating of Capillary-Force-Induced Nanocollapse
Sub-10-nm Half-Pitch Electron-Beam Lithography by Using PMMA as a Negative Resist
Metrology and Analysis of Sub-10-nm-Electron-Beam Lithography
Electrochemical Development of Hydrogen Silsesquioxane
Dubois, Geraud Methylsilsesquioxane (MSQ) - A Novel Resist for Electron Beam Lithography
Dudek, Damian Optimized Reactive Ion Etching for Fabrication of PhoXonic Crystals
Dunn, Kathleen A. Gas-Mediated Electron and Ion Beam Induced Deposition using Ammonia as a Purification Medium
Condensed Phase Electron Beam-Induced-Deposition: 3D Lithography and Growth Rate Enhancement using Cryogenic Cooling
Dunn, Kathleen Structure of Nanocomposites Grown By Electron Beam Induced Deposition
Dupre, Cecilia Very High Aspect Ratio Fabrication Process for Stacked Nanowire Transistors with Gate-All-Around (GAA) or Double-Gate (ΦFET)
Duval, Fabrice Mask Aligner Lithography Simulation

E

Ebm, Christoph Argon Ion Multi-Beam Nanopatterning of Ni-Cu Inserts for Injection Molding
Eddy, C., Jr. (Invited) Self-aligned Epitaxial Graphene MOSFETs with a Record Field-Effect Mobility of 6000 cm2/Vs on 50 mm Wafers
Eddy, C.R. Materials Issues Impacting GHz Devices from Epitaxial Graphene on SiC
Eder-Kapl, Stefan Nanoimprint Lithography from CHARPAN Tool exposed Master Stamps with 12.5 nm hp
Argon Ion Multi-Beam Nanopatterning of Ni-Cu Inserts for Injection Molding
Edwards, Amanda Understanding Biology Through Nanostructured Interfaces
Ekerdt, John Bi-Level Micro- and Nano-Patterning of Functional Electronic Oxides
El Kodadi, Mohamed Real Time Scatterometry for 193 nm Photoresist Trimming Monitoring: Influence of the Refractive Index Modification on CD Accuracy
Electron, Tokyo (Invited) RLS Performance Tradeoffs for a Polymer Bound PAG EUV Resist
Ellis, A. R. Fabrication Techniques for 3D Metamaterials in the Mid-infrared
Emerson, Mark Employing Reactive Gas-phase Etch Precursors to Mitigate Redeposition During Ultrashort Pulsed Laser Ablation
Engelmann, Sebastian Controlling the Adhesion of Nanoscale Hydrogen Silsesquioxane Patterns
Engelstad, Roxann Assessment of IP Error Compensation Techniques for EUVL
Assessing the Mask Clamping Ability of a Low Thermal Expansion Material Chuck
Local and Global Response of EUV Reticles due to Entrapped Particles during Exposure Chucking
Engineering Patterning of sub-10-nm Metal Structures for Plasmonic Characterization
An Empirical Study of Field Emission Properties of a Single Toroid Carbon Nanotube Pillar Electron Source
Erdmann, Andreas (Invited) Mask topography Induced Phase Effects and Wave Aberrations in Optical and EUV Lithography
Ernst, Thomas Very High Aspect Ratio Fabrication Process for Stacked Nanowire Transistors with Gate-All-Around (GAA) or Double-Gate (ΦFET)
Erramilli, Shyam Zeptomol Level Vibrational Spectroscopy of Proteins in Lithographically Engineered Plasmonic Nano-antenna Arrays
Esfandyarpour, Hesaam Nano-Bridge FET Array for DNA Hybridization Detection
Nanoneedle Biosensor Array for High-Throughput DNA Sequencing
Integrated Differential Silicon Nano-Calorimeter with on-chip Microfluidic for Real-Time High-Throughput Drug Discovery
Esfandyarpour, Rahim Nanoneedle Biosensor Array for High-Throughput DNA Sequencing
Evans, John Microfluidics-assisted Photo Nanoimprint Lithography for the Formation of Cellular Bioimprints
Evanschitzky, Peter (Invited) Mask topography Induced Phase Effects and Wave Aberrations in Optical and EUV Lithography
Evoy, Stephane Nano-Machining of Silicon Carbon Nitride Resonators Using Low Voltage Electron Beam Lithography and Cold Development
Eyck, G. A. Ten Fabrication Techniques for 3D Metamaterials in the Mid-infrared
Eyck, Gregory Ten Experimental Demonstration of Resonant Coupling to a Dipole Absorber Inside a Metamaterial: Hybridization of the Negative Index Response

F

Fan, Chi-Hsiung Beam Drift Detection using a Two-Dimensional Electron Beam Position Monitor System for Multiple-Electron-Beam–Direct-Write Lithography
Fan, Li Fast Turn-Around Time, Layer-by-Layer Fabrication of 3D Photonic Crystals
Sub-10nm Lines using PMMA and HSQ Double Patterning
Fang, Nicholas Cathodoluminescence Imaging of Plasmonic modes of Au Nanostructures
Fanton, M.A. Materials Issues Impacting GHz Devices from Epitaxial Graphene on SiC
Farm, Elina High Aspect Ratio HSQ Structures for X-ray Optics
Farrow, Reginald Carbon Nanotube Cellular Probes
Fazio, Teresa (Invited) Biomolecular-Scale Engineering
Supported Lipid Membranes Corralled by Nanoscale HSQ and PDMS Barriers
Biocompatible Surfaces with Locally Variable Rigidity
Federsel, Peter (Invited) Application Specific CVD Growth of Carbon Nanotubes
Feldbaum, Michael Progress and Challenges in Fabrication of 1 Terabit/inch2 Bit Patterned Magnetic Media
Ferguson, Susan Copper–Plated 50 nm T–Gate Fabrication
Ferranti, David Fabrication and Characterization of Ultrahigh Aspect Ratio vias in Gold using the Helium Ion Microscope
Ferrera, Juan Simulated Secondary-Electron Trajectories in Helium-Ion-Beam Lithography
Finders, Jo Wafer Level Critical Dimension Control in Spacer Defined Double Patterning for sub-72 nm Pitch Logic Technology
Fink, Kathryn Microfluidic Dynamics in Micro-Scale Truss Structures Formed From Self-Propagating Photopolymer Waveguides for Heat Transfer Applications
Fischer, Daniel Thermally Assisted Focused Electron Beam Induced Deposition
Fischer, Eugen (Invited) Application Specific CVD Growth of Carbon Nanotubes
Fito, T. Simulator for Electron Beam Lithography (EBL) of Nanostructures
Fleischer, Monika (Invited) Application Specific CVD Growth of Carbon Nanotubes
Gold Nanocone Probes for Near-Field Scanning Optical Microscopy
Structured Titanium Surfaces for Biomedical Applications
Fletcher, Benjamin Controlling the Adhesion of Nanoscale Hydrogen Silsesquioxane Patterns
Floresca, Carlo (Invited) High Performance Lithographically Defined Back-Gated Si-nanowire MOSFETs with sub-5 nm Channel Width
Forchheimer, Daniel (Invited) Molecularly Selective Nanopatterns using Nanoimprint Lithography: A Label-Free Sensor Architecture
Fouad, Mina Nanofluidic Channels Fabricated by e-beam Lithography and Polymer Reflow Sealing
Foulkes, John Absorbance-Modulation Interference Lithography Enhanced by a Planar Silver Lens
Francone, Achille Impact of the Resist Properties on the Antisticking Layer Degradation in UV Nanoimprint Lithography
Frank, Andreas Micromachined Scanning Proximal Probes with Integrated Piezoresistive Readout and Bimetal Actuator for High Eigenmode Operation
Freed, Regina (Invited) REBL: A Maskless ebeam Direct Write Lithography Approach using the Reflective Electron Beam Lithography Concept
Fresnel, Institut Surface Emitting Lasers with Two-Dimensional Nanoimprinted Photonic Crystals
Fretwell, John (Invited) Defect Inspection for High Volume Patterned Media
Fromherz, Thomas Nanoimprint Lithography from CHARPAN Tool exposed Master Stamps with 12.5 nm hp
UV Nanoimprint Lithography and Mold Replication for the Site-Controlled Self-Assembly of Si/Ge Islands
Fu, Xin Modelling and Experimental Investigation on Liquid Confinement in Immersion Lithography
Fuard, David Fabrication of 3D Structures for the Assessment of Cell Mechanical Interactions within Cell Monolayers
Fucetola, Corey Temporal Coherence Effects on a Low-Cost Interference Lithography System
Fühner, Tim (Invited) Mask topography Induced Phase Effects and Wave Aberrations in Optical and EUV Lithography
Fujita, Jun-ichi Direct Transformation of a Resist Pattern into a Graphene FET Through Interfacial Graphitization of Liquid Gallium
Furubayashi, Masaki Direct Synthesis of Vertical a-Fe2O3 Nanowires from Sputtered Fe Thin Film

G

Gadegaard, Nikolaj Exciton-Plasmon Coupling in Nanoimprinted Plasmonic Crystals for Light Extraction Coupling
Gale, Bruce (Invited) A Microfluidic Toolbox for Lab on a Chip Devices
Gao, Jinming Reliable Si Nanowire FETs Defined by Lithography for pH Sensing and Ultrasensitive Detection of Protein
Garching, TUM In situ characterization of Exposed e-beam Resist using Novel AFM Technique
Garcia, Andrei Rapid Laser Crystallization of Semiconductors for Three-Dimensional Integration
Garcia, Ricardo Large-Scale Nanopatterning of Single Proteins used as Carriers of Magnetic Nanoparticles
Gaskill, D. Kurt Materials Issues Impacting GHz Devices from Epitaxial Graphene on SiC
Gaskill, D.K. (Invited) Self-aligned Epitaxial Graphene MOSFETs with a Record Field-Effect Mobility of 6000 cm2/Vs on 50 mm Wafers
Gauzner, Gene Spectroscopic Ellipsometry Optical Critical Dimension Measurements of Template and Imprint Resist for Patterned Magnetic Media Applications
Gawidziel, Stephen Effect of Precursor Sticking Coefficient on Electron Beam Induced Deposition and Etching
Geiss, Reinhard Freestanding Photonic Crystal Membranes in Lithium Niobate fabricated by Ion-Beam Enhanced Etching
Gemeinhart, Richard Fabrication of PEG Hydrogel Particles for Pharmaceutics using Electron Beam and Optical Lithography
George, Hubert C. Fabrication of Platinum Single-Electron Transistors with Tunnel Barriers Made by Atomic Layer Deposition
George, Hubert Experimental Demonstration of Hybrid SET-CMOS Circuits
George, Simi A. Extreme Ultraviolet Mask Substrate Surface Roughness Effects on Lithographic Patterning
George, Simi Extreme Ultraviolet Mask Surface Cleaning Effects on Lithography Process Performance
Gergel-Hackett, Nadine Flip Chip Lamination Approach to Fabricate Ultrasmooth Metal Contacts for Organic-Based Electronic Devices
Gerstand, Karen Electro-Osmotic Flow Through Carbon Nanotube Membranes for Programmed Transdermal Drug Delivery
Geurts, Remco Towards Automated Fabrication of 3D Photonic Devices by Focused Ion Beam
Ghassemi, Saba (Invited) Biomolecular-Scale Engineering
Magnetically Actuated Elastomeric Pillars for Cellular Force Measurement
Gheidari, Ali Mohammadi Transmission Images of a 196 Beam Scanning Electron Microscope
Gignac, Lynne Multiple Double XTEM Sample Preparation of Site Specific Sub-10 nm Si Nanowires
Gin, Aaron Bi-Level Micro- and Nano-Patterning of Functional Electronic Oxides
Gischkat, Thomas Freestanding Photonic Crystal Membranes in Lithium Niobate fabricated by Ion-Beam Enhanced Etching
Glinsner, Thomas High Accuracy UV-NIL Step and Repeat Master Stamp Fabrication for Wafer-Level Camera Application
GlobalFoundries Evolution of Line Edge and Line Width Roughness in a Frequency Doubling Directed Self Assembly Process
Wafer Level Critical Dimension Control in Spacer Defined Double Patterning for sub-72 nm Pitch Logic Technology
Glodde, Martin Controlling the Adhesion of Nanoscale Hydrogen Silsesquioxane Patterns
Godignon, Philippe Batch Wafer Fabrication of Passivated Carbon Nanotube Transistors for Electrochemical Sensing Applications
Gogolides, Evangelos Plasma Directed Assembly and Organization: Effect of Plasma Processing Conditions on Order and Nanodot Dimensions
Goldberg, Kenneth A. Extreme Ultraviolet Mask Substrate Surface Roughness Effects on Lithographic Patterning
Goldberg, Kenneth Table-top Microscope for the Actinic Aerial Imaging Inspection of Extreme Ultraviolet Lithography Masks
(Invited) Wavelength Specific Reflections--A Decade of EUV Mask Inspection Research
Actinic Imaging and Evaluation of Phase Structures on EUV Lithography Masks
Goldsman, Neil Surface Plasmon and Geometry Enhanced Asymmetric Rectifying Tunneling Diodes
Goltsov, Alexander Fabrication of High Resolution Optical Spectrometer-on-Chip
Gölzhäuser, A. Fabrication of Graphene and Graphenoid Two-dimensional Materials from Self-Assembled Monolayers
Gong, Guofang Modelling and Experimental Investigation on Liquid Confinement in Immersion Lithography
Gopalan, Padma POSS-Containing Block Copolymer With High Etch Contrast For Directed Self-Assembly
Gorelick, Sergey High Aspect Ratio HSQ Structures for X-ray Optics
Gotszalk, Teodor Micromachined Scanning Proximal Probes with Integrated Piezoresistive Readout and Bimetal Actuator for High Eigenmode Operation
Microfabricated Resistive High Sensitivity Nanoprobe for Scanning Thermal Microscopy
Grabiec, Piotr Microfabricated Resistive High Sensitivity Nanoprobe for Scanning Thermal Microscopy
Gradecak, Silvija Sub-30-nm Patterning of Au dots on GaAs for Templated Nanowire Growth
Graham, William Controlling the Adhesion of Nanoscale Hydrogen Silsesquioxane Patterns
Grant, James P. Imprinted Quarter Wave Plate at Terahertz Frequency
Grass, Michael Ambient Pressure Photoelectron Spectromicroscopy at Advanced Light Source
Greene, Eric Supported Lipid Membranes Corralled by Nanoscale HSQ and PDMS Barriers
Greer, Julia (Invited) Focused Ion Beam (FIB), E-beam lithography, and in-situ Microscopy in Investigating Mechanical Properties of Nano-Scale Materials
Grella, Luca (Invited) REBL: A Maskless ebeam Direct Write Lithography Approach using the Reflective Electron Beam Lithography Concept
Grenville, Andrew Photopatternable Inorganic Hardmask
Nanoimprint Method for All-Inorganic Resist for Photovoltaic Light-Trapping
Gronheid, Roel (Invited) RLS Performance Tradeoffs for a Polymer Bound PAG EUV Resist
Groves, Tim Surface Electromagnetic Wave Assisted Photoelectron Source for Multi-beam Applications
Groves, Timothy (Invited) Electron Beam Lithography, the First Fifty Years, and Prospects for the Future
Gruetzner, Gabi Direct Write Laser at Visible Wavelength for Patterning of High Aspect Ratio Epoxy Materials
Grydlik, Martyna UV Nanoimprint Lithography and Mold Replication for the Site-Controlled Self-Assembly of Si/Ge Islands
GST, Hitachi Geometrical Limitations for Ar Ion Beam Etching
Gu, Xinyu Pitch Division by Selective Acid Quenching
Gual, Isaac Piezo-Electrically Driven Silicon Carbide Resonators
Guillaume, Gay Diblock Copolymers Technology for Silicon Nanowires/Nanodots Elaboration
Guillorn, Michael Controlling the Adhesion of Nanoscale Hydrogen Silsesquioxane Patterns
Gullikson, Eric M. Extreme Ultraviolet Mask Substrate Surface Roughness Effects on Lithographic Patterning
Gullikson, Eric High Transmission Pellicles for EUVL Reticle Protection
Guo, L. Jay Ultra-High Aspect Ratio Silicon Dry-Etch Process
Surface Plasmon Enhanced Efficiency of Organic Solar Cells using Transparent Ag Nanowire Electrodes
Continuous Formation of Nano-Scale Periodic Patterns by Localized Dynamic Wrinkling
Transmissive Color Filters Fabricated Using Pattern Transfer Lithography
Plasmonic Color Filter Based on Metal-Insulator-Metal Resonators
Gupta, Himanshu Throughput Enhancement Technique for Mapper Maskless Lithography
Guthy, Csaba Nano-Machining of Silicon Carbon Nitride Resonators Using Low Voltage Electron Beam Lithography and Cold Development
Guzenko, Vitaliy A. High Aspect Ratio HSQ Structures for X-ray Optics
Guziy, O. Cl2-based ICP Etching of Photonic Crystals for the Visible Spectrum in GaN and SiC
Gwyther, Jessica Templated Self-Assembly of Block Copolymers for Linear and Square Arrays

H

Haase, Gaddi (Invited) Defect Inspection for High Volume Patterned Media
Haatainen, Tomi Fabrication of Mesas with Micro- and Nanopatterned Surface Relief used as Working Stamps for Step & Stamp Imprint Lithography
Hacker, Christina Flip Chip Lamination Approach to Fabricate Ultrasmooth Metal Contacts for Organic-Based Electronic Devices
Häffner, Michael (Invited) Application Specific CVD Growth of Carbon Nanotubes
Hagen, Cornelis W. Monte Carlo Simulations to Study FEBID and EBL Resolution Limits
Hagen, Cornelis Wouter Ion-Induced Secondary Electron Yields and Simulation of Ion Imaging
Hahn, Roland A Study of Extreme Ultraviolet Lithography Defectivity
Hainberger, Rainer Argon Ion Multi-Beam Nanopatterning of Ni-Cu Inserts for Injection Molding
Hakeem, Philip The Integration of Block Copolymer Directed Assembly with 193 Immersion Lithography
Hällström, Waldemar Fifteen-picoNewton Force Detection from Neural Growth Cones using Nanowire Arrays
Hamaguchi, Tetsuya Rapid Thermal Imprint of High-Aspect-Ratio Nanostructures with Dynamic Heating of Mold Surface
Direct Synthesis of Vertical a-Fe2O3 Nanowires from Sputtered Fe Thin Film
Hamilton, Alister MEMS Systems for Biomimetical Applications
Hammarin, Greger Fifteen-picoNewton Force Detection from Neural Growth Cones using Nanowire Arrays
Han, Gang Nanoimprint-Induced Molecular Stacking and Pattern Stabilization in Small-Molecular Organic Compounds for Photovoltaic Applications
Han, Sang-in A Study of Extreme Ultraviolet Lithography Defectivity
Han, Seungoh Characterization of Less-Diffractive Nano-Scale Beam from a Ring Aperture Type Plasmonic Lens
Harriott, Lloyd Tuning Transport and Two-State Noise in Carbon Nanotubes using Precisely Controlled Electron Beam
Harry, Katherine Electrochemical Development of Hydrogen Silsesquioxane
Harteneck, B. Step and Repeat UV Nanoimprint Lithography with sub-15 nm Resolution and sub-5 nm Residual Layer Thickness
Harteneck, Bruce Experimental and Simulation Studies on Raman-Enhancing Surface Features from Process-Engineered Substrates
Fabrication of High Resolution Optical Spectrometer-on-Chip
Hartley, John Performance Optimization of Sub-10nm Electron Beam Lithography
Hartung, Holger Freestanding Photonic Crystal Membranes in Lithium Niobate fabricated by Ion-Beam Enhanced Etching
Haruyama, Yuichi Nanoimprint Replication of Three-Dimensional Structure Fabricated by FIB-CVD
New Method of EB Exposure Stability using HSQ High-Resolution Negative Resist
Evaluating Mechanical Characteristics of Various Shape Nanosprings Fabricated by Focused-ion-beam Chemical Vapor Deposition
Durability Evaluation of Antisticking Layer by Step and Repeat UV Nanoimprinting
Adhesion Force Evaluation of UV-Curable Nanoimprint Resins by Scanning Probe Microscopy with UV Irradiation System
Hasegawa, Hirokazu Density Multiplication by Directed Self-Assembly of Block Copolymer Binary Blends
Hastings, J. Todd Photopatterning 3-Amino-Propyl-Triethoxy-Silane (APTES) Self-Assembled Molecular Layers on Alumina
Hastings, Jeffrey Focused Electron-Beam-Induced Deposition of Platinum and Gold Nanostructures from Aqueous Solutions
Hatakeyama, Taiki Vibration Characteristics of Monolayer Graphene Resonator
Hattori, Shuntaro Rapid Thermal Imprint of High-Aspect-Ratio Nanostructures with Dynamic Heating of Mold Surface
Hayakawa, Teruaki POSS-Containing Block Copolymer With High Etch Contrast For Directed Self-Assembly
Hecker, Michael Microfabricated Resistive High Sensitivity Nanoprobe for Scanning Thermal Microscopy
Heilmann, Ralf K. Ultra-High Aspect Ratio Silicon Dry-Etch Process
Heinzelmann, Harry Nanoporous SiN Membranes Patterned by Wafer-Scale Nanosphere Lithography
Heitkamp, B. Argon Ion Multi-Beam Nanopatterning of Ni-Cu Inserts for Injection Molding
Hendel, Rudi (Invited) A 1D Gridded Design Style for Hybrid Optical and e-Beam Patterning
Henderson, Clifford Effect of Crosslinker Structure on a Novel Post-Development Strategy to Prevent Pattern Collapse in Photoresist Nanostructures
Understanding the Relationship Between True and Measured Resist Feature CD and LER using a Detailed SEM Simulator
Novel Designs for Non-Chemically Amplified Molecular Resists
Comparison of Positive Tone vs. Negative Tone Resist Pattern Collapse Behavior
Henry, Michael Silicon Nanowires Fabricated using FIB Implanted Gallium Etch Masks for Plasma Etching
Henslee, Brian Single Cell Poly e-caprolacton (PCL) Membrane Electroporation Device for Gene Delivery
Hesser, Guenter 3D Metamaterials made of Gold Fabricated by Nanoimprint Lithography
Hessman, Dan Fifteen-picoNewton Force Detection from Neural Growth Cones using Nanowire Arrays
Hewlett-Packard Highly Sensitive Surface-enhanced Raman Spectroscopy Sensors by 3-D Nanoimprint Lithography
Hicks, Bryan Tip-based Precise, Repeatable Etching of Highly Ordered Pyrolytic Graphite
High-Technologies, Hitachi Observation and Modeling of Asymmetric Carbon Contamination Growth on SFET-Exposed Mask
Hill, Ray An Analysis of Sub-Surface Beam Spread and its Impact on the Image Resolution of the Helium Ion Microscope
Hinds, Bruce Electro-Osmotic Flow Through Carbon Nanotube Membranes for Programmed Transdermal Drug Delivery
Hingerl, Kurt 3D Metamaterials made of Gold Fabricated by Nanoimprint Lithography
Hirai, Tomoyasu POSS-Containing Block Copolymer With High Etch Contrast For Directed Self-Assembly
Hirai, Yoshihiko Process Simulator for UV-Nanoimprint Lithography
(Invited) Research and Development on Process Science and CD Control in High-Throughput UV Nanoimprint
Impact of Wafer Deformation on Demolding Force for Thermal Imprint Process
Impact of Molecular Size on Resist Filling Process in Nanoimprint Lithography : Molecular Dynamics Study
Hiroshima, Hiroshi (Invited) Research and Development on Process Science and CD Control in High-Throughput UV Nanoimprint
Residual Layer Uniformity using Complementary Patterns to Compensate Pattern Density Variation in UV Nanoimprint Lithography
45 nm hp Line/Space Patterning into a Thin Spin Coat Film by UV Nanoimprint Based on Condensation
Hitachi Cross-Sectional Analysis of Resist Structures Derived from the Directed Assembly of Block Copolymers
Hite, J. Materials Issues Impacting GHz Devices from Epitaxial Graphene on SiC
Hoang, Hung Quang A High Resolution Low Noise Secondary Electron Spectrometer for IC Failure Analysis
Hochleitner, Gottfried Experimental Evaluation of Gas-Flux Distribution with Gas Injection Systems for Focused Beam Induced Deposition
Thermally Assisted Focused Electron Beam Induced Deposition
Electron Beam Induced Etching of Silicon using Chlorine Gas
Nanowire Synthesis on Catalyst Arrays Produced with Electron Beam Induced Deposition
Hocken, Robert (Invited) Positioning and Measuring at the Nanometric Level Over Macroscopic Distances
Holland, Martin C. A Low Damage Fully Self-Aligned Gate-Last Process for Fabricating sub-100 nm Gate Length Enhancement Mode GaAs MOSFETs
Holleitner, Alexander In situ characterization of Exposed e-beam Resist using Novel AFM Technique
Holzwarth, Charles Equivalent Phase-Shift Bragg Gratings on SOI using Optical Lithography
Absorbance-Modulation Interference Lithography Enhanced by a Planar Silver Lens
Hone, James (Invited) Biomolecular-Scale Engineering
Magnetically Actuated Elastomeric Pillars for Cellular Force Measurement
Honegger, Thibault Colloidal Optical Waveguides with Integrated Local Light Sources Built by Capillary Force Assembly
Rotation Speed Control of Janus Particles by Dielectrophoresis in a Microfluidic Channel
Hong, Daehoon Enhanced Magnetic Properties of Bit Patterned Magnetic Recording Media by Improved Magnetic Island Geometry
Hong, Mi Zeptomol Level Vibrational Spectroscopy of Proteins in Lithographically Engineered Plasmonic Nano-antenna Arrays
Hong, Seungbum Fabrication of Nanoscale BiFeO3 Thin Film-Based Capacitors using Combined Electron-Beam and Focused Ion-Beam Lithography
Horiba, Akira Process Simulator for UV-Nanoimprint Lithography
Hornung, Michael Customised Illumination for Process Window Optimisation and Yield Improvement in Mask Aligner Lithography Systems
Full-field Substrate Conformal Imprint Lithography (SCIL) on Mask Aligners
Horsley, David Fabrication of Large Arrays of Ordered 3D Nanocups for Plasmonic Applications
Hörtlackner, Michael Experimental Evaluation of Gas-Flux Distribution with Gas Injection Systems for Focused Beam Induced Deposition
Thermally Assisted Focused Electron Beam Induced Deposition
Hosseini, Amir (Invited) Three Dimensional Silicon-on-Insulator based Optical Phased Array for Agile and Large Angle Laser Beam Steering Systems
Howe, Roger Single-Step, Wafer-Scale, Hermetic Sealing Using Silicon Migration
HRL (Invited) Self-aligned Epitaxial Graphene MOSFETs with a Record Field-Effect Mobility of 6000 cm2/Vs on 50 mm Wafers
Hsu, Pen-Hsieh Silicon Nanobelt Field Effect Transistors Toward Hepatocellular Carcinoma Detection
Hsu, Yautzong Progress and Challenges in Fabrication of 1 Terabit/inch2 Bit Patterned Magnetic Media
Spectroscopic Ellipsometry Optical Critical Dimension Measurements of Template and Imprint Resist for Patterned Magnetic Media Applications
Hu, Chia-Yu Monte Carlo Study of Inelastic Scattering Models of Low Energy Electrons
Hu, M. (Invited) Self-aligned Epitaxial Graphene MOSFETs with a Record Field-Effect Mobility of 6000 cm2/Vs on 50 mm Wafers
Hu, Min Highly Sensitive Surface-enhanced Raman Spectroscopy Sensors by 3-D Nanoimprint Lithography
Hu, Walter Reliable Si Nanowire FETs Defined by Lithography for pH Sensing and Ultrasensitive Detection of Protein
Hole Mobility Enhancement by Chain Alignment in Imprinted P3HT Nanogratings for Organic Solar Cells
Nanostructured P3HT/C60 Solar Cells using Oblique Angle Thermal Deposition of C60 into Nanoimprinted P3HT Gratings
(Invited) High Performance Lithographically Defined Back-Gated Si-nanowire MOSFETs with sub-5 nm Channel Width
Hu, Wei Progress and Challenges in Fabrication of 1 Terabit/inch2 Bit Patterned Magnetic Media
Spectroscopic Ellipsometry Optical Critical Dimension Measurements of Template and Imprint Resist for Patterned Magnetic Media Applications
Hu, Wenchuang Massive Replication of Nanophotonic Crystals using Combined-Nanoimprint-and-Photolithography
Hu, Xin Single Cell Poly e-caprolacton (PCL) Membrane Electroporation Device for Gene Delivery
Hu, Ying Experimental and Simulation Studies on Raman-Enhancing Surface Features from Process-Engineered Substrates
Huang, Chieh-Chien Analysis of Fabrication Misalignment Effects in a MEMS-based Electron-Optical System Design for Direct-Write Lithography
Huang, Cyncia Silicon Nanobelt Field Effect Transistors Toward Hepatocellular Carcinoma Detection
Huang, Min Lift-off Free Nanofabrication of Suspended Plasmonic Nanohole Arrays To Overcome Mass Transport Limitations in Bio-Sensors
Huang, Mingyuan (Invited) Focused Ion Beam (FIB), E-beam lithography, and in-situ Microscopy in Investigating Mechanical Properties of Nano-Scale Materials
Hubert, Alexandre Very High Aspect Ratio Fabrication Process for Stacked Nanowire Transistors with Gate-All-Around (GAA) or Double-Gate (ΦFET)
Huebner-, Uwe 3D Metamaterials made of Gold Fabricated by Nanoimprint Lithography
Huh, Jin Woo Massive Replication of Nanophotonic Crystals using Combined-Nanoimprint-and-Photolithography
Huh, Sungmin (Invited) Wavelength Specific Reflections--A Decade of EUV Mask Inspection Research
Actinic Imaging and Evaluation of Phase Structures on EUV Lithography Masks
A Study of Extreme Ultraviolet Lithography Defectivity
Huq, Ejaz Surface Stiffness Modification by e-beam Irradiation for Stem Cell Growth Control
Application of Hydrogen Silsesquioxane (HSQ) as Etching Mask for Dense and Ultra-Sharp Silicon Tip Arrays
Hussain, Zahid Ambient Pressure Photoelectron Spectromicroscopy at Advanced Light Source
Hutchens, Shelby (Invited) Focused Ion Beam (FIB), E-beam lithography, and in-situ Microscopy in Investigating Mechanical Properties of Nano-Scale Materials
Huynh, Chuong Structure and Electrical Properties of Polymer Core-Shell Latex Systems Revealed by Helium Ion Microscopy
Hwu, Justin Spectroscopic Ellipsometry Optical Critical Dimension Measurements of Template and Imprint Resist for Patterned Magnetic Media Applications
Improvement of Accuracy of SEM Based Dimensional Metrology

I

Icard, Béatrice 5kV Multi Electron Beam Lithography: MAPPER Tool and Resist Process Characterization
Ide, Shouichi Electrical Properties of Transferred Nano Metal Pattern using Metal Oxide Release Layer Method
In, Hyun Jin Assembling Nanoparticle Catalysts with Nanospheres for Periodic Growth of Carbon Nanotube Arrays
Iojoiu, Cristina Impact of the Resist Properties on the Antisticking Layer Degradation in UV Nanoimprint Lithography
Iqbal, Zafar Carbon Nanotube Cellular Probes
Irmscher, Mathias Argon Ion Multi-Beam Nanopatterning of Ni-Cu Inserts for Injection Molding
Ishida, Yoshihito POSS-Containing Block Copolymer With High Etch Contrast For Directed Self-Assembly
Ishihara, Sunao The Piezoresistive Effect in the 3-D Diamond-Like Carbon Nanostructure Fabricated by Focused-Ion-Beam Chemical Vapor Deposition
Nanostructure Fabrication by Self-Assembly of Block Copolymer on 3-D DLC Structure
Vibration Characteristics of Monolayer Graphene Resonator
Ismach, Ariel Graphene Nanomeshes with Sub-10 nm Ribbon Width Fabricated via Nanoimprint Lithography in Combination with Block Copolymer Self-Assembly
Itani, Toshiro TBAH-based Developer Solutions in Extreme Ultra Violet Lithography
Ito, Hiroyuki Simulation of SEM Images Taking into Account Local and Global Electromagnetic Fields
Ivanchikov, Andrei Simulation of SEM Images Taking into Account Local and Global Electromagnetic Fields
Ivanov, Tzvetan Micromachined Scanning Proximal Probes with Integrated Piezoresistive Readout and Bimetal Actuator for High Eigenmode Operation
Iwasa, Masashi Electric-Field-Induced Superconductivity in Electric Double Layer Transistors
Iwasa, Masayuki Adhesion Force Evaluation of UV-Curable Nanoimprint Resins by Scanning Probe Microscopy with UV Irradiation System
Iwasa, Yoshihiro Electric-Field-Induced Superconductivity in Electric Double Layer Transistors

J

Jacobsen, Alan Microfluidic Dynamics in Micro-Scale Truss Structures Formed From Self-Propagating Photopolymer Waveguides for Heat Transfer Applications
Jain, Faquir Novel Lateral Size Reduction Technique to Fabricate sub-12 nm Si Integrated Circuits
Jang, Dongchan (Invited) Focused Ion Beam (FIB), E-beam lithography, and in-situ Microscopy in Investigating Mechanical Properties of Nano-Scale Materials
Jang, Jae-Hyung Surface Roughness and Resist Thickness Issues in Patterning of Ultra-Dense Lines in Hydrogen Silsesquioxane
Janus, Pawel Microfabricated Resistive High Sensitivity Nanoprobe for Scanning Thermal Microscopy
Jaroensri, Ronnachai Scaled-Up Optical Simulation of X-Ray Diffraction Microscopy
Jedrasik, Piotr Writing Strategies for sub-10nm Pattering Node
Jehl, Xavier Hybrid Lithography (E-beam/DUV) and Dedicated Etching Process for CMOS-compatible Monoelectronic Studies with Gates down to 12 nm
Jennings, Andrew (Invited) Focused Ion Beam (FIB), E-beam lithography, and in-situ Microscopy in Investigating Mechanical Properties of Nano-Scale Materials
Jeon, Jaeseok Experimental and Simulation Studies on Raman-Enhancing Surface Features from Process-Engineered Substrates
Jeong, Jun-Ho Fabrication of Si Nanotemplate using Nanosilver Colloids for Anti-Reflection Films.
Jernigan, G. (Invited) Self-aligned Epitaxial Graphene MOSFETs with a Record Field-Effect Mobility of 6000 cm2/Vs on 50 mm Wafers
Jernigan, G.G. Materials Issues Impacting GHz Devices from Epitaxial Graphene on SiC
Ji, Ran Full-field Substrate Conformal Imprint Lithography (SCIL) on Mask Aligners
Ji, Shengxiang Bi-Level Micro- and Nano-Patterning of Functional Electronic Oxides
Creation of Chemical Pre-patterns from Graphoepitaxially Assembled Block Copolymer Films by Molecular Transfer Printing
Jiang, An-Quan Characterization of Nanoembossed PZT Ferroelectric Films
Jin, Sungho Toward Successful Nanoimprint Mould Fabrication: Large Area Hexagonally Ordered Si Daughter Stamps by Guided Anodization
Long-Range Ordered Aluminum Oxide Nanotubes by Nanoimprint-Assisted Aluminum Film Surface Engineering
Enhanced Magnetic Properties of Bit Patterned Magnetic Recording Media by Improved Magnetic Island Geometry
Joechl, Peter Nanoimprint Lithography from CHARPAN Tool exposed Master Stamps with 12.5 nm hp
Argon Ion Multi-Beam Nanopatterning of Ni-Cu Inserts for Injection Molding
Johnson, Eric (Invited) Analog Lithography with Phase Masks in Projection Exposure Tools
Johnson, Jeremy Temporal Coherence Effects on a Low-Cost Interference Lithography System
Joshi-Imre, Alexandra Fabrication of Nanoscale BiFeO3 Thin Film-Based Capacitors using Combined Electron-Beam and Focused Ion-Beam Lithography
3-D Microfluidics Devices using Ion Beam Lithography
Focused Ion Beam-based Fabrication of sub-200 nm Permalloy Islands for Lorentz TEM
Joshi, Bhuwan Fabrication and Characterization of Metal-Dielectric-Metal (MDM) Nanoantennas
Joshi, Vishwanath Si Single Electron Transistor Fabricated by Chemical Mechanical Polishing
Ultrananocrystalline Diamond Nanowires Fabricated using Electron-Beam Lithography and Reactive Ion Etching
Nanofabrication of X-Ray Zone Plates using Ultrananocrystalline Diamond Molds and Electroforming
Jover, Roger Piqueras (Invited) Biomolecular-Scale Engineering
Biocompatible Surfaces with Locally Variable Rigidity
Joy, David (Invited) Re-Inventing the SEM - Electrons, Protons, and Ions
Ju, Byeong-Kwon Massive Replication of Nanophotonic Crystals using Combined-Nanoimprint-and-Photolithography
Jugessur, Aju A Tunable Optofluidic Nano-Bragg Microcavity Filter
Jun, David A Novel Concept for Producing High Brightness, Low Energy Spread Ion Beams from a Miniaturized Gas Ionization Chamber
Jung, Hyunchul Single Cell Poly e-caprolacton (PCL) Membrane Electroporation Device for Gene Delivery
Jung, Woo-Shik Semiconductor Crystal Islands for 3-Dimensional Integration
Jung, Yeon Sik Templated Self-Assembly of Block Copolymers for Linear and Square Arrays
Jung, Yeon-Sik Graphene Nanomeshes with Sub-10 nm Ribbon Width Fabricated via Nanoimprint Lithography in Combination with Block Copolymer Self-Assembly
Nanoimprint-Induced Molecular Stacking and Pattern Stabilization in Small-Molecular Organic Compounds for Photovoltaic Applications
Jung, Yeonwoong In situ TEM Investigation of Electrically-Driven Phase Change Behavior in Ge2Sb2Te5 Nanowire Memory Devices
Jurchescu, Oana D. Flip Chip Lamination Approach to Fabricate Ultrasmooth Metal Contacts for Organic-Based Electronic Devices

K

Kadowaki, Yasuhiro Observation and Modeling of Asymmetric Carbon Contamination Growth on SFET-Exposed Mask
Kafesa, Maria 3D Metamaterials made of Gold Fabricated by Nanoimprint Lithography
Kaiblinger, Kurt Argon Ion Multi-Beam Nanopatterning of Ni-Cu Inserts for Injection Molding
Kalkowski, Gerhard Assessing the Mask Clamping Ability of a Low Thermal Expansion Material Chuck
Kamins, Theodore Rapid Laser Crystallization of Semiconductors for Three-Dimensional Integration
Kamiya, Yasuhiro Assessment of Release Properties on UV-NIL using Nano-Scale High Aspect Ratio Mold
Kampherbeek, Bert Jan Throughput Enhancement Technique for Mapper Maskless Lithography
Kanda, Kazuhiro Nanoimprint Replication of Three-Dimensional Structure Fabricated by FIB-CVD
New Method of EB Exposure Stability using HSQ High-Resolution Negative Resist
Evaluating Mechanical Characteristics of Various Shape Nanosprings Fabricated by Focused-ion-beam Chemical Vapor Deposition
Durability Evaluation of Antisticking Layer by Step and Repeat UV Nanoimprinting
Adhesion Force Evaluation of UV-Curable Nanoimprint Resins by Scanning Probe Microscopy with UV Irradiation System
Kang, Huiman Cross-Sectional Analysis of Resist Structures Derived from the Directed Assembly of Block Copolymers
Directed Assembly of Cylinder-Forming Ternary Blend of Block Copolymer and their Respective Homopolymers on Chemical Patterns with Density Multiplication of Features
Kang, Myung-Gyu Surface Plasmon Enhanced Efficiency of Organic Solar Cells using Transparent Ag Nanowire Electrodes
Kang, Yuji Nanoimprint Replication of Three-Dimensional Structure Fabricated by FIB-CVD
New Method of EB Exposure Stability using HSQ High-Resolution Negative Resist
Evaluating Mechanical Characteristics of Various Shape Nanosprings Fabricated by Focused-ion-beam Chemical Vapor Deposition
Kanje, Martin Fifteen-picoNewton Force Detection from Neural Growth Cones using Nanowire Arrays
Kant, Rishi Single-Step, Wafer-Scale, Hermetic Sealing Using Silicon Migration
Kanwal, Alokik Carbon Nanotube Cellular Probes
Kaplan, Alex F. Ultra-High Aspect Ratio Silicon Dry-Etch Process
Kaplan, Alex Transmissive Color Filters Fabricated Using Pattern Transfer Lithography
Kaplan, David Zeptomol Level Vibrational Spectroscopy of Proteins in Lithographically Engineered Plasmonic Nano-antenna Arrays
Karrai, Khaled In situ characterization of Exposed e-beam Resist using Novel AFM Technique
Kasahara, Yuichi Electric-Field-Induced Superconductivity in Electric Double Layer Transistors
Kase, Yuduru Low Energy Ar+ ion Beam Machining of ULE® and CLEARCERAM® Substrates for TMT Primary Mirrors
Kast, Michael Nanoimprint Lithography from CHARPAN Tool exposed Master Stamps with 12.5 nm hp
Katase, Tetsuya Durability Evaluation of Antisticking Layer by Step and Repeat UV Nanoimprinting
Kawakami, Takanori Pitch Division by Selective Acid Quenching
Kawata, Hiroaki Process Simulator for UV-Nanoimprint Lithography
Impact of Wafer Deformation on Demolding Force for Thermal Imprint Process
Impact of Molecular Size on Resist Filling Process in Nanoimprint Lithography : Molecular Dynamics Study
Kehagias, Nikolaos Surface Emitting Lasers with Two-Dimensional Nanoimprinted Photonic Crystals
Exciton-Plasmon Coupling in Nanoimprinted Plasmonic Crystals for Light Extraction Coupling
Optimized Reactive Ion Etching for Fabrication of PhoXonic Crystals
Kehoe, Tim Exciton-Plasmon Coupling in Nanoimprinted Plasmonic Crystals for Light Extraction Coupling
Kekura, Mitsuru Novel Ozone-based Contamination Cleaning for EUV Optics
Kemper, Steve Patterning of Antibody-Coated Surfaces using Energetic Helium Ions
Kercher, Dan Geometrical Limitations for Ar Ion Beam Etching
Kern, Dieter P. Gold Nanocone Probes for Near-Field Scanning Optical Microscopy
Kern, Dieter P (Invited) Application Specific CVD Growth of Carbon Nanotubes
Kern, Dieter Structured Titanium Surfaces for Biomedical Applications
Keszler, Douglas A. Nanoimprint Method for All-Inorganic Resist for Photovoltaic Light-Trapping
Keszler, Douglas Photopatternable Inorganic Hardmask
Khalid, Ata Imprinted Quarter Wave Plate at Terahertz Frequency
Khine, Michelle (Invited) Shrink-Induced Nanofabrication
Khizroev, Sakhrat Fabrication and Characterization of Giant Magnetoresistive Biosensors for Cancer Diagnostics
Terabit-Per-Square-Inch Magnetic Bit Patterned Media With a 26-nm Pitch and a 9-nm Square Bit
Self-Limiting Low-Energy Glow Discharge Process for Close-Packed Non-Circular Pattern Generation
Khokhar, Ali K. Exciton-Plasmon Coupling in Nanoimprinted Plasmonic Crystals for Light Extraction Coupling
Khondaker, Saiful Directed Assembly of Solution Processed Single Walled Carbon Nanotubes via Dielectrophoresis: from Aligned Array to Individual Nanotube Devices
Khursheed, Anjam Design of Parallel Mass Detection using Focused Ion Beam Columns
A High Resolution Low Noise Secondary Electron Spectrometer for IC Failure Analysis
Kidd, Deborah Tuning Transport and Two-State Noise in Carbon Nanotubes using Precisely Controlled Electron Beam
Kiko, Meisyo Durability Evaluation of Antisticking Layer by Step and Repeat UV Nanoimprinting
Kim, Byung-Guk Optimization of Spatial Dose Distribution for Controlling Sidewall Shape in Electron-beam Lithography
Experiment-based Estimation of Point Spread Function in Electron-beam Lithography
Kim, Chulki (Invited) Mode-Locked Nanomechanical Electron Shuttles for Phase Coherent Frequency Conversion
Kim, Dae-Wook The Inspection of Open Defects in a TFT-LCD Panel by using Low Energy Electron Microcolumn
Kim, Ho Seob The Inspection of Open Defects in a TFT-LCD Panel by using Low Energy Electron Microcolumn
Kim, Hyun Chul SERS-Active Substrate Based on Gap Surface Plasmon Polaritons
Kim, Hyun-Mi Nanostamp with High Density and High Aspect Ratio Over 1 Tera Bit/Inch2
Kim, Jae Hak Understanding the Regrowth Mechanism of Multi-Walled Carbon Nanotube Forests
Kim, Jin-Yeol Toward Successful Nanoimprint Mould Fabrication: Large Area Hexagonally Ordered Si Daughter Stamps by Guided Anodization
Long-Range Ordered Aluminum Oxide Nanotubes by Nanoimprint-Assisted Aluminum Film Surface Engineering
Kim, Ju-Young (Invited) Focused Ion Beam (FIB), E-beam lithography, and in-situ Microscopy in Investigating Mechanical Properties of Nano-Scale Materials
Kim, Ki-Bum Nanostamp with High Density and High Aspect Ratio Over 1 Tera Bit/Inch2
Sub-10 nm Nanochannel Fabrications by Self-Sealing and Self-Limiting Atomic Layer Deposition
Kim, Kyung Hwan Understanding the Regrowth Mechanism of Multi-Walled Carbon Nanotube Forests
Kim, Moon (Invited) High Performance Lithographically Defined Back-Gated Si-nanowire MOSFETs with sub-5 nm Channel Width
Kim, Nak Hyun A Vision-Based Approach to Automated Analysis of Structure Boundaries in SEM Images
Kim, Ryoung-han Wafer Level Critical Dimension Control in Spacer Defined Double Patterning for sub-72 nm Pitch Logic Technology
Kim, S.-J. Fabrication of Nano SQUID in Multilayered Thin Film of Y123/P123 using Focused Ion Beam
Kim, Sang Jae Nano-scale Stack Fabrication on Thin Graphite Flake using Focused Ion Beam 3-D Etching Technique
Kim, Young Chul The Inspection of Open Defects in a TFT-LCD Panel by using Low Energy Electron Microcolumn
Kim, Young Heon TEM Study of Interface Between Silicon Substrate and Platinum Films made by Electron or Ion Beam-Induced Deposition
Kimura, Y. A Novel Scanning Electron Microscopy with Charging Control
Kini, Sumanth A Study of Extreme Ultraviolet Lithography Defectivity
Kirkendall, Christopher Controlled Surface Nanostructures for Performance-Analysis on Solid Support Fuel Cells
Kisielowski, C. Fabrication of Graphene and Graphenoid Two-dimensional Materials from Self-Assembled Monolayers
Kitano, Junichi (Invited) RLS Performance Tradeoffs for a Polymer Bound PAG EUV Resist
Klaus, David Controlling the Adhesion of Nanoscale Hydrogen Silsesquioxane Patterns
Klein, Mona J. K. Nanoporous SiN Membranes Patterned by Wafer-Scale Nanosphere Lithography
Kley, Ernst-Bernard 3D Metamaterials made of Gold Fabricated by Nanoimprint Lithography
Kley, Ernst-Bernhard Freestanding Photonic Crystal Membranes in Lithium Niobate fabricated by Ion-Beam Enhanced Etching
Klingfus, Joseph 3-D Microfluidics Devices using Ion Beam Lithography
Klug, Jeffrey A. Fabrication of Nanoscale BiFeO3 Thin Film-Based Capacitors using Combined Electron-Beam and Focused Ion-Beam Lithography
Klukowska, Anna Fabrication of Mesas with Micro- and Nanopatterned Surface Relief used as Working Stamps for Step & Stamp Imprint Lithography
Knuffman, B. A Focused Chromium Ion Beam
Knuffman, Brenton Lithium Ion Source for Focused Ion Beam Microscopy
Ko, Fu-Hsiang Silicon Nanobelt Field Effect Transistors Toward Hepatocellular Carcinoma Detection
Ko, Hyungduk Characterization of Less-Diffractive Nano-Scale Beam from a Ring Aperture Type Plasmonic Lens
Ko, Ki-Young Fabrication of Nanosphere Patterns by Using Micro-contact Transfer Printing
Kobayashi, Kei Facile Wide-Scale Defect Detection of UV-Nanoimprinted Resist Patterns by Fluorescent Microscopy
Koeck, Anton Argon Ion Multi-Beam Nanopatterning of Ni-Cu Inserts for Injection Molding
Koh, Ai Leen Patterning of sub-10-nm Metal Structures for Plasmonic Characterization
Koickal, Thomas MEMS Systems for Biomimetical Applications
Kojima, Shinichi (Invited) High-Current Electron Optical Design for REBL Direct Write Lithography
Kokkoris, George Plasma Directed Assembly and Organization: Effect of Plasma Processing Conditions on Order and Nanodot Dimensions
Koleske, Daniel Fabrication and Applications of Sub-Micron 2D/3D Periodic Carbon Structures
Kolodziejska, Joanna Microfluidic Dynamics in Micro-Scale Truss Structures Formed From Self-Propagating Photopolymer Waveguides for Heat Transfer Applications
Kometani, Reo The Piezoresistive Effect in the 3-D Diamond-Like Carbon Nanostructure Fabricated by Focused-Ion-Beam Chemical Vapor Deposition
Nanostructure Fabrication by Self-Assembly of Block Copolymer on 3-D DLC Structure
Vibration Characteristics of Monolayer Graphene Resonator
Kong, Jing Study of Transport Properties in Graphene Monolayer Flakes on SiO2 Substrates
Kong, Yunchuan Parallel Fabrication of down to 3nm Gaps in Metallic Nano-Antennas
Kontziampasis, Dimitrios Plasma Directed Assembly and Organization: Effect of Plasma Processing Conditions on Order and Nanodot Dimensions
Koop, Hans In situ characterization of Exposed e-beam Resist using Novel AFM Technique
Korivi, Naga Incorporation of Micro and Nano Scale Porosity on a Silicon Surface
Korre, Hasan Temporal Coherence Effects on a Low-Cost Interference Lithography System
Koshelev, K. Simulator for Electron Beam Lithography (EBL) of Nanostructures
Kostas, Christopher High Accuracy Electron Beam Model Development in MICHELLE: eBEAM
Kratschmer, Ernst Controlling the Adhesion of Nanoscale Hydrogen Silsesquioxane Patterns
Kreindl, Gerald Nanoimprint Lithography from CHARPAN Tool exposed Master Stamps with 12.5 nm hp
Kreindl, Gerald High Accuracy UV-NIL Step and Repeat Master Stamp Fabrication for Wafer-Level Camera Application
Kruit, Pieter Transmission Images of a 196 Beam Scanning Electron Microscope
Statistical Coulomb Forces In Electron Guns
Ultra Fast Electron Sources A New Conclusion
Ion-Induced Secondary Electron Yields and Simulation of Ion Imaging
A Novel Concept for Producing High Brightness, Low Energy Spread Ion Beams from a Miniaturized Gas Ionization Chamber
Ku, Zahyun Experimental Demonstration of Resonant Coupling to a Dipole Absorber Inside a Metamaterial: Hybridization of the Negative Index Response
Kuan, Chieh-Hsiung Beam Drift Detection using a Two-Dimensional Electron Beam Position Monitor System for Multiple-Electron-Beam–Direct-Write Lithography
10 nm Lines with 14 nm Half Pitch Grating Written in HSQ by Electron Beam Direct Write at 5 keV
Kubo, Shoichi Facile Wide-Scale Defect Detection of UV-Nanoimprinted Resist Patterns by Fluorescent Microscopy
Kulalert, Kanokwan Scaled-Up Optical Simulation of X-Ray Diffraction Microscopy
Kumar, Anil Cathodoluminescence Imaging of Plasmonic modes of Au Nanostructures
Kuo, David Progress and Challenges in Fabrication of 1 Terabit/inch2 Bit Patterned Magnetic Media
Spectroscopic Ellipsometry Optical Critical Dimension Measurements of Template and Imprint Resist for Patterned Magnetic Media Applications
Kuo, Yi-Hung Beam Drift Detection using a Two-Dimensional Electron Beam Position Monitor System for Multiple-Electron-Beam–Direct-Write Lithography
Kurataka, Nobuo Spectroscopic Ellipsometry Optical Critical Dimension Measurements of Template and Imprint Resist for Patterned Magnetic Media Applications
Kutkurezovic, Sasa Particle Beam Induced Fabrication of Nanoimprint Lithography Templates
Kwak, Kwang Joo Electrochemical Impedance Spectroscopy Study of Tethered Bilayer Lipid Membranes with Artificial Nanopores

L

LaFontaine, Bruno Table-top Microscope for the Actinic Aerial Imaging Inspection of Extreme Ultraviolet Lithography Masks
Lahiri, Soumendra Robust Estimation of Line Width Roughness (LWR) Parameters
Laine, Richard Organosilicate Materials for High Resolution Patterning using NIL and Self-Assembly
Lakshmanan, Shanmugamurthy Carbon Nanotube Cellular Probes
Lal, Amit Tip-based Precise, Repeatable Etching of Highly Ordered Pyrolytic Graphite
Nanometrology Optical Ruler Imaging System for Wafer-Scale Precision Metrology for Tip-Based Microscopes
Mask Technology for Self-Powered Electron Lithography with sub-35nm Resolution
Lamard, Nathalie Very High Aspect Ratio Fabrication Process for Stacked Nanowire Transistors with Gate-All-Around (GAA) or Double-Gate (ΦFET)
Lambson, Brian PEEM Studies of Coupled-Nanomagnet Systems
Langston, W Experimental Demonstration of Resonant Coupling to a Dipole Absorber Inside a Metamaterial: Hybridization of the Negative Index Response
Lanniel, Mathieu Surface Stiffness Modification by e-beam Irradiation for Stem Cell Growth Control
Latif, Rhonira MEMS Systems for Biomimetical Applications
Laursen, Thomas A Study of Extreme Ultraviolet Lithography Defectivity
Lausecker, Elisabeth Nanoimprint Lithography from CHARPAN Tool exposed Master Stamps with 12.5 nm hp
UV Nanoimprint Lithography and Mold Replication for the Site-Controlled Self-Assembly of Si/Ge Islands
Lawson, Richard Understanding the Relationship Between True and Measured Resist Feature CD and LER using a Detailed SEM Simulator
Novel Designs for Non-Chemically Amplified Molecular Resists
Lazic, Ivan Monte Carlo Simulations to Study FEBID and EBL Resolution Limits
Le Gac, Severine (Invited) From Cells-on-Chip Towards Lab-in-a-Cell
Lecarme, Olivier Colloidal Optical Waveguides with Integrated Local Light Sources Built by Capillary Force Assembly
Rotation Speed Control of Janus Particles by Dielectrophoresis in a Microfluidic Channel
Lee, Benjamin G. Nanoimprint Method for All-Inorganic Resist for Photovoltaic Light-Trapping
Lee, Beomseop Terabit-Per-Square-Inch Magnetic Bit Patterned Media With a 26-nm Pitch and a 9-nm Square Bit
Lee, Chih-Hsien A Novel Method to Fabricate Microlens Array with Normal Subwavelength Structures
Lee, Do-Joong Sub-10 nm Nanochannel Fabrications by Self-Sealing and Self-Limiting Atomic Layer Deposition
Lee, Gil Understanding the Regrowth Mechanism of Multi-Walled Carbon Nanotube Forests
Lee, GunHee The Inspection of Open Defects in a TFT-LCD Panel by using Low Energy Electron Microcolumn
Lee, Hwack Joo TEM Study of Interface Between Silicon Substrate and Platinum Films made by Electron or Ion Beam-Induced Deposition
Lee, JaeJong Fabrication of Ordered Nanospheres using a Combination of Nanoimprint Lithography and Controlled Dewetting
An Experimental Approach to Measurement and Reduction of Demolding Force in UV-Nanoimprint Lithography
Lee, Jaesun Surface Roughness and Resist Thickness Issues in Patterning of Ultra-Dense Lines in Hydrogen Silsesquioxane
Lee, James L. Electrochemical Impedance Spectroscopy Study of Tethered Bilayer Lipid Membranes with Artificial Nanopores
Lee, James Single Cell Poly e-caprolacton (PCL) Membrane Electroporation Device for Gene Delivery
Lee, Jeong-Bong Massive Replication of Nanophotonic Crystals using Combined-Nanoimprint-and-Photolithography
Lee, Kim Progress and Challenges in Fabrication of 1 Terabit/inch2 Bit Patterned Magnetic Media
Spectroscopic Ellipsometry Optical Critical Dimension Measurements of Template and Imprint Resist for Patterned Magnetic Media Applications
Lee, Min-Hyun Nanostamp with High Density and High Aspect Ratio Over 1 Tera Bit/Inch2
Sub-10 nm Nanochannel Fabrications by Self-Sealing and Self-Limiting Atomic Layer Deposition
Lee, Sang-Hee Optimization of Spatial Dose Distribution for Controlling Sidewall Shape in Electron-beam Lithography
Experiment-based Estimation of Point Spread Function in Electron-beam Lithography
Lee, Seung-Hyun Sub-10 nm Nanochannel Fabrications by Self-Sealing and Self-Limiting Atomic Layer Deposition
Lee, Shifu Spectroscopic Ellipsometry Optical Critical Dimension Measurements of Template and Imprint Resist for Patterned Magnetic Media Applications
Lee, Soo-Young Optimization of Spatial Dose Distribution for Controlling Sidewall Shape in Electron-beam Lithography
Experiment-based Estimation of Point Spread Function in Electron-beam Lithography
A Vision-Based Approach to Automated Analysis of Structure Boundaries in SEM Images
Lee, Soon-Won Fabrication of Si Nanotemplate using Nanosilver Colloids for Anti-Reflection Films.
Lee, Tao-Hua Hybrid Solar Cells Based on ZnO Nanoparticles and Nanorods
Lee, Y. C. The Dispersion of Localized Surface Plasmons in the Gold-Capped Silicon Nitride Rods Photonic Crystal Slab
Lee, Yen-Chun Si Single Electron Transistor Fabricated by Chemical Mechanical Polishing
Leeson, Michael High Transmission Pellicles for EUVL Reticle Protection
Lemmons, Tiffany (Invited) Positioning and Measuring at the Nanometric Level Over Macroscopic Distances
Lentine, Anthony (Invited) Silicon Photonics in High Performance Computing
Letzkus, Florian Argon Ion Multi-Beam Nanopatterning of Ni-Cu Inserts for Injection Molding
Leu, Joshua Sub-30-nm Patterning of Au dots on GaAs for Templated Nanowire Growth
Leveque, Gatean Exciton-Plasmon Coupling in Nanoimprinted Plasmonic Crystals for Light Extraction Coupling
Levinson, Harry Wafer Level Critical Dimension Control in Spacer Defined Double Patterning for sub-72 nm Pitch Logic Technology
Levush, Baruch High Accuracy Electron Beam Model Development in MICHELLE: eBEAM
Lexholm, Monica Fifteen-picoNewton Force Detection from Neural Growth Cones using Nanowire Arrays
Li, Huifeng Ordered Carbon Nanotube Deposition by Electrophoresis-Enhanced Self-Assembly
Li, Jianliang Reducing the Pattern Redundancy in OPC Modeling by Analyzing the Pattern Linearity
Li, Juntao Structure of Nanocomposites Grown By Electron Beam Induced Deposition
Li, Pengcheng Optimization of Spatial Dose Distribution for Controlling Sidewall Shape in Electron-beam Lithography
Li, Wen-Di Multiple Double-Nanoimprint Fabrication of Wafer-scale Nanopillar Array Mold with Varying Pillar Shape, Pillar density, and Pillar Spacing Without EBL
Nanoimprint Mold Fabrication by Quantum Lithography on Nanoimprinted Blanks
Li, Xu A Low Damage Fully Self-Aligned Gate-Last Process for Fabricating sub-100 nm Gate Length Enhancement Mode GaAs MOSFETs
Copper–Plated 50 nm T–Gate Fabrication
Li, Xuema Nanoscale 2- and 3-Terminal Resistive Switching Devices
Li, Zhiyong Highly Sensitive Surface-enhanced Raman Spectroscopy Sensors by 3-D Nanoimprint Lithography
Liang, Ted A Study of Extreme Ultraviolet Lithography Defectivity
Extreme Ultraviolet Mask Surface Cleaning Effects on Lithography Process Performance
Liang, Xiaogan Graphene Nanomeshes with Sub-10 nm Ribbon Width Fabricated via Nanoimprint Lithography in Combination with Block Copolymer Self-Assembly
Nanoimprint Mold Fabrication by Quantum Lithography on Nanoimprinted Blanks
Nanoimprint-Induced Molecular Stacking and Pattern Stabilization in Small-Molecular Organic Compounds for Photovoltaic Applications
Liberale, C. (Invited) 3D Adiabatic Compression of Plasmon Polariton for Nanomapping at 10 nm Resolution
Liddle, Alexander Measurement of Acid-Generated Latent Image in Polymer Matrix by Fluorescence Microscopy
Liddle, J. A. A Dry-on, Dry-off, Long Wavelength Photoresist for Nano-Plasmonic Field Metrologoy and Lithography
Liddle, J. Alexander Noise Measurements for Electron Beam Lithography
Lim, Kipil Nanostamp with High Density and High Aspect Ratio Over 1 Tera Bit/Inch2
Lin, Chun-Hung Monte Carlo Study of Inelastic Scattering Models of Low Energy Electrons
Lin, Shy-Jay Monte Carlo Study of Inelastic Scattering Models of Low Energy Electrons
Lin, Yu-Sheng Rapid Ablation of Polymer Film and Self-Aligned Formation Gold Nanoparticles by Localized Joule Heating
Lindner, Paul High Accuracy UV-NIL Step and Repeat Master Stamp Fabrication for Wafer-Level Camera Application
Linsmeier, Cecilia In-vivo Assessment of Nanowire Biocompatibility in the Rat Brain
Liou, Sy-Hwang Enhanced Magnetic Properties of Bit Patterned Magnetic Recording Media by Improved Magnetic Island Geometry
Litvinov, Dmitri Fabrication and Characterization of Giant Magnetoresistive Biosensors for Cancer Diagnostics
Terabit-Per-Square-Inch Magnetic Bit Patterned Media With a 26-nm Pitch and a 9-nm Square Bit
Self-Limiting Low-Energy Glow Discharge Process for Close-Packed Non-Circular Pattern Generation
Litvinov, Julia Fabrication and Characterization of Giant Magnetoresistive Biosensors for Cancer Diagnostics
Liu, Charlie Nanofabrication of SERS Device by an Integrated Block-Copolymer and Nanoimprint Lithography Method
Liu, Chi-Chun The Integration of Block Copolymer Directed Assembly with 193 Immersion Lithography
Evolution of Line Edge and Line Width Roughness in a Frequency Doubling Directed Self Assembly Process
Creation of Chemical Pre-patterns from Graphoepitaxially Assembled Block Copolymer Films by Molecular Transfer Printing
Liu, Chun-Hung Impacts of Point Spread Function Calibration Methods on Model-Based Proximity Effect Correction for Electron-Beam-Direct-Write Lithography
Liu, Fu-Ken Silicon Nanobelt Field Effect Transistors Toward Hepatocellular Carcinoma Detection
Liu, Guoliang Cross-Sectional Analysis of Resist Structures Derived from the Directed Assembly of Block Copolymers
In-Situ Characterization of Block Copolymer Density Multiplication on Chemically Patterned Surfaces by Coherent Small Angle X-ray Scattering
Liu, Kun Field Induced Shape Modification for the ZrO/W(100) Schottky Cathode
Liu, Ran Surface Stiffness Modification by e-beam Irradiation for Stem Cell Growth Control
Characterization of Nanoembossed PZT Ferroelectric Films
Liu, Yanwei Table-top Microscope for the Actinic Aerial Imaging Inspection of Extreme Ultraviolet Lithography Masks
Liu, Zhi Ambient Pressure Photoelectron Spectromicroscopy at Advanced Light Source
Livengood, Richard GFIS & LMIS Charged Particle Material Interaction Study for Semiconductor Nanomachining Applications
Stable Neon Emission from a Gas Field Ion Source
Liverale, C. 3D Adiabatic Compression of Plasmon Polariton for Nanomapping Below 10nm Resolution
Lo, Joanne Fabrication of Large Arrays of Ordered 3D Nanocups for Plasmonic Applications
Lobo, Charlene J. Gas-Mediated Electron and Ion Beam Induced Deposition using Ammonia as a Purification Medium
Lobo, Charlene Structure of Nanocomposites Grown By Electron Beam Induced Deposition
Loeschner, Hans Nanoimprint Lithography from CHARPAN Tool exposed Master Stamps with 12.5 nm hp
Argon Ion Multi-Beam Nanopatterning of Ni-Cu Inserts for Injection Molding
Löffler, Ronny (Invited) Application Specific CVD Growth of Carbon Nanotubes
Structured Titanium Surfaces for Biomedical Applications
Lombard, Christian Impact of the Resist Properties on the Antisticking Layer Degradation in UV Nanoimprint Lithography
Lora-Tamayo, Emilio Batch Wafer Fabrication of Passivated Carbon Nanotube Transistors for Electrochemical Sensing Applications
Lou, Gang (Invited) Molecularly Selective Nanopatterns using Nanoimprint Lithography: A Label-Free Sensor Architecture
Lovera, Pierre Surface Emitting Lasers with Two-Dimensional Nanoimprinted Photonic Crystals
Low, Hong Yee Patterning of sub-10-nm Metal Structures for Plasmonic Characterization
Loya, Mariana Long-Range Ordered Aluminum Oxide Nanotubes by Nanoimprint-Assisted Aluminum Film Surface Engineering
Lu, Bing-Rui Surface Stiffness Modification by e-beam Irradiation for Stem Cell Growth Control
Characterization of Nanoembossed PZT Ferroelectric Films
Lu, Wei Application of Hydrogen Silsesquioxane (HSQ) as Etching Mask for Dense and Ultra-Sharp Silicon Tip Arrays
Lu, Wu Single Cell Poly e-caprolacton (PCL) Membrane Electroporation Device for Gene Delivery
Electrochemical Impedance Spectroscopy Study of Tethered Bilayer Lipid Membranes with Artificial Nanopores
Optical Activities of Nanoscale Planar Spiral Nanotrenches in Titanium Films
Fabrication and Characterization of Metal-Dielectric-Metal (MDM) Nanoantennas
Lu, Yuerui Mask Technology for Self-Powered Electron Lithography with sub-35nm Resolution
Luck, David (Invited) Silicon Photonics in High Performance Computing
Lugstein, Alois Nanowire Synthesis on Catalyst Arrays Produced with Electron Beam Induced Deposition
Lundquist, Ted Novel Pulsed Spot Milling Technique to Extend Gallium Ion Beam Technology for Circuit Edit
Luo, Kang (Invited) Defect Inspection for High Volume Patterned Media
Luo, Xiangang Surface Plasmon Enhanced Efficiency of Organic Solar Cells using Transparent Ag Nanowire Electrodes
Luo, Yi Parallel Fabrication of down to 3nm Gaps in Metallic Nano-Antennas
Luther, Bradley Table-top Microscope for the Actinic Aerial Imaging Inspection of Extreme Ultraviolet Lithography Masks

M

Ma, Biwu Nanoimprint-Induced Molecular Stacking and Pattern Stabilization in Small-Molecular Organic Compounds for Photovoltaic Applications
Ma, Yong Imprinted Quarter Wave Plate at Terahertz Frequency
Maas, Diederik Platinum Nano-Pillar Growth by Helium Ion Beam Induced Deposition
Fine and Dense Nanofabrication with Helium Ion Beam Induced Deposition
Mabon, James Cathodoluminescence Imaging of Plasmonic modes of Au Nanostructures
Macintyre, Douglas S. A Low Damage Fully Self-Aligned Gate-Last Process for Fabricating sub-100 nm Gate Length Enhancement Mode GaAs MOSFETs
Macintyre, Douglas Linewidth Measurement for sub-10 nm Lithography
Maenhoudt, Mireille Mask Aligner Lithography Simulation
Maier, Stefan Patterning of sub-10-nm Metal Structures for Plasmonic Characterization
Makarewicz, Joseph Carbon Nanotube Field Emission Electron Gun Array for Micro-Column Scanning Electron Microsocopy and Maskless Lithography
Makarova, Olga Nanoporous Ultrananocrystalline Diamond Membranes
Makino, H. A Novel Scanning Electron Microscopy with Charging Control
Malic, Lidija Ultrasmooth, 3D Nanostructured Gold Films for Enhanced SPR Detection by Nanoimprint Lithography and Template Stripping
Malik, Tahir Novel Pulsed Spot Milling Technique to Extend Gallium Ion Beam Technology for Circuit Edit
Mancini, Derrick Nanowire-Arrays via Block Copolymer Lithography
Ultrananocrystalline Diamond Nanowires Fabricated using Electron-Beam Lithography and Reactive Ion Etching
Nanofabrication of X-Ray Zone Plates using Ultrananocrystalline Diamond Molds and Electroforming
Fabrication of PEG Hydrogel Particles for Pharmaceutics using Electron Beam and Optical Lithography
Chemical Changes during Exposure of ZEP 520A Electron Beam Resist
Manfrinato, Karl Vitor Electrochemical Development of Hydrogen Silsesquioxane
Manfrinato, Vitor Sub-5 keV Scanning-Electron-Beam Lithography
Metrology and Analysis of Sub-10-nm-Electron-Beam Lithography
Manners, Ian Templated Self-Assembly of Block Copolymers for Linear and Square Arrays
Manthena, R. V. Deep Subwavelength Patterning via Absorbance Modulation
Marconi, Mario Table-top Microscope for the Actinic Aerial Imaging Inspection of Extreme Ultraviolet Lithography Masks
Martin-Fernandez, Iñigo Batch Wafer Fabrication of Passivated Carbon Nanotube Transistors for Electrochemical Sensing Applications
Martin, Noel (Invited) High Brightness Plasma Ion Source Developments for Next Generation FIB and Surface Analysis
Martinez, Javier Large-Scale Nanopatterning of Single Proteins used as Carriers of Magnetic Nanoparticles
Martinez, Ramses Large-Scale Nanopatterning of Single Proteins used as Carriers of Magnetic Nanoparticles
Martz, Dale Table-top Microscope for the Actinic Aerial Imaging Inspection of Extreme Ultraviolet Lithography Masks
Masahiro, Shoji Writing Strategies for sub-10nm Pattering Node
Mastropaolo, Enrico MEMS Systems for Biomimetical Applications
Piezo-Electrically Driven Silicon Carbide Resonators
Mathur, Anurag (Invited) Biomolecular-Scale Engineering
Matison, Dmitri Simulation of SEM Images Taking into Account Local and Global Electromagnetic Fields
Matsue, Masahito Impact of Wafer Deformation on Demolding Force for Thermal Imprint Process
Matsui, Shinji (Invited) Research and Development on Process Science and CD Control in High-Throughput UV Nanoimprint
Nanoimprint Replication of Three-Dimensional Structure Fabricated by FIB-CVD
New Method of EB Exposure Stability using HSQ High-Resolution Negative Resist
Durability Evaluation of Antisticking Layer by Step and Repeat UV Nanoimprinting
Adhesion Force Evaluation of UV-Curable Nanoimprint Resins by Scanning Probe Microscopy with UV Irradiation System
Facile Wide-Scale Defect Detection of UV-Nanoimprinted Resist Patterns by Fluorescent Microscopy
Matusi, Shinji Evaluating Mechanical Characteristics of Various Shape Nanosprings Fabricated by Focused-ion-beam Chemical Vapor Deposition
Mayer, Andre (Invited) Convenience of T-NIL with Combined Processing
Positive Resists for a T-NIL / UVL Hybrid Lithography
Sputtering for an Etch-Free Lift-Off in T-NIL
Mayer, J. Fabrication of Graphene and Graphenoid Two-dimensional Materials from Self-Assembled Monolayers
McClelland, J.J. A Focused Chromium Ion Beam
McClelland, Jabez. J Lithium Ion Source for Focused Ion Beam Microscopy
McComb, David Patterning of sub-10-nm Metal Structures for Plasmonic Characterization
McCord, Mark (Invited) High-Current Electron Optical Design for REBL Direct Write Lithography
(Invited) REBL: A Maskless ebeam Direct Write Lithography Approach using the Reflective Electron Beam Lithography Concept
McIlwrath, Kevin Growth of Straight Crystal Silicon Nanowires on Nanopatterned Amorphous Substrate with Uniform Diameter and Length, Preferred Orientation, and Predetermined Location
McVey, Shawn GFIS & LMIS Charged Particle Material Interaction Study for Semiconductor Nanomachining Applications
Mecarini, F. 3D Adiabatic Compression of Plasmon Polariton for Nanomapping Below 10nm Resolution
(Invited) 3D Adiabatic Compression of Plasmon Polariton for Nanomapping at 10 nm Resolution
Mekaru, Harutaka Rapid Patterning of Spin-On-Glass using Ultrasonic Nanoimprint
Meliorisz, Balint Mask Aligner Lithography Simulation
Melngailis, John (Invited) Focused Ion Beam Nanofabrication - New Possibilities
Melvin, Lawrence Reducing the Pattern Redundancy in OPC Modeling by Analyzing the Pattern Linearity
A Non-Delta-Chrome OPC Methodology for Nonlinear Process Models
Menon, Rajesh Sub-Wavelength Optical Patterning via Optical-Saturable Transformations
Imaging Beyond the Resolution Limit with Far-Field Optics via Absorbance Modulation
Deep Subwavelength Patterning via Absorbance Modulation
Menoni, Carmen Table-top Microscope for the Actinic Aerial Imaging Inspection of Extreme Ultraviolet Lithography Masks
Meyers, Stephen T. Nanoimprint Method for All-Inorganic Resist for Photovoltaic Light-Trapping
Mickiewicz, Rafal A. Templated Self-Assembly of Block Copolymers for Linear and Square Arrays
Mielczarek, Kamil Hole Mobility Enhancement by Chain Alignment in Imprinted P3HT Nanogratings for Organic Solar Cells
Nanostructured P3HT/C60 Solar Cells using Oblique Angle Thermal Deposition of C60 into Nanoimprinted P3HT Gratings
Mikkelson, Andrew Assessing the Mask Clamping Ability of a Low Thermal Expansion Material Chuck
Local and Global Response of EUV Reticles due to Entrapped Particles during Exposure Chucking
Militsin, Vladimir Simulation of SEM Images Taking into Account Local and Global Electromagnetic Fields
Miller, Andy Mask Aligner Lithography Simulation
Miller, Charles Understanding the Regrowth Mechanism of Multi-Walled Carbon Nanotube Forests
Miller, Christina Nanoporous Ultrananocrystalline Diamond Membranes
Miller, Marshal Application of Kernel Convolution for Complementing Source Mask Optimization
Miller, Ron High Accuracy UV-NIL Step and Repeat Master Stamp Fabrication for Wafer-Level Camera Application
Mino, Hiroyuki Nanostructure Fabrication by Self-Assembly of Block Copolymer on 3-D DLC Structure
Mittal, Surbhi Multiple Double XTEM Sample Preparation of Site Specific Sub-10 nm Si Nanowires
Miura, Toshinori Novel Ozone-based Contamination Cleaning for EUV Optics
Miyake, Hiroto Adhesion Force Evaluation of UV-Curable Nanoimprint Resins by Scanning Probe Microscopy with UV Irradiation System
Miyamoto, Iwao Low Energy Ar+ ion Beam Machining of ULE® and CLEARCERAM® Substrates for TMT Primary Mirrors
Miyamoto, Yoshikazu Nanoimprint-Induced Molecular Stacking and Pattern Stabilization in Small-Molecular Organic Compounds for Photovoltaic Applications
Miyazawa, Yosuke Direct Transformation of a Resist Pattern into a Graphene FET Through Interfacial Graphitization of Liquid Gallium
Mkrtchyan, Masis High Accuracy Electron Beam Model Development in MICHELLE: eBEAM
Mochi, Iacopo (Invited) Wavelength Specific Reflections--A Decade of EUV Mask Inspection Research
Actinic Imaging and Evaluation of Phase Structures on EUV Lithography Masks
Extreme Ultraviolet Mask Substrate Surface Roughness Effects on Lithographic Patterning
Mohammad, Mohammad Ali Nano-Machining of Silicon Carbon Nitride Resonators Using Low Voltage Electron Beam Lithography and Cold Development
Moldovan, Nicolaie Nanoporous Ultrananocrystalline Diamond Membranes
Möllenbeck, Saskia (Invited) Convenience of T-NIL with Combined Processing
Positive Resists for a T-NIL / UVL Hybrid Lithography
Sputtering for an Etch-Free Lift-Off in T-NIL
Montagne, Franck Nanoporous SiN Membranes Patterned by Wafer-Scale Nanosphere Lithography
Montelius, Lars Fifteen-picoNewton Force Detection from Neural Growth Cones using Nanowire Arrays
(Invited) Molecularly Selective Nanopatterns using Nanoimprint Lithography: A Label-Free Sensor Architecture
In-vivo Assessment of Nanowire Biocompatibility in the Rat Brain
Montes, Laurent Diblock Copolymers Technology for Silicon Nanowires/Nanodots Elaboration
Moon, J. Materials Issues Impacting GHz Devices from Epitaxial Graphene on SiC
Moon, J.S. (Invited) Self-aligned Epitaxial Graphene MOSFETs with a Record Field-Effect Mobility of 6000 cm2/Vs on 50 mm Wafers
Moritz, Manuel Nanoimprint-Induced Molecular Stacking and Pattern Stabilization in Small-Molecular Organic Compounds for Photovoltaic Applications
Morton, Keith Ultrasmooth, 3D Nanostructured Gold Films for Enhanced SPR Detection by Nanoimprint Lithography and Template Stripping
Muehlberger, Michael Nanoimprint Lithography from CHARPAN Tool exposed Master Stamps with 12.5 nm hp
3D Metamaterials made of Gold Fabricated by Nanoimprint Lithography
Mueller, Michael In situ characterization of Exposed e-beam Resist using Novel AFM Technique
Mueller, Sandra Assessing the Mask Clamping Ability of a Low Thermal Expansion Material Chuck
Mühlberger, Michael UV Nanoimprint Lithography and Mold Replication for the Site-Controlled Self-Assembly of Si/Ge Islands
Mukaida, M. Fabrication of Nano SQUID in Multilayered Thin Film of Y123/P123 using Focused Ion Beam
Mukherjee, Pran Ultra-High Aspect Ratio Silicon Dry-Etch Process
Mulders, Johannes Electron Beam Induced Deposition of Gold using the Au(CO)Cl Precursor
Murali, Raghu Single-step, Complementary Doping of Graphene
Muray, Larry Blanking Characteristics of a Miniature Electron Beam Column
Murphy, Patrick Growth of Straight Crystal Silicon Nanowires on Nanopatterned Amorphous Substrate with Uniform Diameter and Length, Preferred Orientation, and Predetermined Location
Murray, Lynn Microfluidics-assisted Photo Nanoimprint Lithography for the Formation of Cellular Bioimprints
Myers-Ward, R. (Invited) Self-aligned Epitaxial Graphene MOSFETs with a Record Field-Effect Mobility of 6000 cm2/Vs on 50 mm Wafers
Myers-Ward, R.L. Materials Issues Impacting GHz Devices from Epitaxial Graphene on SiC

N

Nafus, Kathleen (Invited) RLS Performance Tradeoffs for a Polymer Bound PAG EUV Resist
Nagai, Tomoki Pitch Division by Selective Acid Quenching
Nagaoka, Yoshinori Process Simulator for UV-Nanoimprint Lithography
Nagase, Masao Vibration Characteristics of Monolayer Graphene Resonator
Nagato, Keisuke Rapid Thermal Imprint of High-Aspect-Ratio Nanostructures with Dynamic Heating of Mold Surface
Direct Synthesis of Vertical a-Fe2O3 Nanowires from Sputtered Fe Thin Film
Nagpal, Umang Cross-Sectional Analysis of Resist Structures Derived from the Directed Assembly of Block Copolymers
Nakagawa, Masaru (Invited) Research and Development on Process Science and CD Control in High-Throughput UV Nanoimprint
Nakagawa, Masaru Facile Wide-Scale Defect Detection of UV-Nanoimprinted Resist Patterns by Fluorescent Microscopy
Nakai, Yasuki Nanoimprint Replication of Three-Dimensional Structure Fabricated by FIB-CVD
New Method of EB Exposure Stability using HSQ High-Resolution Negative Resist
Evaluating Mechanical Characteristics of Various Shape Nanosprings Fabricated by Focused-ion-beam Chemical Vapor Deposition
Nakao, Masayuki Rapid Thermal Imprint of High-Aspect-Ratio Nanostructures with Dynamic Heating of Mold Surface
Direct Synthesis of Vertical a-Fe2O3 Nanowires from Sputtered Fe Thin Film
Nam, Sung-Wook In situ TEM Investigation of Electrically-Driven Phase Change Behavior in Ge2Sb2Te5 Nanowire Memory Devices
Sub-10 nm Nanochannel Fabrications by Self-Sealing and Self-Limiting Atomic Layer Deposition
Nasrullah, Azeem Patterning of Antibody-Coated Surfaces using Energetic Helium Ions
Naulleau, Patrick P. Extreme Ultraviolet Mask Substrate Surface Roughness Effects on Lithographic Patterning
Naulleau, Patrick Extreme Ultraviolet Mask Surface Cleaning Effects on Lithography Process Performance
Nealey, Paul Bi-Level Micro- and Nano-Patterning of Functional Electronic Oxides
Cross-Sectional Analysis of Resist Structures Derived from the Directed Assembly of Block Copolymers
Directed Assembly of Cylinder-Forming Ternary Blend of Block Copolymer and their Respective Homopolymers on Chemical Patterns with Density Multiplication of Features
The Integration of Block Copolymer Directed Assembly with 193 Immersion Lithography
(Invited) Multiscale Modeling of Block Copolymer Directed Assembly and its Application to Sub-Lithographic Patterning
In-Situ Characterization of Block Copolymer Density Multiplication on Chemically Patterned Surfaces by Coherent Small Angle X-ray Scattering
Evolution of Line Edge and Line Width Roughness in a Frequency Doubling Directed Self Assembly Process
Creation of Chemical Pre-patterns from Graphoepitaxially Assembled Block Copolymer Films by Molecular Transfer Printing
Nanofabrication of SERS Device by an Integrated Block-Copolymer and Nanoimprint Lithography Method
Nemeth, William Nanoimprint Method for All-Inorganic Resist for Photovoltaic Light-Trapping
Neureuther, Andrew Compensation Methods for Buried Defects in Extreme Ultraviolet Lithography Masks
Application of Kernel Convolution for Complementing Source Mask Optimization
Nezich, Daniel Study of Transport Properties in Graphene Monolayer Flakes on SiO2 Substrates
Ng, Hoi-Tou Beam Drift Detection using a Two-Dimensional Electron Beam Position Monitor System for Multiple-Electron-Beam–Direct-Write Lithography
Impacts of Point Spread Function Calibration Methods on Model-Based Proximity Effect Correction for Electron-Beam-Direct-Write Lithography
Ng, Philip C. W. A Non-Delta-Chrome OPC Methodology for Nonlinear Process Models
Ng, Philip Impacts of Point Spread Function Calibration Methods on Model-Based Proximity Effect Correction for Electron-Beam-Direct-Write Lithography
Nguyen, Cattien Carbon Nanotube Field Emission Electron Gun Array for Micro-Column Scanning Electron Microsocopy and Maskless Lithography
An Empirical Study of Field Emission Properties of a Single Toroid Carbon Nanotube Pillar Electron Source
Nicolas, Alice Fabrication of 3D Structures for the Assessment of Cell Mechanical Interactions within Cell Monolayers
Niekrewicz, Brian A Study of Extreme Ultraviolet Lithography Defectivity
Nielson, Gregory (Invited) Silicon Photonics in High Performance Computing
Niemann, Darrell Carbon Nanotube Field Emission Electron Gun Array for Micro-Column Scanning Electron Microsocopy and Maskless Lithography
An Empirical Study of Field Emission Properties of a Single Toroid Carbon Nanotube Pillar Electron Source
Nill, Peter Gold Nanocone Probes for Near-Field Scanning Optical Microscopy
Structured Titanium Surfaces for Biomedical Applications
Nishi, Yoshio Nano-Bridge FET Array for DNA Hybridization Detection
Nishijima, Takahiro Electric-Field-Induced Superconductivity in Electric Double Layer Transistors
Nishiyama, Iwao Novel Ozone-based Contamination Cleaning for EUV Optics
Observation and Modeling of Asymmetric Carbon Contamination Growth on SFET-Exposed Mask
Nishiyama, Yasushi Observation and Modeling of Asymmetric Carbon Contamination Growth on SFET-Exposed Mask
Nock, Volker Microfluidics-assisted Photo Nanoimprint Lithography for the Formation of Cellular Bioimprints
Noga, David Effect of Crosslinker Structure on a Novel Post-Development Strategy to Prevent Pattern Collapse in Photoresist Nanostructures
Comparison of Positive Tone vs. Negative Tone Resist Pattern Collapse Behavior
Noh, Kunbae Toward Successful Nanoimprint Mould Fabrication: Large Area Hexagonally Ordered Si Daughter Stamps by Guided Anodization
Long-Range Ordered Aluminum Oxide Nanotubes by Nanoimprint-Assisted Aluminum Film Surface Engineering
Nojeh, Alireza Monte Carlo Modeling of Electron Backscattering from Carbon Nanotube Forests
Nottbohm, C.T. Fabrication of Graphene and Graphenoid Two-dimensional Materials from Self-Assembled Monolayers
Notte, John An Analysis of Sub-Surface Beam Spread and its Impact on the Image Resolution of the Helium Ion Microscope
GFIS & LMIS Charged Particle Material Interaction Study for Semiconductor Nanomachining Applications
Stable Neon Emission from a Gas Field Ion Source
Nygård, Kim In-Situ Characterization of Block Copolymer Density Multiplication on Chemically Patterned Surfaces by Coherent Small Angle X-ray Scattering

O

O’Reilly, Thomas Imaging Beyond the Resolution Limit with Far-Field Optics via Absorbance Modulation
Ocola, Leonidas 3-D Microfluidics Devices using Ion Beam Lithography
Ultrananocrystalline Diamond Nanowires Fabricated using Electron-Beam Lithography and Reactive Ion Etching
Nanofabrication of X-Ray Zone Plates using Ultrananocrystalline Diamond Molds and Electroforming
Chemical Changes during Exposure of ZEP 520A Electron Beam Resist
Ofuonye, Benedict Surface Roughness and Resist Thickness Issues in Patterning of Ultra-Dense Lines in Hydrogen Silsesquioxane
Ogata, Toshiyuki Pitch Division by Selective Acid Quenching
Ogletree, Frank Manipulating the Local Symmetry and Geometry of Bowtie Optical Antennae for Controlling Spectral Properties while Maintaining the Near-Field Enhancement
Oh, Tae-Sik The Inspection of Open Defects in a TFT-LCD Panel by using Low Energy Electron Microcolumn
Oh, Young Long-Range Ordered Aluminum Oxide Nanotubes by Nanoimprint-Assisted Aluminum Film Surface Engineering
Enhanced Magnetic Properties of Bit Patterned Magnetic Recording Media by Improved Magnetic Island Geometry
Ohta, H. A Novel Scanning Electron Microscopy with Charging Control
Okada, Makoto Nanoimprint Replication of Three-Dimensional Structure Fabricated by FIB-CVD
New Method of EB Exposure Stability using HSQ High-Resolution Negative Resist
Evaluating Mechanical Characteristics of Various Shape Nanosprings Fabricated by Focused-ion-beam Chemical Vapor Deposition
Durability Evaluation of Antisticking Layer by Step and Repeat UV Nanoimprinting
Adhesion Force Evaluation of UV-Curable Nanoimprint Resins by Scanning Probe Microscopy with UV Irradiation System
Olynick, Deirdre Graphene Nanomeshes with Sub-10 nm Ribbon Width Fabricated via Nanoimprint Lithography in Combination with Block Copolymer Self-Assembly
Nanoimprint-Induced Molecular Stacking and Pattern Stabilization in Small-Molecular Organic Compounds for Photovoltaic Applications
Methylsilsesquioxane (MSQ) - A Novel Resist for Electron Beam Lithography
Omenetto, Fiorenzo Zeptomol Level Vibrational Spectroscopy of Proteins in Lithographically Engineered Plasmonic Nano-antenna Arrays
Ominami, Y. A Novel Scanning Electron Microscopy with Charging Control
Omoto, Shinya Nanoimprint Replication of Three-Dimensional Structure Fabricated by FIB-CVD
New Method of EB Exposure Stability using HSQ High-Resolution Negative Resist
Ono, Susumu 10 nm Lines with 14 nm Half Pitch Grating Written in HSQ by Electron Beam Direct Write at 5 keV
Orloff, Jon Lithium Ion Source for Focused Ion Beam Microscopy
Orlov, Alexei O. Fabrication of Platinum Single-Electron Transistors with Tunnel Barriers Made by Atomic Layer Deposition
Orlov, Alexei Experimental Demonstration of Hybrid SET-CMOS Circuits
Si Single Electron Transistor Fabricated by Chemical Mechanical Polishing
Oscar, Vazquez-Mena Nanoporous SiN Membranes Patterned by Wafer-Scale Nanosphere Lithography
Ostrizinski, Ute Direct Write Laser at Visible Wavelength for Patterning of High Aspect Ratio Epoxy Materials
Ou, Fung Suong Highly Sensitive Surface-enhanced Raman Spectroscopy Sensors by 3-D Nanoimprint Lithography
Overcash, Jerald (Invited) Positioning and Measuring at the Nanometric Level Over Macroscopic Distances
Overzet, Lawrence Understanding the Regrowth Mechanism of Multi-Walled Carbon Nanotube Forests
Ovtchinnikov, Serguei High Accuracy Electron Beam Model Development in MICHELLE: eBEAM
Oxland, Richard K Copper–Plated 50 nm T–Gate Fabrication

P

Pahlovy, S.A. Low Energy Ar+ ion Beam Machining of ULE® and CLEARCERAM® Substrates for TMT Primary Mirrors
Pain, Laurent 5kV Multi Electron Beam Lithography: MAPPER Tool and Resist Process Characterization
Palacios, Edgar 3-D Microfluidics Devices using Ion Beam Lithography
Palacios, Tomas Study of Transport Properties in Graphene Monolayer Flakes on SiO2 Substrates
Palma, Matteo (Invited) Biomolecular-Scale Engineering
Lithographically Driven Nanoscale Assembly of DNA Nanostructures
Papadimitrakopoulos, Fotios Novel Lateral Size Reduction Technique to Fabricate sub-12 nm Si Integrated Circuits
Papenheim, Marc Sputtering for an Etch-Free Lift-Off in T-NIL
Pareta, Rajesh Understanding Biology Through Nanostructured Interfaces
Pargon, Erwine Real Time Scatterometry for 193 nm Photoresist Trimming Monitoring: Influence of the Refractive Index Modification on CD Accuracy
Parizi, Kosar Nano-Bridge FET Array for DNA Hybridization Detection
Park, Byong Chon TEM Study of Interface Between Silicon Substrate and Platinum Films made by Electron or Ion Beam-Induced Deposition
Park, Hui Joon Surface Plasmon Enhanced Efficiency of Organic Solar Cells using Transparent Ag Nanowire Electrodes
Park, Jin-Hong Semiconductor Crystal Islands for 3-Dimensional Integration
Park, Moonkyu Fabrication of Nanoscale BiFeO3 Thin Film-Based Capacitors using Combined Electron-Beam and Focused Ion-Beam Lithography
Park, Sang-Su Fabrication of Nanosphere Patterns by Using Micro-contact Transfer Printing
Park, Seong-Je Fabrication of Si Nanotemplate using Nanosilver Colloids for Anti-Reflection Films.
Park, Sunggook An Experimental Approach to Measurement and Reduction of Demolding Force in UV-Nanoimprint Lithography
Park, Yoon Chang TEM Study of Interface Between Silicon Substrate and Platinum Films made by Electron or Ion Beam-Induced Deposition
Patel, Kedar Evolution of Line Edge and Line Width Roughness in a Frequency Doubling Directed Self Assembly Process
Robust Estimation of Line Width Roughness (LWR) Parameters
Patil, Deepak Assessment of IP Error Compensation Techniques for EUVL
Patlolla, Anitha Carbon Nanotube Cellular Probes
Pauliac-Vaujour, Sebastien Very High Aspect Ratio Fabrication Process for Stacked Nanowire Transistors with Gate-All-Around (GAA) or Double-Gate (ΦFET)
Hybrid Lithography (E-beam/DUV) and Dedicated Etching Process for CMOS-compatible Monoelectronic Studies with Gates down to 12 nm
Pease, Fabian Rapid Laser Crystallization of Semiconductors for Three-Dimensional Integration
Algorithmic Reconstruction Methods in Diffraction Microscopy using a Priori Information
Semiconductor Crystal Islands for 3-Dimensional Integration
Pease, R. Fabian W. Coherent Diffractive Imaging for Extended Samples with a Pre-defined Illumination Pattern
Pease, R. Fabian Scaled-Up Optical Simulation of X-Ray Diffraction Microscopy
Peckerar, Martin Surface Plasmon and Geometry Enhanced Asymmetric Rectifying Tunneling Diodes
Pei, Ting-Han Analysis of Fabrication Misalignment Effects in a MEMS-based Electron-Optical System Design for Direct-Write Lithography
Beam Drift Detection using a Two-Dimensional Electron Beam Position Monitor System for Multiple-Electron-Beam–Direct-Write Lithography
Penciu, Raluca 3D Metamaterials made of Gold Fabricated by Nanoimprint Lithography
Peng, Tz-Shiuan Fabrication of Binary Phase Grating on the Fiber End by using Two- Beam Interference Lithography
Penzo, Erika (Invited) Biomolecular-Scale Engineering
Lithographically Driven Nanoscale Assembly of DNA Nanostructures
Pépin-Donat, Brigitte Impact of the Resist Properties on the Antisticking Layer Degradation in UV Nanoimprint Lithography
Perera, Ginusha Noise Measurements for Electron Beam Lithography
Perez-Murano, Francesc Batch Wafer Fabrication of Passivated Carbon Nanotube Transistors for Electrochemical Sensing Applications
Peroz, Christophe Step and Repeat UV Nanoimprint Lithography with sub-15 nm Resolution and sub-5 nm Residual Layer Thickness
Fabrication of High Resolution Optical Spectrometer-on-Chip
Perozziello, Eric Rapid Laser Crystallization of Semiconductors for Three-Dimensional Integration
Pertsch, Thomas 3D Metamaterials made of Gold Fabricated by Nanoimprint Lithography
Petford-Long, Amanda K. Focused Ion Beam-based Fabrication of sub-200 nm Permalloy Islands for Lorentz TEM
Petillo, John High Accuracy Electron Beam Model Development in MICHELLE: eBEAM
Peto, Lloyd 3-D Microfluidics Devices using Ion Beam Lithography
Petric, Paul (Invited) High-Current Electron Optical Design for REBL Direct Write Lithography
(Invited) REBL: A Maskless ebeam Direct Write Lithography Approach using the Reflective Electron Beam Lithography Concept
Pettersson, Lina In-vivo Assessment of Nanowire Biocompatibility in the Rat Brain
Peyrade, David Colloidal Optical Waveguides with Integrated Local Light Sources Built by Capillary Force Assembly
Rotation Speed Control of Janus Particles by Dielectrophoresis in a Microfluidic Channel
Fabrication of 3D Structures for the Assessment of Cell Mechanical Interactions within Cell Monolayers
Pfeiffer, Karl Direct Write Laser at Visible Wavelength for Patterning of High Aspect Ratio Epoxy Materials
Phatak, Charudatta Focused Ion Beam-based Fabrication of sub-200 nm Permalloy Islands for Lorentz TEM
Phillips, Matthew Effect of Precursor Sticking Coefficient on Electron Beam Induced Deposition and Etching
Physics, Oregon (Invited) High Brightness Plasma Ion Source Developments for Next Generation FIB and Surface Analysis
Pianetta, Piero Algorithmic Reconstruction Methods in Diffraction Microscopy using a Priori Information
Coherent Diffractive Imaging for Extended Samples with a Pre-defined Illumination Pattern
Pickard, Dan Rapid Laser Crystallization of Semiconductors for Three-Dimensional Integration
Pickett, Matthew Nanoscale 2- and 3-Terminal Resistive Switching Devices
Pierre, Mathieu Hybrid Lithography (E-beam/DUV) and Dedicated Etching Process for CMOS-compatible Monoelectronic Studies with Gates down to 12 nm
Pinedo-Rivera, Tatiana Colloidal Optical Waveguides with Integrated Local Light Sources Built by Capillary Force Assembly
Pipelka, Friedrich Argon Ion Multi-Beam Nanopatterning of Ni-Cu Inserts for Injection Molding
Platzgummer, Elmar Nanoimprint Lithography from CHARPAN Tool exposed Master Stamps with 12.5 nm hp
Argon Ion Multi-Beam Nanopatterning of Ni-Cu Inserts for Injection Molding
Pollock, Clifford Tip-based Precise, Repeatable Etching of Highly Ordered Pyrolytic Graphite
Nanometrology Optical Ruler Imaging System for Wafer-Scale Precision Metrology for Tip-Based Microscopes
Polsky, Ronen Fabrication and Applications of Sub-Micron 2D/3D Periodic Carbon Structures
Popova, Vera Organosilicate Materials for High Resolution Patterning using NIL and Self-Assembly
Postma, Ferry Throughput Enhancement Technique for Mapper Maskless Lithography
Potyrailo, Radislav Fabrication and Characterization of Ultrahigh Aspect Ratio vias in Gold using the Helium Ion Microscope
Poulain, Christophe Impact of the Resist Properties on the Antisticking Layer Degradation in UV Nanoimprint Lithography
Poutous, M. (Invited) Analog Lithography with Phase Masks in Projection Exposure Tools
Prager, Aaron Experimental Demonstration of Hybrid SET-CMOS Circuits
Premnath, Ramesh N. Fabrication of Nanoscale BiFeO3 Thin Film-Based Capacitors using Combined Electron-Beam and Focused Ion-Beam Lithography
Pret, Alessandro Vaglio (Invited) RLS Performance Tradeoffs for a Polymer Bound PAG EUV Resist
Previtali, Bernard Hybrid Lithography (E-beam/DUV) and Dedicated Etching Process for CMOS-compatible Monoelectronic Studies with Gates down to 12 nm
Prinz, Christelle N Fifteen-picoNewton Force Detection from Neural Growth Cones using Nanowire Arrays
Prinz, Christelle In-vivo Assessment of Nanowire Biocompatibility in the Rat Brain
Prodan, Camelia Carbon Nanotube Cellular Probes
Proietti, R. 3D Adiabatic Compression of Plasmon Polariton for Nanomapping Below 10nm Resolution
(Invited) 3D Adiabatic Compression of Plasmon Polariton for Nanomapping at 10 nm Resolution
Pshenay-Severin, Ekaterina 3D Metamaterials made of Gold Fabricated by Nanoimprint Lithography
Pud, Alexander Structure and Electrical Properties of Polymer Core-Shell Latex Systems Revealed by Helium Ion Microscopy
Pugin, Raphael Nanoporous SiN Membranes Patterned by Wafer-Scale Nanosphere Lithography

Q

Qi, Minghao Fast Turn-Around Time, Layer-by-Layer Fabrication of 3D Photonic Crystals
Fabrication of Silver Nano Slit Chain Waveguides for Surface Enhanced Raman Scattering
Smooth Suspended Silicon Nanowires for Light Emission Applications
Sub-10nm Lines using PMMA and HSQ Double Patterning
Dry Development of HSQ in Chlorine Plasma
Qu, Xin-Ping Characterization of Nanoembossed PZT Ferroelectric Films

R

Racine, Georges-Andre Direct Write Laser at Visible Wavelength for Patterning of High Aspect Ratio Epoxy Materials
Rack, Philip Platinum Nano-Pillar Growth by Helium Ion Beam Induced Deposition
Rad, Leili Baghaei Algorithmic Reconstruction Methods in Diffraction Microscopy using a Priori Information
Scaled-Up Optical Simulation of X-Ray Diffraction Microscopy
Rahman, Faridur Stable Neon Emission from a Gas Field Ion Source
Raith, 3-D Microfluidics Devices using Ion Beam Lithography
Raman, Mahmud An Empirical Study of Field Emission Properties of a Single Toroid Carbon Nanotube Pillar Electron Source
Ramanathan, Muruganathan Nanowire-Arrays via Block Copolymer Lithography
Ramaswamy, Vasu Local and Global Response of EUV Reticles due to Entrapped Particles during Exposure Chucking
Randolph, Steven J. Gas-Mediated Electron and Ion Beam Induced Deposition using Ammonia as a Purification Medium
Rangelow, Ivo W. Micromachined Scanning Proximal Probes with Integrated Piezoresistive Readout and Bimetal Actuator for High Eigenmode Operation
Rathsack, Benjamen (Invited) RLS Performance Tradeoffs for a Polymer Bound PAG EUV Resist
Raub, Alex The Integration of Block Copolymer Directed Assembly with 193 Immersion Lithography
Large Area 3D Photonic Crystals with Embedded Waveguides
Reboud, Vincent Surface Emitting Lasers with Two-Dimensional Nanoimprinted Photonic Crystals
Exciton-Plasmon Coupling in Nanoimprinted Plasmonic Crystals for Light Extraction Coupling
Optimized Reactive Ion Etching for Fabrication of PhoXonic Crystals
Redmond, Gareth Surface Emitting Lasers with Two-Dimensional Nanoimprinted Photonic Crystals
Regonda, Suresh Reliable Si Nanowire FETs Defined by Lithography for pH Sensing and Ultrasensitive Detection of Protein
Ren, Liping A Study of Extreme Ultraviolet Lithography Defectivity
Resnick, Douglas (Invited) Defect Inspection for High Volume Patterned Media
Retterer, Scott T. Nanostructured Silicon Membranes for Control of Molecular Transport
Retterer, Scott Understanding Biology Through Nanostructured Interfaces
Reyntjens, Steve Towards Automated Fabrication of 3D Photonic Devices by Focused Ion Beam
Ribaya, Bryan Carbon Nanotube Field Emission Electron Gun Array for Micro-Column Scanning Electron Microsocopy and Maskless Lithography
An Empirical Study of Field Emission Properties of a Single Toroid Carbon Nanotube Pillar Electron Source
Ribeiro, Gilberto Nanoscale 2- and 3-Terminal Resistive Switching Devices
Richter, Curt A. Flip Chip Lamination Approach to Fabricate Ultrasmooth Metal Contacts for Organic-Based Electronic Devices
Rio, David 5kV Multi Electron Beam Lithography: MAPPER Tool and Resist Process Characterization
Risse, Stefan Assessing the Mask Clamping Ability of a Low Thermal Expansion Material Chuck
Ritala, Mikko High Aspect Ratio HSQ Structures for X-ray Optics
Ritter, Daniel Mask Aligner Lithography Simulation
Ritz, Yvonne Microfabricated Resistive High Sensitivity Nanoprobe for Scanning Thermal Microscopy
Ro, Hyun Wook Organosilicate Materials for High Resolution Patterning using NIL and Self-Assembly
Roberts, Jeanette Pitch Division by Selective Acid Quenching
Robinson, J.A. Materials Issues Impacting GHz Devices from Epitaxial Graphene on SiC
Rocca, Jorge Table-top Microscope for the Actinic Aerial Imaging Inspection of Extreme Ultraviolet Lithography Masks
Roche, Benoit Hybrid Lithography (E-beam/DUV) and Dedicated Etching Process for CMOS-compatible Monoelectronic Studies with Gates down to 12 nm
Rödiger, Peter Experimental Evaluation of Gas-Flux Distribution with Gas Injection Systems for Focused Beam Induced Deposition
Thermally Assisted Focused Electron Beam Induced Deposition
Electron Beam Induced Etching of Silicon using Chlorine Gas
Nanowire Synthesis on Catalyst Arrays Produced with Electron Beam Induced Deposition
Romero-Vivas, Javier Surface Emitting Lasers with Two-Dimensional Nanoimprinted Photonic Crystals
Rooks, Michael Analysis of Electron-Beam Deflection Noise with Open-Source Software
Roper, Christopher Microfluidic Dynamics in Micro-Scale Truss Structures Formed From Self-Propagating Photopolymer Waveguides for Heat Transfer Applications
Rosenmann, Daniel Nanoporous Ultrananocrystalline Diamond Membranes
Ross, Caroline A. Templated Self-Assembly of Block Copolymers for Linear and Square Arrays
Rossnagel, Stephen M. Sub-10 nm Nanochannel Fabrications by Self-Sealing and Self-Limiting Atomic Layer Deposition
Roth, Z. (Invited) Analog Lithography with Phase Masks in Projection Exposure Tools
Roussel, Laurent Towards Automated Fabrication of 3D Photonic Devices by Focused Ion Beam
Ruan, Xiaodong Modelling and Experimental Investigation on Liquid Confinement in Immersion Lithography
Ruchhoeft, Paul Patterning of Antibody-Coated Surfaces using Energetic Helium Ions
Self-Limiting Low-Energy Glow Discharge Process for Close-Packed Non-Circular Pattern Generation
Ryu, Geunmin Surface Plasmon and Geometry Enhanced Asymmetric Rectifying Tunneling Diodes

S

Saha, Shimul C. Imprinted Quarter Wave Plate at Terahertz Frequency
Saini, S. Fabrication of Nano SQUID in Multilayered Thin Film of Y123/P123 using Focused Ion Beam
Saito, Takeshi Direct Transformation of a Resist Pattern into a Graphene FET Through Interfacial Graphitization of Liquid Gallium
Sakai, Nobuji Adhesion Force Evaluation of UV-Curable Nanoimprint Resins by Scanning Probe Microscopy with UV Irradiation System
Sakdinawat, Anne Table-top Microscope for the Actinic Aerial Imaging Inspection of Extreme Ultraviolet Lithography Masks
Salamasi, Farhad High Transmission Pellicles for EUVL Reticle Protection
Salem, Bassem Diblock Copolymers Technology for Silicon Nanowires/Nanodots Elaboration
Salemink, H.W.M. Cl2-based ICP Etching of Photonic Crystals for the Visible Spectrum in GaN and SiC
Salemink, Huub W.M. Fine and Dense Nanofabrication with Helium Ion Beam Induced Deposition
Salemink, Huub Platinum Nano-Pillar Growth by Helium Ion Beam Induced Deposition
Salhi, Billel Diblock Copolymers Technology for Silicon Nanowires/Nanodots Elaboration
Salmassi, Farhad Extreme Ultraviolet Mask Substrate Surface Roughness Effects on Lithographic Patterning
Samantaray, Chandan. B. Photopatterning 3-Amino-Propyl-Triethoxy-Silane (APTES) Self-Assembled Molecular Layers on Alumina
Samsuri, Fahmi Microfluidics-assisted Photo Nanoimprint Lithography for the Formation of Cellular Bioimprints
Samuelson, Lars Fifteen-picoNewton Force Detection from Neural Growth Cones using Nanowire Arrays
In-vivo Assessment of Nanowire Biocompatibility in the Rat Brain
Sanford, Colin A. Fine and Dense Nanofabrication with Helium Ion Beam Induced Deposition
Sanford, Colin An Analysis of Sub-Surface Beam Spread and its Impact on the Image Resolution of the Helium Ion Microscope
Sannomiya, Takumi Metallic Nanodot Arrays Fabricated by Stencil Lithography on SiO2 and Polymer Substrates
Sanquer, Marc Hybrid Lithography (E-beam/DUV) and Dedicated Etching Process for CMOS-compatible Monoelectronic Studies with Gates down to 12 nm
Santillan, Julius Joseph TBAH-based Developer Solutions in Extreme Ultra Violet Lithography
Sarov, Yanko Micromachined Scanning Proximal Probes with Integrated Piezoresistive Readout and Bimetal Actuator for High Eigenmode Operation
Sasaki, Mio Direct Transformation of a Resist Pattern into a Graphene FET Through Interfacial Graphitization of Liquid Gallium
Satapathy, D. In-Situ Characterization of Block Copolymer Density Multiplication on Chemically Patterned Surfaces by Coherent Small Angle X-ray Scattering
Sathyapalan, Amarachand Sub-Wavelength Optical Patterning via Optical-Saturable Transformations
Sato, Hidetoshi Observation and Modeling of Asymmetric Carbon Contamination Growth on SFET-Exposed Mask
Savu, Veronica Nanoporous SiN Membranes Patterned by Wafer-Scale Nanosphere Lithography
Flexible Membranes Improve Resolution in Stencil Lithography
Metallic Nanodot Arrays Fabricated by Stencil Lithography on SiO2 and Polymer Substrates
Schäfer, Christian Gold Nanocone Probes for Near-Field Scanning Optical Microscopy
Schardein, Gregory Focused Electron-Beam-Induced Deposition of Platinum and Gold Nanostructures from Aqueous Solutions
Schattenburg, Mark L. Ultra-High Aspect Ratio Silicon Dry-Etch Process
Scheer, Hella-Christin (Invited) Convenience of T-NIL with Combined Processing
Positive Resists for a T-NIL / UVL Hybrid Lithography
Sputtering for an Etch-Free Lift-Off in T-NIL
Scheer, Steven (Invited) RLS Performance Tradeoffs for a Polymer Bound PAG EUV Resist
Scherer, Axel Silicon Nanowires Fabricated using FIB Implanted Gallium Etch Masks for Plasma Etching
Schiavone, Patrick Real Time Scatterometry for 193 nm Photoresist Trimming Monitoring: Influence of the Refractive Index Modification on CD Accuracy
Schift, Helmut Fabrication of Ordered Nanospheres using a Combination of Nanoimprint Lithography and Controlled Dewetting
Fabrication of Mesas with Micro- and Nanopatterned Surface Relief used as Working Stamps for Step & Stamp Imprint Lithography
Schleunitz, Arne Fabrication of Ordered Nanospheres using a Combination of Nanoimprint Lithography and Controlled Dewetting
Fabrication of Mesas with Micro- and Nanopatterned Surface Relief used as Working Stamps for Step & Stamp Imprint Lithography
Schmid, Gerard (Invited) Defect Inspection for High Volume Patterned Media
Schmidt, Holger 3D Metamaterials made of Gold Fabricated by Nanoimprint Lithography
Schneider, Kerstin (Invited) Application Specific CVD Growth of Carbon Nanotubes
Schnurbusch, Daniel In situ characterization of Exposed e-beam Resist using Novel AFM Technique
Schoeftner, Rainer Nanoimprint Lithography from CHARPAN Tool exposed Master Stamps with 12.5 nm hp
3D Metamaterials made of Gold Fabricated by Nanoimprint Lithography
Schouenborg, Jens In-vivo Assessment of Nanowire Biocompatibility in the Rat Brain
Schrempel, Frank Freestanding Photonic Crystal Membranes in Lithium Niobate fabricated by Ion-Beam Enhanced Etching
Schuck, Jim Manipulating the Local Symmetry and Geometry of Bowtie Optical Antennae for Controlling Spectral Properties while Maintaining the Near-Field Enhancement
Schvartzman, Mark (Invited) Biomolecular-Scale Engineering
Schwind, Greg Field Induced Shape Modification for the ZrO/W(100) Schottky Cathode
Accurate Calculation of the Field Factor for the Schottky Cathode
Science, 4Wind An Empirical Study of Field Emission Properties of a Single Toroid Carbon Nanotube Pillar Electron Source
Scipioni, Larry Fine and Dense Nanofabrication with Helium Ion Beam Induced Deposition
Structure and Electrical Properties of Polymer Core-Shell Latex Systems Revealed by Helium Ion Microscopy
Fabrication and Characterization of Ultrahigh Aspect Ratio vias in Gold using the Helium Ion Microscope
Scott, Dane Novel Pulsed Spot Milling Technique to Extend Gallium Ion Beam Technology for Circuit Edit
Seagate Improvement of Accuracy of SEM Based Dimensional Metrology
Seo, Sungkyu Characterization of Less-Diffractive Nano-Scale Beam from a Ring Aperture Type Plasmonic Lens
Shao, Feng (Invited) Mask topography Induced Phase Effects and Wave Aberrations in Optical and EUV Lithography
Shearn, Michael Silicon Nanowires Fabricated using FIB Implanted Gallium Etch Masks for Plasma Etching
Sheetz, Michael (Invited) Biomolecular-Scale Engineering
Shekhar, Shashank Directed Assembly of Solution Processed Single Walled Carbon Nanotubes via Dielectrophoresis: from Aligned Array to Individual Nanotube Devices
Shen, Yu-Tian Impacts of Point Spread Function Calibration Methods on Model-Based Proximity Effect Correction for Electron-Beam-Direct-Write Lithography
Shen, Zhen-Kui Characterization of Nanoembossed PZT Ferroelectric Films
Sherlock, Tim Patterning of Antibody-Coated Surfaces using Energetic Helium Ions
Sheu, Jeng-Tzong Rapid Ablation of Polymer Film and Self-Aligned Formation Gold Nanoparticles by Localized Joule Heating
Shi, Chuan Pitch Division by Selective Acid Quenching
Shibata, Mayuko Process Simulator for UV-Nanoimprint Lithography
Shima, Darryl GFIS & LMIS Charged Particle Material Interaction Study for Semiconductor Nanomachining Applications
Shimotani, Hidekazu Electric-Field-Induced Superconductivity in Electric Double Layer Transistors
Shinada, H. A Novel Scanning Electron Microscopy with Charging Control
Shite, Hideo (Invited) RLS Performance Tradeoffs for a Polymer Bound PAG EUV Resist
Shroff, Yashesh High Transmission Pellicles for EUVL Reticle Protection
Shtokhamer, Roman High Accuracy Electron Beam Model Development in MICHELLE: eBEAM
Shyu, J. H. The Dispersion of Localized Surface Plasmons in the Gold-Capped Silicon Nitride Rods Photonic Crystal Slab
Sidler, Katrin Flexible Membranes Improve Resolution in Stencil Lithography
Metallic Nanodot Arrays Fabricated by Stencil Lithography on SiO2 and Polymer Substrates
Sidorkin, Vadim A. Monte Carlo Simulations to Study FEBID and EBL Resolution Limits
Sijbrandij, Sybren An Analysis of Sub-Surface Beam Spread and its Impact on the Image Resolution of the Helium Ion Microscope
Silan, Jeremy An Empirical Study of Field Emission Properties of a Single Toroid Carbon Nanotube Pillar Electron Source
Silver, Charlie Blanking Characteristics of a Miniature Electron Beam Column
Simpson, Michael Understanding Biology Through Nanostructured Interfaces
Sinclair, M. B. Fabrication Techniques for 3D Metamaterials in the Mid-infrared
Sinclair, Michael Experimental Demonstration of Resonant Coupling to a Dipole Absorber Inside a Metamaterial: Hybridization of the Negative Index Response
Sinha, Preetish Local and Global Response of EUV Reticles due to Entrapped Particles during Exposure Chucking
Sixt, Pierre Very High Aspect Ratio Fabrication Process for Stacked Nanowire Transistors with Gate-All-Around (GAA) or Double-Gate (ΦFET)
Skinner, Jack Fabrication of Large Arrays of Ordered 3D Nanocups for Plasmonic Applications
Nanofabrication of SERS Device by an Integrated Block-Copolymer and Nanoimprint Lithography Method
Slabbekoorn, John Mask Aligner Lithography Simulation
Sleight, Jefftey Multiple Double XTEM Sample Preparation of Site Specific Sub-10 nm Si Nanowires
Smayling, Michael (Invited) A 1D Gridded Design Style for Hybrid Optical and e-Beam Patterning
Smentkowski, Vincent Fabrication and Characterization of Ultrahigh Aspect Ratio vias in Gold using the Helium Ion Microscope
Smith, Bruce Photopatternable Inorganic Hardmask
Projection Lithography Below Lambda/7 through DUB Evanescent Optical Imaging
Smith, Daryl Platinum Nano-Pillar Growth by Helium Ion Beam Induced Deposition
Smith, Henry Sub-5 keV Scanning-Electron-Beam Lithography
Imaging Beyond the Resolution Limit with Far-Field Optics via Absorbance Modulation
Equivalent Phase-Shift Bragg Gratings on SOI using Optical Lithography
Smith, Leslie MEMS Systems for Biomimetical Applications
Smith, Noel (Invited) High Brightness Plasma Ion Source Developments for Next Generation FIB and Surface Analysis
Smolev, Svyatoslav Experimental Demonstration of Resonant Coupling to a Dipole Absorber Inside a Metamaterial: Hybridization of the Negative Index Response
Smyrnakis, Athanasios Plasma Directed Assembly and Organization: Effect of Plasma Processing Conditions on Order and Nanodot Dimensions
Snider, Gregory L. Fabrication of Platinum Single-Electron Transistors with Tunnel Barriers Made by Atomic Layer Deposition
Snider, Gregory Experimental Demonstration of Hybrid SET-CMOS Circuits
Si Single Electron Transistor Fabricated by Chemical Mechanical Polishing
Solak, Harun In-Situ Characterization of Block Copolymer Density Multiplication on Chemically Patterned Surfaces by Coherent Small Angle X-ray Scattering
Solano, Raymond (Invited) Biomolecular-Scale Engineering
Soles, Christopher Organosilicate Materials for High Resolution Patterning using NIL and Self-Assembly
Son, Il-Seok A Study of Extreme Ultraviolet Lithography Defectivity
Son, Jeong Gon Templated Self-Assembly of Block Copolymers for Linear and Square Arrays
Sotomayor-Torres, Clivia Optimized Reactive Ion Etching for Fabrication of PhoXonic Crystals
Soukoulis, C.M. 3D Metamaterials made of Gold Fabricated by Nanoimprint Lithography
Spallas, James Blanking Characteristics of a Miniature Electron Beam Column
Spanos, Costas Robust Estimation of Line Width Roughness (LWR) Parameters
Spreu, Christian Fabrication of Ordered Nanospheres using a Combination of Nanoimprint Lithography and Controlled Dewetting
Fabrication of Mesas with Micro- and Nanopatterned Surface Relief used as Working Stamps for Step & Stamp Imprint Lithography
Sreenivasan, S. V. (Invited) Defect Inspection for High Volume Patterned Media
Srijanto, Bernadeta R. Nanostructured Silicon Membranes for Control of Molecular Transport
Srinivasan, P. (Invited) Analog Lithography with Phase Masks in Projection Exposure Tools
Stamm, Boris (Invited) Application Specific CVD Growth of Carbon Nanotubes
Steele, A.V. A Focused Chromium Ion Beam
Steele, Adam V. Lithium Ion Source for Focused Ion Beam Microscopy
Stein, Gila Noise Measurements for Electron Beam Lithography
Patterning of Antibody-Coated Surfaces using Energetic Helium Ions
Steinhaus, Charles Nanofabrication of SERS Device by an Integrated Block-Copolymer and Nanoimprint Lithography Method
Stepanova, M. Simulator for Electron Beam Lithography (EBL) of Nanostructures
Stepanova, Maria Nano-Machining of Silicon Carbon Nitride Resonators Using Low Voltage Electron Beam Lithography and Cold Development
Stevens, Jeff Bi-Level Micro- and Nano-Patterning of Functional Electronic Oxides
Stokes, Paul Directed Assembly of Solution Processed Single Walled Carbon Nanotubes via Dielectrophoresis: from Aligned Array to Individual Nanotube Devices
Stosch, R. Fabrication of Graphene and Graphenoid Two-dimensional Materials from Self-Assembled Monolayers
Stowers, Jason Photopatternable Inorganic Hardmask
Strahan, J. R. A Dry-on, Dry-off, Long Wavelength Photoresist for Nano-Plasmonic Field Metrologoy and Lithography
Straw, Marcus Employing Reactive Gas-phase Etch Precursors to Mitigate Redeposition During Ultrashort Pulsed Laser Ablation
Strobel, Sebastian Controlled Surface Nanostructures for Performance-Analysis on Solid Support Fuel Cells
Electrochemical Development of Hydrogen Silsesquioxane
Stroup, Charles (Invited) Positioning and Measuring at the Nanometric Level Over Macroscopic Distances
Structures, Periodic (Invited) A 1D Gridded Design Style for Hybrid Optical and e-Beam Patterning
Studies, Advanced Optimized Reactive Ion Etching for Fabrication of PhoXonic Crystals
Stuen, Karl Directed Assembly of Cylinder-Forming Ternary Blend of Block Copolymer and their Respective Homopolymers on Chemical Patterns with Density Multiplication of Features
In-Situ Characterization of Block Copolymer Density Multiplication on Chemically Patterned Surfaces by Coherent Small Angle X-ray Scattering
Su, Hsin-Hung 10 nm Lines with 14 nm Half Pitch Grating Written in HSQ by Electron Beam Direct Write at 5 keV
Su, Zhenpeng Spectroscopic Ellipsometry Optical Critical Dimension Measurements of Template and Imprint Resist for Patterned Magnetic Media Applications
Sue, Hung-Jue Hybrid Solar Cells Based on ZnO Nanoparticles and Nanorods
Ordered Carbon Nanotube Deposition by Electrophoresis-Enhanced Self-Assembly
Suga, Osamu Novel Ozone-based Contamination Cleaning for EUV Optics
Observation and Modeling of Asymmetric Carbon Contamination Growth on SFET-Exposed Mask
Sumant, Anirudha Nanowire-Arrays via Block Copolymer Lithography
Ultrananocrystalline Diamond Nanowires Fabricated using Electron-Beam Lithography and Reactive Ion Etching
Nanofabrication of X-Ray Zone Plates using Ultrananocrystalline Diamond Molds and Electroforming
Sun, Jie Equivalent Phase-Shift Bragg Gratings on SOI using Optical Lithography
Sun, Kai Optical Activities of Nanoscale Planar Spiral Nanotrenches in Titanium Films
Fabrication and Characterization of Metal-Dielectric-Metal (MDM) Nanoantennas
Suthar, Kamlesh Fabrication of PEG Hydrogel Particles for Pharmaceutics using Electron Beam and Optical Lithography
Suyatin, Dmitry B Fifteen-picoNewton Force Detection from Neural Growth Cones using Nanowire Arrays
Suzuki, Makoto Simulation of SEM Images Taking into Account Local and Global Electromagnetic Fields
Swanson, Lyn Accurate Calculation of the Field Factor for the Schottky Cathode
Swanson, Lynwood Field Induced Shape Modification for the ZrO/W(100) Schottky Cathode
Szabo, Zs. Simulator for Electron Beam Lithography (EBL) of Nanostructures
Szmigiel, D. Microfabricated Resistive High Sensitivity Nanoprobe for Scanning Thermal Microscopy

T

Tada, Yasuhiko Cross-Sectional Analysis of Resist Structures Derived from the Directed Assembly of Block Copolymers
Density Multiplication by Directed Self-Assembly of Block Copolymer Binary Blends
POSS-Containing Block Copolymer With High Etch Contrast For Directed Self-Assembly
Taga, Akihiro Impact of Molecular Size on Resist Filling Process in Nanoimprint Lithography : Molecular Dynamics Study
Takagi, Noriaki Novel Ozone-based Contamination Cleaning for EUV Optics
Takahashi, Junki Assessment of Release Properties on UV-NIL using Nano-Scale High Aspect Ratio Mold
Takahashi, Masaharu Rapid Patterning of Spin-On-Glass using Ultrasonic Nanoimprint
Takamura, M. Fabrication of Nano SQUID in Multilayered Thin Film of Y123/P123 using Focused Ion Beam
Takenaka, Mikihito Density Multiplication by Directed Self-Assembly of Block Copolymer Binary Blends
Tan, Shida GFIS & LMIS Charged Particle Material Interaction Study for Semiconductor Nanomachining Applications
Stable Neon Emission from a Gas Field Ion Source
Tanaka, Toshihiko Observation and Modeling of Asymmetric Carbon Contamination Growth on SFET-Exposed Mask
Tanaka, Yusuke Observation and Modeling of Asymmetric Carbon Contamination Growth on SFET-Exposed Mask
Tang, Cha-Mei Nanoporous Ultrananocrystalline Diamond Membranes
Taniguchi, Jun Fabrication of Seamless Three-Dimensional Roll Mold using Electron Beam Direct Writing to Rotating Cylindrical Substrate
Electrical Properties of Transferred Nano Metal Pattern using Metal Oxide Release Layer Method
Assessment of Release Properties on UV-NIL using Nano-Scale High Aspect Ratio Mold
Tansarawiput, Chookiat Smooth Suspended Silicon Nanowires for Light Emission Applications
Thayne, Iain G. A Low Damage Fully Self-Aligned Gate-Last Process for Fabricating sub-100 nm Gate Length Enhancement Mode GaAs MOSFETs
Thayne, Iain G Copper–Plated 50 nm T–Gate Fabrication
Thiel, Brad Structure of Nanocomposites Grown By Electron Beam Induced Deposition
Thoms, Stephen Linewidth Measurement for sub-10 nm Lithography
A Low Damage Fully Self-Aligned Gate-Last Process for Fabricating sub-100 nm Gate Length Enhancement Mode GaAs MOSFETs
Tian, Ruhai Reliable Si Nanowire FETs Defined by Lithography for pH Sensing and Ultrasensitive Detection of Protein
Tiberio, Richard Analysis of Electron-Beam Deflection Noise with Open-Source Software
Tileli, Vasiliki Structure of Nanocomposites Grown By Electron Beam Induced Deposition
Tirado, Jose Maria Study of Transport Properties in Graphene Monolayer Flakes on SiO2 Substrates
Tolbert, Laren Effect of Crosslinker Structure on a Novel Post-Development Strategy to Prevent Pattern Collapse in Photoresist Nanostructures
Novel Designs for Non-Chemically Amplified Molecular Resists
Comparison of Positive Tone vs. Negative Tone Resist Pattern Collapse Behavior
Tone, Katsuhiko Durability Evaluation of Antisticking Layer by Step and Repeat UV Nanoimprinting
Torres, Clivia M. Sotomayor Surface Emitting Lasers with Two-Dimensional Nanoimprinted Photonic Crystals
Exciton-Plasmon Coupling in Nanoimprinted Plasmonic Crystals for Light Extraction Coupling
Toth, Milos Gas-Mediated Electron and Ion Beam Induced Deposition using Ammonia as a Purification Medium
Condensed Phase Electron Beam-Induced-Deposition: 3D Lithography and Growth Rate Enhancement using Cryogenic Cooling
Employing Reactive Gas-phase Etch Precursors to Mitigate Redeposition During Ultrashort Pulsed Laser Ablation
Structure of Nanocomposites Grown By Electron Beam Induced Deposition
Treiblmayr, Dominik Nanoimprint Lithography from CHARPAN Tool exposed Master Stamps with 12.5 nm hp
High Accuracy UV-NIL Step and Repeat Master Stamp Fabrication for Wafer-Level Camera Application
Trivedi, Krutarth (Invited) High Performance Lithographically Defined Back-Gated Si-nanowire MOSFETs with sub-5 nm Channel Width
Trompenaars, Piet Electron Beam Induced Deposition of Gold using the Au(CO)Cl Precursor
Trotter, Douglas (Invited) Silicon Photonics in High Performance Computing
Trumper, Dave (Invited) Positioning and Measuring at the Nanometric Level Over Macroscopic Distances
Tsai, Hsin-Yu Imaging Beyond the Resolution Limit with Far-Field Optics via Absorbance Modulation
Tsai, Jui-Chen Fabrication of Binary Phase Grating on the Fiber End by using Two- Beam Interference Lithography
Tsai, Kuen-Yu Analysis of Fabrication Misalignment Effects in a MEMS-based Electron-Optical System Design for Direct-Write Lithography
Beam Drift Detection using a Two-Dimensional Electron Beam Position Monitor System for Multiple-Electron-Beam–Direct-Write Lithography
Impacts of Point Spread Function Calibration Methods on Model-Based Proximity Effect Correction for Electron-Beam-Direct-Write Lithography
10 nm Lines with 14 nm Half Pitch Grating Written in HSQ by Electron Beam Direct Write at 5 keV
A Non-Delta-Chrome OPC Methodology for Nonlinear Process Models
Tseng, Ching-Tung Fabrication of Binary Phase Grating on the Fiber End by using Two- Beam Interference Lithography
Tsuno, N. A Novel Scanning Electron Microscopy with Charging Control
Tsunoda, Dai Writing Strategies for sub-10nm Pattering Node
Tsunoe, Hiroyuki Writing Strategies for sub-10nm Pattering Node
Tuchfeld, Eduard PEEM Studies of Coupled-Nanomagnet Systems
Tünnermann, Andreas Freestanding Photonic Crystal Membranes in Lithium Niobate fabricated by Ion-Beam Enhanced Etching
Turchanin, A. Fabrication of Graphene and Graphenoid Two-dimensional Materials from Self-Assembled Monolayers

U

Ueki, Ryuichi Direct Transformation of a Resist Pattern into a Graphene FET Through Interfacial Graphitization of Liquid Gallium
Ueno, Kazunori Electric-Field-Induced Superconductivity in Electric Double Layer Transistors
Ummethala, Upendra (Invited) REBL: A Maskless ebeam Direct Write Lithography Approach using the Reflective Electron Beam Lithography Concept
Unal, Nezih Mask Aligner Lithography Simulation

v

van de Laar, Robert Full-field Substrate Conformal Imprint Lithography (SCIL) on Mask Aligners
van de Peut, Ton Throughput Enhancement Technique for Mapper Maskless Lithography
Van de Veerdonk, Rene Progress and Challenges in Fabrication of 1 Terabit/inch2 Bit Patterned Magnetic Media
van der Drift, E. Cl2-based ICP Etching of Photonic Crystals for the Visible Spectrum in GaN and SiC
van der Drift, Emile W.J.M. Monte Carlo Simulations to Study FEBID and EBL Resolution Limits
van der Drift, Emile Fine and Dense Nanofabrication with Helium Ion Beam Induced Deposition
van der Krogt, M.C. Cl2-based ICP Etching of Photonic Crystals for the Visible Spectrum in GaN and SiC
van der Veen, J.F. In-Situ Characterization of Block Copolymer Density Multiplication on Chemically Patterned Surfaces by Coherent Small Angle X-ray Scattering
van Dommelen, Youri A Study of Extreme Ultraviolet Lithography Defectivity
van Dorp, Wilem F. Monte Carlo Simulations to Study FEBID and EBL Resolution Limits
van Nieuwstadt, Joris 5kV Multi Electron Beam Lithography: MAPPER Tool and Resist Process Characterization
van Veen, Alexander Throughput Enhancement Technique for Mapper Maskless Lithography
van Veldhoven, Emile Platinum Nano-Pillar Growth by Helium Ion Beam Induced Deposition
Fine and Dense Nanofabrication with Helium Ion Beam Induced Deposition
VanMil, B. (Invited) Self-aligned Epitaxial Graphene MOSFETs with a Record Field-Effect Mobility of 6000 cm2/Vs on 50 mm Wafers
Varghese, Leo T. Fast Turn-Around Time, Layer-by-Layer Fabrication of 3D Photonic Crystals
Smooth Suspended Silicon Nanowires for Light Emission Applications
Sub-10nm Lines using PMMA and HSQ Double Patterning
Dry Development of HSQ in Chlorine Plasma
Vazquez-Mena, Oscar Flexible Membranes Improve Resolution in Stencil Lithography
Metallic Nanodot Arrays Fabricated by Stencil Lithography on SiO2 and Polymer Substrates
Velampati, Ravi Novel Lateral Size Reduction Technique to Fabricate sub-12 nm Si Integrated Circuits
Venugopal, Gunasekaran Nano-scale Stack Fabrication on Thin Graphite Flake using Focused Ion Beam 3-D Etching Technique
Verduin, Thomas Statistical Coulomb Forces In Electron Guns
Veres, Teodor Fabrication of Nanostar Array by Nanoimprint Lithography
Ultrasmooth, 3D Nanostructured Gold Films for Enhanced SPR Detection by Nanoimprint Lithography and Template Stripping
Verschuuren, Marc A. Full-field Substrate Conformal Imprint Lithography (SCIL) on Mask Aligners
Vijverberg, Joep 5kV Multi Electron Beam Lithography: MAPPER Tool and Resist Process Characterization
Vila-Comamala, Joan High Aspect Ratio HSQ Structures for X-ray Optics
Villanueva, Guillermo Flexible Membranes Improve Resolution in Stencil Lithography
Villanueva, Luis Guillermo Metallic Nanodot Arrays Fabricated by Stencil Lithography on SiO2 and Polymer Substrates
Vinet, Maud Hybrid Lithography (E-beam/DUV) and Dedicated Etching Process for CMOS-compatible Monoelectronic Studies with Gates down to 12 nm
Vizioz, Christian Very High Aspect Ratio Fabrication Process for Stacked Nanowire Transistors with Gate-All-Around (GAA) or Double-Gate (ΦFET)
Hybrid Lithography (E-beam/DUV) and Dedicated Etching Process for CMOS-compatible Monoelectronic Studies with Gates down to 12 nm
Vlasov, Alexander High Accuracy Electron Beam Model Development in MICHELLE: eBEAM
Voelkel, Reinhard Customised Illumination for Process Window Optimisation and Yield Improvement in Mask Aligner Lithography Systems
Vogel, Konstantin In-vivo Assessment of Nanowire Biocompatibility in the Rat Brain
Vogler, Uwe Customised Illumination for Process Window Optimisation and Yield Improvement in Mask Aligner Lithography Systems
Voigt, Anja Step and Repeat UV Nanoimprint Lithography with sub-15 nm Resolution and sub-5 nm Residual Layer Thickness
Direct Write Laser at Visible Wavelength for Patterning of High Aspect Ratio Epoxy Materials
Volger, Marko Step and Repeat UV Nanoimprint Lithography with sub-15 nm Resolution and sub-5 nm Residual Layer Thickness
Voros, Janos Metallic Nanodot Arrays Fabricated by Stencil Lithography on SiO2 and Polymer Substrates
Vukkadala, Pradeep Assessment of IP Error Compensation Techniques for EUVL

W

Wacquez, Romain Hybrid Lithography (E-beam/DUV) and Dedicated Etching Process for CMOS-compatible Monoelectronic Studies with Gates down to 12 nm
Waid, Simon Particle Beam Induced Fabrication of Nanoimprint Lithography Templates
Wallow, Thomas Evolution of Line Edge and Line Width Roughness in a Frequency Doubling Directed Self Assembly Process
Wang, Chao Growth of Straight Crystal Silicon Nanowires on Nanopatterned Amorphous Substrate with Uniform Diameter and Length, Preferred Orientation, and Predetermined Location
Wang, Feng Optical Activities of Nanoscale Planar Spiral Nanotrenches in Titanium Films
Wang, Fu-Min 10 nm Lines with 14 nm Half Pitch Grating Written in HSQ by Electron Beam Direct Write at 5 keV
Wang, Hongying Spectroscopic Ellipsometry Optical Critical Dimension Measurements of Template and Imprint Resist for Patterned Magnetic Media Applications
Wang, Lon A Novel Method to Fabricate Microlens Array with Normal Subwavelength Structures
Fabrication of Binary Phase Grating on the Fiber End by using Two- Beam Interference Lithography
Wang, Qing Residual Layer Uniformity using Complementary Patterns to Compensate Pattern Density Variation in UV Nanoimprint Lithography
45 nm hp Line/Space Patterning into a Thin Spin Coat Film by UV Nanoimprint Based on Condensation
Wang, Risheng (Invited) Biomolecular-Scale Engineering
Wang, Shao-Wei Application of Hydrogen Silsesquioxane (HSQ) as Etching Mask for Dense and Ultra-Sharp Silicon Tip Arrays
Wang, Xinpeng Ultrananocrystalline Diamond Nanowires Fabricated using Electron-Beam Lithography and Reactive Ion Etching
Wang, Yi-Ju Fabrication and Characterization of Giant Magnetoresistive Biosensors for Cancer Diagnostics
Wang, Yong Table-top Microscope for the Actinic Aerial Imaging Inspection of Extreme Ultraviolet Lithography Masks
Wanzenböck, Heinz D. Experimental Evaluation of Gas-Flux Distribution with Gas Injection Systems for Focused Beam Induced Deposition
Thermally Assisted Focused Electron Beam Induced Deposition
Nanowire Synthesis on Catalyst Arrays Produced with Electron Beam Induced Deposition
Wanzenboeck, Heinz D. Electron Beam Induced Etching of Silicon using Chlorine Gas
Particle Beam Induced Fabrication of Nanoimprint Lithography Templates
Warisawa, Shin-ichi Nanostructure Fabrication by Self-Assembly of Block Copolymer on 3-D DLC Structure
Warisawa, Shin'ichi The Piezoresistive Effect in the 3-D Diamond-Like Carbon Nanostructure Fabricated by Focused-Ion-Beam Chemical Vapor Deposition
Vibration Characteristics of Monolayer Graphene Resonator
Washburn, Cody Fabrication and Applications of Sub-Micron 2D/3D Periodic Carbon Structures
Watso, Robert Wafer Level Critical Dimension Control in Spacer Defined Double Patterning for sub-72 nm Pitch Logic Technology
Watts, Michael (Invited) Silicon Photonics in High Performance Computing
Weaver, John (Invited) Batch Fabrication of Cantilever Array Apertured Probes for Scanning Near-Field Optical Microscopy
Weber-Bargioni, Alexander Manipulating the Local Symmetry and Geometry of Bowtie Optical Antennae for Controlling Spectral Properties while Maintaining the Near-Field Enhancement
Wei, Qi-Huo Fabrication and Characterization of Metal-Dielectric-Metal (MDM) Nanoantennas
Wei, Qihuo Optical Activities of Nanoscale Planar Spiral Nanotrenches in Titanium Films
Weimann, T. Fabrication of Graphene and Graphenoid Two-dimensional Materials from Self-Assembled Monolayers
Weisheit, Martin Microfabricated Resistive High Sensitivity Nanoprobe for Scanning Thermal Microscopy
Weiss, Dirk N. Nanoimprint Method for All-Inorganic Resist for Photovoltaic Light-Trapping
Wellenzohn, Max Argon Ion Multi-Beam Nanopatterning of Ni-Cu Inserts for Injection Molding
Wen, Xuejin Electrochemical Impedance Spectroscopy Study of Tethered Bilayer Lipid Membranes with Artificial Nanopores
Optical Activities of Nanoscale Planar Spiral Nanotrenches in Titanium Films
Fabrication and Characterization of Metal-Dielectric-Metal (MDM) Nanoantennas
Wendt, J. R. Fabrication Techniques for 3D Metamaterials in the Mid-infrared
Wesch, Werner Freestanding Photonic Crystal Membranes in Lithium Niobate fabricated by Ion-Beam Enhanced Etching
Whitehead, Brian 3-D Microfluidics Devices using Ion Beam Lithography
Wieland, Marco Throughput Enhancement Technique for Mapper Maskless Lithography
Wielgoszewski, Grzegorz Microfabricated Resistive High Sensitivity Nanoprobe for Scanning Thermal Microscopy
Wilhelmi, Oliver Towards Automated Fabrication of 3D Photonic Devices by Focused Ion Beam
Williams, Keith Tuning Transport and Two-State Noise in Carbon Nanotubes using Precisely Controlled Electron Beam
Williams, R. Stanley Highly Sensitive Surface-enhanced Raman Spectroscopy Sensors by 3-D Nanoimprint Lithography
Williams, Stan Nanoscale 2- and 3-Terminal Resistive Switching Devices
Willson, Grant Pitch Division by Selective Acid Quenching
Willson, Richard Patterning of Antibody-Coated Surfaces using Energetic Helium Ions
Fabrication and Characterization of Giant Magnetoresistive Biosensors for Cancer Diagnostics
Wilson, C. G. A Dry-on, Dry-off, Long Wavelength Photoresist for Nano-Plasmonic Field Metrologoy and Lithography
Wind, Shalom (Invited) Biomolecular-Scale Engineering
Magnetically Actuated Elastomeric Pillars for Cellular Force Measurement
Lithographically Driven Nanoscale Assembly of DNA Nanostructures
Supported Lipid Membranes Corralled by Nanoscale HSQ and PDMS Barriers
Biocompatible Surfaces with Locally Variable Rigidity
Winston, Donald Sub-10-nm Half-Pitch Electron-Beam Lithography by Using PMMA as a Negative Resist
Simulated Secondary-Electron Trajectories in Helium-Ion-Beam Lithography
Metrology and Analysis of Sub-10-nm-Electron-Beam Lithography
Witte, Daniel Rapid Laser Crystallization of Semiconductors for Three-Dimensional Integration
Wojcik, Michael Nanofabrication of X-Ray Zone Plates using Ultrananocrystalline Diamond Molds and Electroforming
Wong, Simon Semiconductor Crystal Islands for 3-Dimensional Integration
Wood, Graham Piezo-Electrically Driven Silicon Carbide Resonators
Woszczyna, Mirosław Micromachined Scanning Proximal Probes with Integrated Piezoresistive Readout and Bimetal Actuator for High Eigenmode Operation
Wu, Cheng-Ju Beam Drift Detection using a Two-Dimensional Electron Beam Position Monitor System for Multiple-Electron-Beam–Direct-Write Lithography
Wu, Chi-Chang Silicon Nanobelt Field Effect Transistors Toward Hepatocellular Carcinoma Detection
Wu, J. C. The Dispersion of Localized Surface Plasmons in the Gold-Capped Silicon Nitride Rods Photonic Crystal Slab
Wu, Ji Electro-Osmotic Flow Through Carbon Nanotube Membranes for Programmed Transdermal Drug Delivery
Wu, Jing-Tang A Two-Step Hot Embossing Process for Fabrication of Nano/Micro Hybrid Lens
Wu, Shiwei Graphene Nanomeshes with Sub-10 nm Ribbon Width Fabricated via Nanoimprint Lithography in Combination with Block Copolymer Self-Assembly
Wu, Wei Nanoscale 2- and 3-Terminal Resistive Switching Devices
Highly Sensitive Surface-enhanced Raman Spectroscopy Sensors by 3-D Nanoimprint Lithography
Wu, Yi-Kuei Transmissive Color Filters Fabricated Using Pattern Transfer Lithography
Plasmonic Color Filter Based on Metal-Insulator-Metal Resonators

X

Xia, Qiangfei Nanoscale 2- and 3-Terminal Resistive Switching Devices
Xiao, Shuaigang Progress and Challenges in Fabrication of 1 Terabit/inch2 Bit Patterned Magnetic Media
Xie, Peng Photopatternable Inorganic Hardmask
Projection Lithography Below Lambda/7 through DUB Evanescent Optical Imaging
Xu, Ting Surface Plasmon Enhanced Efficiency of Organic Solar Cells using Transparent Ag Nanowire Electrodes
Transmissive Color Filters Fabricated Using Pattern Transfer Lithography
Plasmonic Color Filter Based on Metal-Insulator-Metal Resonators
Xu, Yuan Spectroscopic Ellipsometry Optical Critical Dimension Measurements of Template and Imprint Resist for Patterned Magnetic Media Applications
Xuan, Yi Fast Turn-Around Time, Layer-by-Layer Fabrication of 3D Photonic Crystals

Y

Yamazoe, Kenji Application of Kernel Convolution for Complementing Source Mask Optimization
Yan, Minjun Surface Roughness and Resist Thickness Issues in Patterning of Ultra-Dense Lines in Hydrogen Silsesquioxane
Yan, Pei-Yang High Transmission Pellicles for EUVL Reticle Protection
Yan, Qiliang Reducing the Pattern Redundancy in OPC Modeling by Analyzing the Pattern Linearity
Yang, Chu Yeu Nanofabrication of SERS Device by an Integrated Block-Copolymer and Nanoimprint Lithography Method
Yang, Elaine Nanofabrication of SERS Device by an Integrated Block-Copolymer and Nanoimprint Lithography Method
Yang, Henry Progress and Challenges in Fabrication of 1 Terabit/inch2 Bit Patterned Magnetic Media
Spectroscopic Ellipsometry Optical Critical Dimension Measurements of Template and Imprint Resist for Patterned Magnetic Media Applications
Yang, Huayong Modelling and Experimental Investigation on Liquid Confinement in Immersion Lithography
Yang, Joel K. W. Templated Self-Assembly of Block Copolymers for Linear and Square Arrays
Yang, Joel Sub-10-nm Half-Pitch Electron-Beam Lithography by Using PMMA as a Negative Resist
Metrology and Analysis of Sub-10-nm-Electron-Beam Lithography
Patterning of sub-10-nm Metal Structures for Plasmonic Characterization
Electrochemical Development of Hydrogen Silsesquioxane
Yang, Joshua Nanoscale 2- and 3-Terminal Resistive Switching Devices
Yang, Sen-Yeu A Two-Step Hot Embossing Process for Fabrication of Nano/Micro Hybrid Lens
Yang, XiaoMin Progress and Challenges in Fabrication of 1 Terabit/inch2 Bit Patterned Magnetic Media
Yang, Yi Nanostructured P3HT/C60 Solar Cells using Oblique Angle Thermal Deposition of C60 into Nanoimprinted P3HT Gratings
Yanik, Ali Zeptomol Level Vibrational Spectroscopy of Proteins in Lithographically Engineered Plasmonic Nano-antenna Arrays
Lift-off Free Nanofabrication of Suspended Plasmonic Nanohole Arrays To Overcome Mass Transport Limitations in Bio-Sensors
Yankov, Vladimir Fabrication of High Resolution Optical Spectrometer-on-Chip
Yao, Nan Growth of Straight Crystal Silicon Nanowires on Nanopatterned Amorphous Substrate with Uniform Diameter and Length, Preferred Orientation, and Predetermined Location
Yasuda, Masaaki Process Simulator for UV-Nanoimprint Lithography
Impact of Wafer Deformation on Demolding Force for Thermal Imprint Process
Impact of Molecular Size on Resist Filling Process in Nanoimprint Lithography : Molecular Dynamics Study
Yavuz, Mustafa Nanofluidic Channels Fabricated by e-beam Lithography and Polymer Reflow Sealing
Ye, Jianting Electric-Field-Induced Superconductivity in Electric Double Layer Transistors
Ye, Lei (Invited) Molecularly Selective Nanopatterns using Nanoimprint Lithography: A Label-Free Sensor Architecture
Yeh, Wei-Ming Effect of Crosslinker Structure on a Novel Post-Development Strategy to Prevent Pattern Collapse in Photoresist Nanostructures
Comparison of Positive Tone vs. Negative Tone Resist Pattern Collapse Behavior
Yen, Jia-Yush Beam Drift Detection using a Two-Dimensional Electron Beam Position Monitor System for Multiple-Electron-Beam–Direct-Write Lithography
Yesilkoy, Filiz Surface Plasmon and Geometry Enhanced Asymmetric Rectifying Tunneling Diodes
Yoshida, Hiroshi Cross-Sectional Analysis of Resist Structures Derived from the Directed Assembly of Block Copolymers
Density Multiplication by Directed Self-Assembly of Block Copolymer Binary Blends
POSS-Containing Block Copolymer With High Etch Contrast For Directed Self-Assembly
Yoshimizu, Norimasa Tip-based Precise, Repeatable Etching of Highly Ordered Pyrolytic Graphite
Nanometrology Optical Ruler Imaging System for Wafer-Scale Precision Metrology for Tip-Based Microscopes
Youn, Sung-Won Residual Layer Uniformity using Complementary Patterns to Compensate Pattern Density Variation in UV Nanoimprint Lithography
45 nm hp Line/Space Patterning into a Thin Spin Coat Film by UV Nanoimprint Based on Condensation
Young, Ralph (Invited) Silicon Photonics in High Performance Computing
Yu, Zhaoning Progress and Challenges in Fabrication of 1 Terabit/inch2 Bit Patterned Magnetic Media
Spectroscopic Ellipsometry Optical Critical Dimension Measurements of Template and Imprint Resist for Patterned Magnetic Media Applications
Yuan, Hao-Chih Nanoimprint Method for All-Inorganic Resist for Photovoltaic Light-Trapping
Yuan, Hongtao Electric-Field-Induced Superconductivity in Electric Double Layer Transistors
Yuk, Hyungsang (Invited) High Performance Lithographically Defined Back-Gated Si-nanowire MOSFETs with sub-5 nm Channel Width
Yusa, Kouki The Piezoresistive Effect in the 3-D Diamond-Like Carbon Nanostructure Fabricated by Focused-Ion-Beam Chemical Vapor Deposition

Z

Zakhidov, Anvar Hole Mobility Enhancement by Chain Alignment in Imprinted P3HT Nanogratings for Organic Solar Cells
Nanostructured P3HT/C60 Solar Cells using Oblique Angle Thermal Deposition of C60 into Nanoimprinted P3HT Gratings
Zawierucha, Paweł Micromachined Scanning Proximal Probes with Integrated Piezoresistive Readout and Bimetal Actuator for High Eigenmode Operation
Zech, Martin In situ characterization of Exposed e-beam Resist using Novel AFM Technique
Zeeb, Bastian Gold Nanocone Probes for Near-Field Scanning Optical Microscopy
Zelsmann, Marc Organized Porous Alumina Membranes for High Density Silicon Nanowires Growth
Impact of the Resist Properties on the Antisticking Layer Degradation in UV Nanoimprint Lithography
Zeniou, Aggelos Plasma Directed Assembly and Organization: Effect of Plasma Processing Conditions on Order and Nanodot Dimensions
Zeuske, Jacob Assessing the Mask Clamping Ability of a Low Thermal Expansion Material Chuck
Zhang, Lin Reducing the Pattern Redundancy in OPC Modeling by Analyzing the Pattern Linearity
Zhang, X. Fabrication of Graphene and Graphenoid Two-dimensional Materials from Self-Assembled Monolayers
Zhang, Yanxia Throughput Enhancement Technique for Mapper Maskless Lithography
Zhang, Yuan (Invited) Batch Fabrication of Cantilever Array Apertured Probes for Scanning Near-Field Optical Microscopy
Zhao, Lin Fast Turn-Around Time, Layer-by-Layer Fabrication of 3D Photonic Crystals
Fabrication of Silver Nano Slit Chain Waveguides for Surface Enhanced Raman Scattering
Smooth Suspended Silicon Nanowires for Light Emission Applications
Dry Development of HSQ in Chlorine Plasma
Zhao, Xu Study of Transport Properties in Graphene Monolayer Flakes on SiO2 Substrates
Zheng, Zhen Self-Limiting Low-Energy Glow Discharge Process for Close-Packed Non-Circular Pattern Generation
Zhou, Chenggang Optimizing Photon Sieves to Approach Fresnel Diffraction Limit via Pixel-based Inverse Lithography
Zhou, Haiping A Low Damage Fully Self-Aligned Gate-Last Process for Fabricating sub-100 nm Gate Length Enhancement Mode GaAs MOSFETs
Zhou, Min Hole Mobility Enhancement by Chain Alignment in Imprinted P3HT Nanogratings for Organic Solar Cells
Nanostructured P3HT/C60 Solar Cells using Oblique Angle Thermal Deposition of C60 into Nanoimprinted P3HT Gratings
Zhu, Diling Coherent Diffractive Imaging for Extended Samples with a Pre-defined Illumination Pattern
Zimmerman, John A Study of Extreme Ultraviolet Lithography Defectivity
Zimmerman, Paul Pitch Division by Selective Acid Quenching
Zöllner, Jens-Peter Micromachined Scanning Proximal Probes with Integrated Piezoresistive Readout and Bimetal Actuator for High Eigenmode Operation
Zortman, William (Invited) Silicon Photonics in High Performance Computing
Zou, Jun Modelling and Experimental Investigation on Liquid Confinement in Immersion Lithography
Zschech, Ehrenfried Microfabricated Resistive High Sensitivity Nanoprobe for Scanning Thermal Microscopy

EIPBN 2010