EIPBN

EIPBN 2010 Table of Contents


Section Abstract and Authors
Plenary

LaserFest 2010: 50 Years of the Light Fantastic, Tony Siegman, Stanford University

Plenary

Molecular Printing: A Chemist’s Approach to a Desk Top Fab, Chad Mirkin, Northwestern University

Plenary

To Charge or Not to Charge: Lithographic Choices, Fabian Pease, Stanford University

P1-1
Directed Assembly Session Posters

Density Multiplication by Directed Self-Assembly of Block Copolymer Binary Blends, Yasuhiko Tada, Hiroshi Yoshida, Satoshi Akasaka*, Feng Chen*, Mikihito Takenaka*, Hirokazu Hasegawa*, Hitachi Ltd, *Kyoto University

Pattern density of lithographically defined template can be multiplied by chemically directed self-assembly of cylinder forming PS-b-PMMA block copolymer. In this paper, we show binary blending of PS-b-PMMA is an effective way to promote perpendicular orientation of the cylindrical domains and to expand the process window for the density multiplication.

P1-2
Directed Assembly Session Posters

POSS-Containing Block Copolymer With High Etch Contrast For Directed Self-Assembly, Teruaki Hayakawa, Tomoyasu Hirai, Yoshihito Ishida, Padma Gopalan*, Hiroshi Yoshida**, Yasuhiko Tada**, Tokyo Institute of Technology, *University of Wisconsin-Madison, **Hitachi Ltd

We report the development of polyhedral oligomeric silsesquioxane (POSS) containing block copolymer (POSS-BCP) with high etch contrast for directed self-assembly. The topographically patterned substrates composed of SiO2 bottoms and Si3N4 sidewalls provided both laterally and vertically well-ordered cylinders with 11.4 nm of the average d-spacings within 500 nm width.

P1-3
Directed Assembly Session Posters

Nanostructure Fabrication by Self-Assembly of Block Copolymer on 3-D DLC Structure, Hiroyuki Mino, Reo Kometani, Shin-ichi Warisawa, Sunao Ishihara, The University of Tokyo

We demonstrate a nanoscale pattern formation on a 3D substrate by a modefied self-assembly process of poly (styrene-block-metyl methacrylate) (PS-b-PMMA) block copolymer. We suggested that surface treatment with materials of large surface energy is effective to form regularly dense nanoscale patterns on 3-D DLC structure.

P1-4
Directed Assembly Session Posters

Ordered Carbon Nanotube Deposition by Electrophoresis-Enhanced Self-Assembly, Huifeng Li, Hung-Jue Sue, Xing Cheng, Texas A&M University

This paper presents a high-speed single-walled carbon nanotube deposition technique by electric-field assisted self-assembly in nanoimprinted polymer templates.

P1-5
Directed Assembly Session Posters

Organized Porous Alumina Membranes for High Density Silicon Nanowires Growth, Luc Burnier, Marc Zelsmann, Jumana Boussey, Denis Buttard*, LTM-CNRS / CEA-LETI, *CEA-Grenoble / INAC / SP2M / SiNaPS

In this paper, we present results on the development of generic high-density (10^10 cm-2) and self-organized matrix of nanoporous alumina. Our approach is to use nanoimprint lithography (NIL) to localize each pore. This matrix locate and guide nanowires during their growth and should facilitate their integration in devices.

P1-6
Directed Assembly Session Posters

Creation of Chemical Pre-patterns from Graphoepitaxially Assembled Block Copolymer Films by Molecular Transfer Printing, Shengxiang Ji, Chi-Chun Liu, Paul Nealey, University of Wisconsin

We combined graphoepitaxial assembly and molecular transfer printing to create chemical pre-patterns for directed assembly of block copolymer films.

P1-7
Directed Assembly Session Posters

Directed Assembly of Solution Processed Single Walled Carbon Nanotubes via Dielectrophoresis: from Aligned Array to Individual Nanotube Devices, Saiful Khondaker, Paul Stokes, Shashank Shekhar, University of Central Florida

We present directed assembly of high quality solution processed SWNT devices with high yield. By tuning different parameters, we are able to control the assembly from dense arrays to single SWNT devices. Field effect transistors fabricated from aligned arrays of SWNT as well as individual SWNTs are presented.

P1-8
Directed Assembly Session Posters

Plasma Directed Assembly and Organization: Effect of Plasma Processing Conditions on Order and Nanodot Dimensions, Dimitrios Kontziampasis, George Kokkoris, Vassilios Constantoudis, Athanasios Smyrnakis, Aggelos Zeniou, Evangelos Gogolides, N.C.S.R. "Demokritos"

Plasma directed assembly/organization was demonstrated recently, by fabricating nanodots on PMMA substrates or films only using plasma etching. In this work we will study how Bias Voltage and etching time affect the nanodots’ characteristics. Different polymers will be employed for the process (polystyrene, pHEMA), followed by efforts for pattern transfer.

P2-1
Electron Beams Session Posters

New Method of EB Exposure Stability using HSQ High-Resolution Negative Resist, Shinya Omoto, Makoto Okada, Yuji Kang, Yasuki Nakai, Kazuhiro Kanda, Yuichi Haruyama, Shinji Matsui, University of Hyogo

P2-2
Electron Beams Session Posters

Electron Beam Induced Etching of Silicon using Chlorine Gas, Peter Rödiger, Heinz D. Wanzenboeck, Gottfried Hochleitner, Emmerich Bertagnolli, Vienna University of Technology

The electron beam induced etching of an n-doped silicon by using chlorine gas introduced into a vacuum chamber of a scanning electron microscope by means of a conventional gas injection system is demonstrated. No spontaneous etching of the silicon substrate could be observed as is the case for xenon diflouride.

P2-3
Electron Beams Session Posters

Field Induced Shape Modification for the ZrO/W(100) Schottky Cathode, Kun Liu, Greg Schwind, Lynwood Swanson, FEI Company

Field induced changes in the enform geometry of the ZrO/W(100) Schottky Emitter are explored and the implications of such changes on the critical emission properties.

P2-4
Electron Beams Session Posters

Surface Stiffness Modification by e-beam Irradiation for Stem Cell Growth Control, Bing-Rui Lu, Mathieu Lanniel*, Morgan Alexandar*, Ejaz Huq**, Ran Liu, Yifang Chen**, Fudan University, *University of Nottingham, **Rutherford Appleton Laboratory

We report, for the first time, that we have successfully developed a reliable method by electron beam irradiation in hydrogen silsesquioxane (HSQ) film to effectively control the stiffness of surface for differentiating stem cell growth while maintaining low surface roughness. The result shows that stem cell differentiation is successfully controlled.

P2-5
Electron Beams Session Posters

Optimization of Spatial Dose Distribution for Controlling Sidewall Shape in Electron-beam Lithography, Pengcheng Li, Soo-Young Lee, Sang-Hee Lee*, Byung-Guk Kim*, Han-Ku Cho*, Auburn University, *Samsung Electronics

In this paper, the dose distributions required for three different types of sidewall shapes, overcut, undercut, and vertical, are analyzed and the tradeoff among the total dose, controllability of dose distribution, and developing time is discussed.

P2-6
Electron Beams Session Posters

Experiment-based Estimation of Point Spread Function in Electron-beam Lithography, Qing Dai, Soo-Young Lee, Sang-Hee Lee*, Byung-Guk Kim*, Han-Ku Cho*, Auburn University, *Samsung Electronics

In order to avoid the practical limitations of the point spread function (PSF) derived through theoretical modeling, a new approach is proposed to model PSF's using experimental results without simulation. The idea is to adopt the concept of line response function and utilize it in modeling PSF's.

P2-7
Electron Beams Session Posters

Effect of Precursor Sticking Coefficient on Electron Beam Induced Deposition and Etching, Matthew Phillips, James Bishop, Stephen Gawidziel, University of Technology, Sydney

Electron beam induced deposition and etching (EBIDE) can be utilized to directly write patterns and fabricate structures with nanometer scale dimensions and positional accuracy. In this work the role of the precursor molecule’s sticking coefficient and surface diffusion on EBIDE process have been systematically investigated.

P2-8
Electron Beams Session Posters

Accurate Calculation of the Field Factor for the Schottky Cathode, Alan Bahm, Greg Schwind, Lyn Swanson, FEI Company

Studies of field emission type emitters typically use the field enhancement factor (beta) to characterize the geometry shape of the end form and to monitor changes in the end form.  The authors present a method which calculates beta with higher accuracy and reliability than currently used methods.

P2-9
Electron Beams Session Posters

Analysis of Fabrication Misalignment Effects in a MEMS-based Electron-Optical System Design for Direct-Write Lithography, Sheng-Yung Chen, Chieh-Chien Huang, Shin-Chuan Chen, Ting-Han Pei, Kuen-Yu Tsai, National Taiwan Unversity

To achieve precise overlay for an bonding process used in electron-beam micro-columns, an image processing procedure and an overlay model have been proposed and implemented. The overlay error is reduced to 2.5 micrometers with multiple alignment marks. Its effects on lens performance is screened by rigorous electron trajectory simulation.

P2-10
Electron Beams Session Posters

Beam Drift Detection using a Two-Dimensional Electron Beam Position Monitor System for Multiple-Electron-Beam–Direct-Write Lithography, Sheng-Yung Chen, Kuen-Yu Tsai, Hoi-Tou Ng, Chi-Hsiung Fan, Ting-Han Pei, Chieh-Hsiung Kuan, Yung-Yaw Chen, Yi-Hung Kuo, Cheng-Ju Wu, Jia-Yush Yen, National Taiwan Unversity

A beam-drift monitoring system employing an array of two-dimensional backscattered electron detectors is proposed. Monte Carlo electron-scattering simulation is used to predict detector signals. Single-beam drift is estimated by cross-correlation. Multi-beam drift is estimated by array signal processing techniques that take into account with the cross-coupling effects between beams.

P2-11
Electron Beams Session Posters

Monte Carlo Study of Inelastic Scattering Models of Low Energy Electrons, Chia-Yu Hu, Chun-Hung Lin, Shy-Jay Lin*, J. H. Chen*, National Cheng Kung University,*Taiwan Semiconductor Manufacturing Company

Although electron beam has extremely high resolution, the scattering in resist and substrate is an issue. Therefore, an accurate simulation approach for predicting the behavior of electron-solid interactions is essential. In this study, inelastic scattering models with considering the discrete and continuous energy loss were compared at low electron energies with respect to backscattered coefficients, energy dissipation and mean penetration depth.

P2-12
Electron Beams Session Posters

Sub-10-nm Half-Pitch Electron-Beam Lithography by Using PMMA as a Negative Resist, Huigao Duan, Donald Winston, Joel Yang, Bryan Cord, Karl Berggren, Massachusetts Institute of Technology

We report that sub-10-nm half-pitch dense nanostructures can be readily fabricated by electron-beam lithography using PMMA as a negative resist, even at the energy as small as 2 keV. We analyzed this process by comparing it with HSQ process and found that negative PMMA and HSQ had a similiar resolution limit.

P2-13
Electron Beams Session Posters

Carbon Nanotube Field Emission Electron Gun Array for Micro-Column Scanning Electron Microsocopy and Maskless Lithography, Bryan Ribaya, Darrell Niemann, Joseph Makarewicz, David Blake, Cattien Nguyen, NASA Ames Research Center

Previously we demonstrated a microelectromechanical systems (MEMS) process for fabricating electron guns which each feature a carbon nanotube (CNT) field emitter. We show that this process can be scaled up to fabricate a 3x3 array of CNT electron guns, which can be applied to parallel beam maskless lithography.

P2-14
Electron Beams Session Posters

Nanowire Synthesis on Catalyst Arrays Produced with Electron Beam Induced Deposition, Gottfried Hochleitner, Alois Lugstein, Peter Rödiger, Heinz D. Wanzenböck, Emmerich Bertagnolli, Vienna University of Technology

The locally confined preparation of surfaces for nanowire synthesis is reported in this presentation. Metal particles are commonly used as catalyst for the synthesis of nanowires and nanotubes. The metal particle size, area density and distribution has been recognized in numerous studies as determinative for the chemical vapor deposition of the nanowires and nanotubes.

P2-15
Electron Beams Session Posters

Simulation of SEM Images Taking into Account Local and Global Electromagnetic Fields, Sergey Babin, Sergey Borisov, Hiroyuki Ito*, Andrei Ivanchikov, Dmitri Matison, Vladimir Militsin, Makoto Suzuki*, aBeam Technologies, Inc., *Hitachi High Technologies

We report here the development of a simulation tool with unique capabilities to comprehensively model the SEM signal. Electron scattering, detector, charging, and global electrical fields are considered. Measured and simulated SEM results in the presence of global electrical fields and local charging are presented and compared.

P2-16
Electron Beams Session Posters

Performance Optimization of Sub-10nm Electron Beam Lithography, Ravi Bonam, John Hartley, SUNY-Albany

Investigation of tool and process parameter space to optimize sub-10nm imaging with electron beam lithography.

P2-17
Electron Beams Session Posters

Impacts of Point Spread Function Calibration Methods on Model-Based Proximity Effect Correction for Electron-Beam-Direct-Write Lithography, Chun-Hung Liu, Philip Ng, Yu-Tian Shen, Hoi-Tou Ng, Kuen-Yu Tsai, National Taiwan University

A new model-based electron proximity correction methodology is presented. Impacts of PSF calibration accuracy on the correction results are analyzed. For a 0.36-um2 six-transistor-SRAM cell at 5-keV, the patterning error is reduced by 6.87 and 3.02 times for two PSFs of different accuracy. CD offset, corner-rounding, and line-end-shortening are quantified.

P2-18
Electron Beams Session Posters

Ultra Fast Electron Sources A New Conclusion, Ben Cook, Pieter Kruit, Delft University of Technology

Most Ultra Fast Electron Imaging Sources  waste much of the current they painstakingly create, obtaining a brightness lower than a continuous source. We examined existing and proposed sources making a table of Br, pulse length and energy spread, and conclude that a blanking a continuous may be best.

P2-19
Electron Beams Session Posters

10 nm Lines with 14 nm Half Pitch Grating Written in HSQ by Electron Beam Direct Write at 5 keV, Fu-Min Wang, Susumu Ono*, Hsin-Hung Su, Kuen-Yu Tsai, Chieh-Hsiung Kuan, National Taiwan University, *Elionix Inc.

We have achieved 10 nm lines with 14 nm half pitch grating and 17.5 nm in diameter with 18.5 half pitch hole arrays in hydrogen silsesquioxane (HSQ) by Electron Beam Direct Write (EBDW) at 5 keV. We believe this to be the shortest period grating by EEDW at 5keV.

P2-20
Electron Beams Session Posters

The Inspection of Open Defects in a TFT-LCD Panel by using Low Energy Electron Microcolumn, Tae-Sik Oh, Dae-Wook Kim, Young Chul Kim, GunHee Lee*, Ho Seob Kim, Sun Moon University, *LG Display

For the inspection of open defects in a TFT-LCD panel, we operated a microcolumn with a 300 eV beam energy and obtained the scanning images of the panel while operating the panel with specific operation conditions. The image obtained by the secondary electron reflects the informations on the electrical state of the pixels as well as the geometrical ones.

P2-21
Electron Beams Session Posters

Writing Strategies for sub-10nm Pattering Node, Piotr Jedrasik, Dai Tsunoda*, Shoji Masahiro*, Hiroyuki Tsunoe*, Chalmers University of Technology, *Nippon Control System Co.

In this communication, we report on our experimental  results from the study on e-beam writing strategies  combined with forward scattering proximity term  compensation. Successful sub-10nm patterning with  dimension controllability better than 10% of the  CD was achieved.  The experimental setup uses JBX-9300FS, XR-1541 resist.  The EID was calculated by CHARIOT.

P3-1
Emerging Technology Session Posters

(Invited) Application Specific CVD Growth of Carbon Nanotubes, Michael Häffner, Ronny Löffler, Peter Federsel, Eugen Fischer, Kerstin Schneider, Monika Fleischer, Boris Stamm, Claus Burkhardt, Dieter P Kern, University of Tübingen

CVD growth of carbon nanotubes allows control of the location of the CNTs by patterning the catalyst while orientation can be controlled by an appropriate gas flow during growth or by applying electric fields. Optimization of catalyst thichkness and material, of precursor mixture and pressure enables low process temperatures.

P3-2
Emerging Technology Session Posters

Study of Transport Properties in Graphene Monolayer Flakes on SiO2 Substrates, Jose Maria Tirado, Daniel Nezich*, Jin Wook Chung*, Xu Zhao*, Jing Kong*, Tomas Palacios*, University of Castilla-La Mancha, *Massachusetts Institute of Technology

This work studies the transport properties of a field-effect transistor based on a single monolayer of graphene flake. Universal carrier mobilities for electrons and holes are extracted and compared to the universal mobility curves for Silicon. Temperature, defects and traps effects in the transport properties are also considered.

P3-3
Emerging Technology Session Posters

Electric-Field-Induced Superconductivity in Electric Double Layer Transistors, Yoshihiro Iwasa, Hidekazu Shimotani, Jianting Ye, Hongtao Yuan, Yuichi Kasahara, Kazunori Ueno, Masashi Iwasa, Precious Cantu*, Takahiro Nishijima, Tohoku University, *Louisiana State University

We report an occurrence of electric-field induced superconductivity  in oxide and nitride semiconductors through electrostatic charge accumulation in an electrochemical transisitor architechture, taking advantage of the large capacitance of the electric double layer (EDL) formed at interfaces between liquid and solid.

P3-4
Emerging Technology Session Posters

Application of Hydrogen Silsesquioxane (HSQ) as Etching Mask for Dense and Ultra-Sharp Silicon Tip Arrays, Shao-Wei Wang, Yifang Chen*, Ejaz Huq*, Xiaoshuang Chen, Wei Lu, Chinese Academy of Sciences, *Rutherford Appleton Labs

In this work, we present a novel and simplified approach for the fabrication of dense (200 nm pitch) and ultra-sharp (several nanometers) Si tip arrays using HSQ as etching mask, which does not need extra oxidation/wet etching process for sharpening the tips.

P3-5
Emerging Technology Session Posters

Ambient Pressure Photoelectron Spectromicroscopy at Advanced Light Source, Michael Grass, Funda Aksoy, Zahid Hussain, Zhi Liu, LBNL

The newly designed ambient pressure x-ray photoelectron spectroscopy(APXPS) endstations at Advanced Light Source, based on differentially pumped electron energy analyzers, have broken the vacuum barrier for soft x-ray photoelectron spectroscopy. I will give an overview of science projects at BL9.3.2 in heterogeneous catalysis and fuel cell. I will also present results from the newest APXPS station that we are commissioning.

P3-6
Emerging Technology Session Posters

Understanding the Regrowth Mechanism of Multi-Walled Carbon Nanotube Forests, Jae Hak Kim, Kyung Hwan Kim, Charles Miller, Dorothea Burk, Lawrence Overzet and Gil Lee, University of Texas, Dallas

The MWCNT forests show spin-capability when they are grown on substrates with a high density of catalyst nanoparticles. The quality of the forest is not preserved by the MWCNT regrowth process. Here we show how MWCNT forests grow on the spin-capable forest substrate in a regrowth process.

P4-1
Extreme Ultraviolet Lithography Session Posters

A Study of Extreme Ultraviolet Lithography Defectivity, Kevin Cummings, Sang-in Han, Brian Niekrewicz, Thomas Laursen, Youri van Dommelen, John Zimmerman, Sungmin Huh*, Liping Ren*, Il-Seok Son**, Ted Liang**, Sumanth Kini^, Roland Hahn^, ASML, *SEMATECH, **Intel, ^KLA-Tencor

We investigated both wafer and reticle defects in EUVL.  We developed capability to manually move reticles into and out of our tool without any added particles to the exposure area.  We will discuss monitoring of our EUV tool, resist processes and our defectivity baseline.

P4-2
Extreme Ultraviolet Lithography Session Posters

Extreme Ultraviolet Mask Surface Cleaning Effects on Lithography Process Performance, Simi George, Patrick Naulleau, Robert Chen*, Ted Liang*, Lawrence Berkeley National Laboratory, *Intel

We present a study on the impact of repetitive cleaning of EUV masks on imaging performance. We study both critical dimension performances in terms of process window analysis and printed line edge roughness (LER). A test mask  is repeatedly cleaned and imaged until significant imaging degradation is observed.

P4-3
Extreme Ultraviolet Lithography Session Posters

Observation and Modeling of Asymmetric Carbon Contamination Growth on SFET-Exposed Mask, Iwao Nishiyama, Toshihisa Anazawa, Yasushi Nishiyama, Yusuke Tanaka, Osamu Suga, Yukiyasu Arisawa, Toshihiko Tanaka, Yasuhiro Kadowaki*, Hidetoshi Sato, Selete, *Hitachi High-Technologies

We examined EUVL mask which was exposed for long time in the Small-Field Exposure Tool (SFET) by using CD-SEM and 3D-AFM. The results revealed not only that contamination growth increased the line width, but also that the growth of the contaminated had asymmetric features. We construct a theoretical model to understand this asymmetric growth.

P4-4
Extreme Ultraviolet Lithography Session Posters

Assessment of IP Error Compensation Techniques for EUVL, Pradeep Vukkadala, Deepak Patil, Roxann Engelstad, University of Wisconsin - Madison

This study presents an assessment of various Image Placement (IP) error compensation techniques currently being considered by the semiconductor industry.  Unique finite element (FE) and analytical models have been developed to identify the sources of IP errors.  Under certain conditions, the analytical model closely replicates the results of the full FE model.

P4-5
Extreme Ultraviolet Lithography Session Posters

Assessing the Mask Clamping Ability of a Low Thermal Expansion Material Chuck, Jacob Zeuske, Andrew Mikkelson, Roxann Engelstad, Gerhard Kalkowski*, Stefan Risse*, Sandra Mueller*, University of Wisconsin – Madison, *Fraunhofer-Institut für Angewandte Optik und Feinmechanik

The successful implementation of Extreme Ultraviolet Lithography requires the use of an electrostatic chuck to both support and flatten the mask during scanning exposure.  This research assesses the clamping ability of a low thermal expansion material (LTEM) chuck, both experimentally and with the use of finite element modeling and simulation.

P4-6
Extreme Ultraviolet Lithography Session Posters

Local and Global Response of EUV Reticles due to Entrapped Particles during Exposure Chucking, Preetish Sinha, Vasu Ramaswamy, Andrew Mikkelson, Roxann Engelstad, University of Wisconsin-Madison,

Among the sources of IP error in EUVL is the mechanical distortion of the patterned mask in the exposure tool, due to the presence of debris lodged between the mask and the chuck. This research focuses on finite element simulations to characterize the response of the reticle/chuck system to entrapped particles.

P5-1
Focused Ion Beams Session Posters

TEM Study of Interface Between Silicon Substrate and Platinum Films made by Electron or Ion Beam-Induced Deposition, Byong Chon Park, Yoon Chang Park*, Hwack Joo Lee, Young Heon Kim, Korea Research Institute of Standards and Science, *National NanoFab Center

Ion beam induced deposition produces deep and complex damage layer unlike electron beam induced deposition. We report our findings about the damage, based on its structure and composition which are acquired by TEM, X-ray EDS, ELLS and Auger electron spectrometry for Ga ion beam deposited platinum film on Si substrate.

P5-2
Focused Ion Beams Session Posters

Lithium Ion Source for Focused Ion Beam Microscopy, Brenton Knuffman, Adam V. Steele, Jon Orloff*, Jabez. J McClelland, NIST, *FEI Company

The magneto-optical trap ion source (MOTIS) can be used to create high brightness ion beams from a variety of elements. We report on the construction and performance of a MOTIS-technology-based lithium ion source, which has potential as a source for light ion microscopy.

P5-3
Focused Ion Beams Session Posters

Evaluating Mechanical Characteristics of Various Shape Nanosprings Fabricated by Focused-ion-beam Chemical Vapor Deposition, Yasuki Nakai, Yuji Kang, Makoto Okada, Yuichi Haruyama, Kazuhiro Kanda, Shinji Matusi, University of Hyogo

Focused-ion-beam chemical vapor deposition (FIB-CVD) technique is a promising method of fabricating the three dimensional (3D) nanostructures. Evaluating characteristics of nanospring is important because these can be applied to wide application such as actuators, magnetic coils and others. In this study, we fabricated various shape nanosprings and evaluated those mechanical characteristics.

P5-4
Focused Ion Beams Session Posters

A Focused Chromium Ion Beam, A.V. Steele, B. Knuffman, J.J. McClelland, National Institute of Standards and Technology

A magneto-optical trap ion source (MOTIS) is a low-emittance, high-brightness source with potential to enable new forms of ion microscopy and nanofabrication. We present a MOTIS-based focused ion beam system using chromium ions. Neutral atoms are laser-cooled and trapped, ionized, extracted, deflected and focused for imaging, deposition and milling.

P5-5
Focused Ion Beams Session Posters

An Analysis of Sub-Surface Beam Spread and its Impact on the Image Resolution of the Helium Ion Microscope, Sybren Sijbrandij, John Notte, Colin Sanford, Ray Hill, Carl Zeiss SMT Inc.

An analysis of the effect of beam-sample interactions on the resolution of the helium microscope was performed. Models of beam broadening and signal generation were combined, for a range of beam energies and materials. It was found that under typical operating conditions, beam spread does not limit the microscope’s resolution.

P5-6
Focused Ion Beams Session Posters

Design of Parallel Mass Detection using Focused Ion Beam Columns, Anjam Khursheed, Kang Hao Cheong, National University of Singapore

This paper presents several possible mass spectrometer designs suitable for use with Focused Ion Beam. Detailed simulations will be presented for a compact parallel magnetic sector analyzer which can detect a wide-range of different masses.

P5-7
Focused Ion Beams Session Posters

Ion-Induced Secondary Electron Yields and Simulation of Ion Imaging, Vincenzo Castaldo, Cornelis Wouter Hagen, Pieter Kruit, Delft University of Technology

When imaging with ions, the effect of the ion beam on the target can not be neglected, for it affects, the imaging performance of the microscope. To obtain a better understanding of the scanning ion imaging process and its effect on the target, a SIM Simulator has been developed.

P5-8
Focused Ion Beams Session Posters

GFIS & LMIS Charged Particle Material Interaction Study for Semiconductor Nanomachining Applications, Shida Tan, Richard Livengood, Darryl Shima, Shawn McVey*, John Notte*, Intel Corporation, *Carl Zeiss SMT

The performance of the gallium LMIS may not scale sufficiently well to address the future needs of semiconductor nanomachining. In this work, thorough simulations and experimental analysis are conducted using various GFIS ion species incident on common semiconductor materials in order to appraise their performance.

P5-9
Focused Ion Beams Session Posters

Focused Ion Beam-based Fabrication of sub-200 nm Permalloy Islands for Lorentz TEM, Alexandra Joshi-Imre, Charudatta Phatak, Amanda K. Petford-Long, Argonne National Laboratory

We explore the limitations of 30 kV FIB direct-write lithography to produce patterns in a sputter-deposited 20 nm permalloy thin film on 50 nm thick silicon nitride TEM membrane window, with the ultimate goal to produce and characterize the smallest possible permalloy islands that are still crystalline and magnetically active.

P5-10
Focused Ion Beams Session Posters

A Novel Concept for Producing High Brightness, Low Energy Spread Ion Beams from a Miniaturized Gas Ionization Chamber, David Jun, Pieter Kruit, Delft University of Technology

We are developing a high brightness, low energy spread gas ion source for use in high resolution FIB applications. Our method is to extract ions from a microfabricated gas chamber where gas atoms become ionized by electron impact.  Our theoretical estimates indicate that this source can provide a brightness higher, an energy spread considerably lower than those of Ga LMIS.

P5-11
Focused Ion Beams Session Posters

Simulated Secondary-Electron Trajectories in Helium-Ion-Beam Lithography, Donald Winston, Juan Ferrera, Lorenzo Battistella, Karl Berggren, Massachusetts Institute of Technology

We have developed a Monte Carlo simulator to evaluate limits on resolution in resist-based helium-ion-beam lithography. Our simulations tracks both ions and secondary electrons, and suggest that ions may ultimately prove superior to electrons in the effort to simultaneously minimize both feature size and the proximity effect.

P5-12
Focused Ion Beams Session Posters

Stable Neon Emission from a Gas Field Ion Source,   Faridur Rahman, John Notte, Shida Tan, Richard Livengood, Zeiss

The field ion microscope (FIM) was used to study the emission stability of a neon gas field ion source (GFIS). Analysis of the emission pattern with a digital camera showed instability at 10 ms to 10 s time scales. We observed both brightness fluctuations and motion of the emission sites

P6-1
Masks and Maskless Lithography Session Posters

(Invited) Analog Lithography with Phase Masks in Projection Exposure Tools, Eric Johnson, P. Srinivasan, M. Poutous, Z. Roth, University of North Carolina at Charlotte

In this paper, we present the process for creating 3D micro and nano-structures using an analog lithography process. This process is then extended to include results for fabricating structures that have fine gradients in their duty cycle and topography.  Results will be presented for both thin and thick resists.

P6-2
Masks and Maskless Lithography Session Posters

Mask Technology for Self-Powered Electron Lithography with sub-35nm Resolution, Yuerui Lu, Amit Lal, Cornell University

We further experimentally demonstrated a minimum 35-nm resist feature, by using a new mask fabrication technique in Self-powered Electron Lithography (SPEL). As an example of top-down nanostructure fabrication, we successfully used SPEL to fabricate vertical silicon nanowire arrays over a 4-inch wafer, with both controllable nanowire diameter and pitch density.

P6-3
Masks and Maskless Lithography Session Posters

Flexible Membranes Improve Resolution in Stencil Lithography, Katrin Sidler, Oscar Vazquez-Mena, Guillermo Villanueva, Veronica Savu, Juergen Brugger, EPFL

Structures patterned by stencil lithography are, compared to the stencil membrane aperture, enlarged due to a geometrical blurring and a thin halo. We propose a solution to this issue based on a stencil with protruding membranes which are mechanically uncoupled from the Si frame of the stencil wafer.

P6-4
Masks and Maskless Lithography Session Posters

Fabrication of Nanosphere Patterns by Using Micro-contact Transfer Printing, Young-Jae Choi, Ki-Young Ko, Sang-Su Park*, Min-Hyuk Bang*, Jinho Ahn, Han Yang University, *Sungkyunkwan University

To observe these patterns in detail, AFM images were captured. The grain of the PS nanosphere can be observed at the edge of the patterns as can be seen in Fig. 3. The sizes of the PS nanospheres used in patterning 6μm line and 2μm holes were 600nm and 150nm, respectively.

P6-5
Masks and Maskless Lithography Session Posters

Monte Carlo Simulations to Study FEBID and EBL Resolution Limits, Ivan Lazic, Vadim A. Sidorkin, Wilem F. van Dorp, Cornelis W. Hagen, Emile W.J.M. van der Drift, Delft University of Technology

We study 1-20 nm range focused-electron-beam-induced deposition (FEBID) and resist-based electron beam lithography (EBL). The spatial- and energy-distribution of electrons in the particle-sensitive layer determine the ultimate writing resolution. Using Monte Carlo methods we predict the resolution of FEBID on ultra thin membranes and of the exposure step in EBL.

P6-6
Masks and Maskless Lithography Session Posters

Direct Write Laser at Visible Wavelength for Patterning of High Aspect Ratio Epoxy Materials, Víctor J. Cadarso, Karl Pfeiffer*, Ute Ostrizinski*, Anja Voigt*, Jean-Baptiste Bureau, Georges-Andre Racine, Gabi Gruetzner*, Jürgen Brugger, Ecole Polytechnique Fédérale de Lausanne, *Microresist Technology, GmbH

The use of DWL to expose epoxies has been proposed. In this paper the structurability and limitation of perform this process using a laser with a wavelength in the visible range are presented (@413nm). This technique is an excellent candidate for fast prototyping of epoxy based optical and mechanical structures.

P6-7
Masks and Maskless Lithography Session Posters

Optimizing Photon Sieves to Approach Fresnel Diffraction Limit via Pixel-based Inverse Lithography, Chenggang Zhou, Mosong Cheng*, Shanghai Academy of Science and Technology, *Texas A&M University at Qatar

This paper presents a novel, rigorous method using inverse lithography to construct photonic sieves that approach the Fresnel diffraction limit or generate arbitrary wavefront. The constructed photon sieves demonstrate higher resolution and much smaller sidelobes than Fresnel zone plate, therefore suitable for EUV/X-ray imaging and maskless lithography.

P7-1
Metrology and Imaging Session Posters

A Novel Scanning Electron Microscopy with Charging Control, N. Tsuno, Y. Ominami*, H. Ohta, H. Shinada, H. Makino, Y. Kimura, Hitachi Ltd, *Hitachi High-Technologies Corp

We developed a scanning electron microscopy that can control charging abilities and transient properties of high-impedance films under electron beam irradiation. The voltage contrast signal at poly-Si plugs processed into a SiO2 film increases tenfold compared the signal in conventional SEM.

P7-2
Metrology and Imaging Session Posters

A High Resolution Low Noise Secondary Electron Spectrometer for IC Failure Analysis, Hung Quang Hoang, Anjam Khursheed, National University of Singapore

This paper presents a high resolution secondary electron (SE) spectrometer for IC failure analysis inside the scanning electron microscopes (SEM. The research work utilizes a second-order focusing toroidal spectrometer as an attachment inside the SEM to monitor the SE spectrum for applications in IC failure analysis.

P7-3
Metrology and Imaging Session Posters

Analysis of Electron-Beam Deflection Noise with Open-Source Software, Michael Rooks, Richard Tiberio*, Yale University, *Stanford University

In this brief “shop notes” paper, we demonstrate the use of open-source software which fits horizontal and vertical gratings to an image of dots, automating the process of extracting the average jitter in an electron beam.

P7-4
Metrology and Imaging Session Posters

A Vision-Based Approach to Automated Analysis of Structure Boundaries in SEM Images, Nak Hyun Kim, Soo-Young Lee*, Hankuk University of Foreign Studies, *Auburn University

In this study, a vision-based approach has been developed for detecting the boundary of a structure in a cross-section SEM image and compensating for translational, scaling, and rotational distortions, in order to quantify the dimensional difference between the target and fabricated structures.

P7-5
Metrology and Imaging Session Posters

Photopatterning 3-Amino-Propyl-Triethoxy-Silane (APTES) Self-Assembled Molecular Layers on Alumina, Chandan. B. Samantaray, J. Todd Hastings, University of Kentucky

We report photo patterning of organosilane molecular layers using deep-UV (266-nm) light.Direct patterning of organosilane films using deep-UV (rather than VUV or e-beam) exposure allows the use of coherent and continuous wave sources and also prevents exposure of underlying e-beam resist layers in SPLEBL configurations.

P7-6
Metrology and Imaging Session Posters

Real Time Scatterometry for 193 nm Photoresist Trimming Monitoring: Influence of the Refractive Index Modification on CD Accuracy, Mohamed El Kodadi, Maxime Besacier, Erwine Pargon, Patrick Schiavone, Laboratoire des Technologies de la Microélectronique CNRS

The scatterometry, a non destructive optical-based metrology technique, allows monitoring the dimensions of submicron features. An In situ and real time tool is developing in our group, to follow dynamic processes. For nanometric features, optical index modification of the materials during the process must be considered for a better measurement accuracy.

P7-7
Metrology and Imaging Session Posters

Metrology and Analysis of Sub-10-nm-Electron-Beam Lithography, Huigao Duan, Donald Winston, Joel Yang, Bryan Cord, Vitor Manfrinato, Karl Berggren, Massachusetts Institute of Technology

We used transmission-electron microscopy and atomic force microscopy to analyze the resolution limit of sub-10-nm electron-beam lithography. We found that the feature size defined by electron-beam lithography could be as small as 4 nm, but dense features with half-pitch less than 8 nm were difficult to yield.

P7-8
Metrology and Imaging Session Posters

Improvement of Accuracy of SEM Based Dimensional Metrology, Sergey Babin, Konstantin Bay, Justin Hwu*, aBeam Technologies, Inc, *Seagate

SEM based dimension metrology has been studied. The image brightness profile has a complex relationship to the feature shape. Nanoimprint templates for disk drives were used. Comparison of accuracy using regular image processing software and physics based software found considerable improvement when using model based software.

P7-9
Metrology and Imaging Session Posters

Scaled-Up Optical Simulation of X-Ray Diffraction Microscopy, Ronnachai Jaroensri, Kanokwan Kulalert, Leili Baghaei Rad, Bing Dai, R. Fabian Pease, Stanford University

The project goal is to develop non-destructive imaging technique for extended buried structures using x-ray diffraction microscopy. Our optical simulation of the technique has a resolution which will scale down to 20 nm on X-ray setup. Non-isolated sample can also be imaged, allowing us to entirely reconstruct extended samples.

P7-10
Metrology and Imaging Session Posters

Linewidth Measurement for sub-10 nm Lithography, Stephen Thoms, Douglas Macintyre, University of Glasgow

This paper investigates how linewidths can most simply be measured to nanometer accuracy. To investigate this we fabricated platinum lines using electron beam lithography on a 50 nm silicon nitride membrane. We compared images obtained using different modes of both scanning and transmission electron microscopy.

P7-11
Metrology and Imaging Session Posters

Robust Estimation of Line Width Roughness (LWR) Parameters, Kedar Patel, Soumendra Lahiri*, Costas Spanos, University of California, Berkeley, *Texas A&M University

We present a new procedure to estimate line width roughness parameters that is more robust than the previously reported procedures. We validate our procedure with simulated roughness profiles with deterministic LWR parameters and also actual profiles from variety of different NGL processes such as LFLE, SADP, EUV, DSA, and NIL.

P7-12
Metrology and Imaging Session Posters

Measurement of Acid-Generated Latent Image in Polymer Matrix by Fluorescence Microscopy, Adam Berro, Peter Carmichael, Andrew Berglund, Alexander Liddle, National Institute of Standards and Technology

As feature sizes in semiconductor devices continue to shrink, the need to accurately assess line edge roughness arising from acid diffusion in chemically amplified resist has become more important.  New advances in fluorescence microscopy have made this method a more attractive option for observing latent images in CA photoresist.

P7-13
Metrology and Imaging Session Posters

In situ characterization of Exposed e-beam Resist using Novel AFM Technique, Hans Koop, Martin Zech, Daniel Schnurbusch*, Michael Mueller*, Khaled Karrai, Alexander Holleitner*, attocube systems AG, *TUM Garching

We introduce new approach characterizing in situ the impact of e-beam exposure to PMMA (poly-methyl methacrylate) using atomic force microscope (AFM) fully integrated into electron beam lithography (EBL) system. We present a systematic investigation of this novel approach, which may result in a reliable way to evaluate e-beam exposed resists before further post-processing.

P8-1
Microfluidics Session Posters

Microfluidic Dynamics in Micro-Scale Truss Structures Formed From Self-Propagating Photopolymer Waveguides for Heat Transfer Applications, Christopher Roper, Kathryn Fink, Joanna Kolodziejska, Alan Jacobsen, HRL Laboratories, LLC

A novel 3-D microfabrication process involving self-propagating photopolymer waveguides is used to create micro-scale lattice structures with critical dimensions ranging from 10 micrometers to 1 mm. The dynamics of microfluidic flow through the interstitial regions of these tailorable, photodefined, lightweight materials are characterized.

P8-2
Microfluidics Session Posters

Rotation Speed Control of Janus Particles by Dielectrophoresis in a Microfluidic Channel, Thibault Honegger, Olivier Lecarme, Kevin Berton, David Peyrade, CNRS-LTM

Anisotropic particles, known as Janus particles could lead to local reconfigurable patterns that may provide new type of display devices. This work presents the capability to manufacture large amount of Janus particles, the dielectrophoretic trap to perform the flip-flop of Janus particles and the rotational speed performances of the flip-flop.

P8-3
Microfluidics Session Posters

Decomposing Polynorbornene to Form Millimeter to Nanometer Sized Cavities, Nicole Devin, Devin Brown, Georgia Institute of Technology

Polynorbornene resist was exposed with an electron beam lithography system to include a range of millimeter to nanometer sized features. The features were coated with 4 um of titanium. The polynorbornene was decomposed in a furnace and diffused through the titanium to leave millimeter to nanometer sized cavities.

P8-4
Microfluidics Session Posters

Sub-10 nm Nanochannel Fabrications by Self-Sealing and Self-Limiting Atomic Layer Deposition, Sung-Wook Nam, Min-Hyun Lee, Seung-Hyun Lee, Do-Joong Lee, Stephen M. Rossnagel*, Ki-Bum Kim, Seoul National Univeristy, *IBM TJ Watson Research Center

Self-sealing and self-limiting atomic layer deposition (SS-ALD) enables us to build sub-10 nm nanochannel sructures for electrofluidic applications such as ionic field effect transistor (IFET).

P9-1
Modeling Session Posters

Theory, Modeling, and Simulation of Line Edge Roughness in Diblock Copolymer Resists, August Bosse, National Institute of Standards and Technology

We use a polymer phase-field model to study line edge roughness (LER) in a block copolymer resist. We examine (1) how LER varies with quench depth and noise strength; (2) various features of the LER spectrum, and (3) how an external pinning field suppresses LER.

P9-2
Modeling Session Posters

High Accuracy Electron Beam Model Development in MICHELLE: eBEAM, Serguei Ovtchinnikov, Simon Cooke*, Roman Shtokhamer, Masis Mkrtchyan, Christopher Kostas, Alexander Vlasov*, John Petillo, Baruch Levush*, Science Applications International Corporation, *Naval Research Laboratory

We present a new software tool (eBEAM) for high accuracy simulations of electron beams with stochastic space charge effects, as a module of the MICHELLE particle simulation code. The MICHELLE–eBEAM simulation is accomplished via a CPU/GPU hybrid code that runs on multiple platforms.

P9-3
Modeling Session Posters

Reducing the Pattern Redundancy in OPC Modeling by Analyzing the Pattern Linearity, Jianliang Li, Lin Zhang, Qiliang Yan, Lawrence Melvin, Synopsys Inc.

In this study, the linear response of pattern on optical signal is analyzed. There exist five linearity zones, within each of which most of the model CDs can be interpolated by the neighbors. One can then select the most representative layouts for OPC modeling process and reduce the pattern redundancy.

P9-4
Modeling Session Posters

Mask Aligner Lithography Simulation, Balint Meliorisz, Daniel Ritter, Fabrice Duval*, John Slabbekoorn*, Mireille Maenhoudt*, Andy Miller*, Nezih Unal, GenISys GmbH, *IMEC

Proximity and contact printing is frequently utilized at universities, research centers, and also small to medium enterprises, since it offers a less complex and more cost efficient solution over projection printing. This paper shows the use of lithography simulation to aid in understanding photoresist behavior in some example applications.

P9-5
Modeling Session Posters

Application of Kernel Convolution for Complementing Source Mask Optimization, Marshal Miller, Kenji Yamazoe, Andrew Neureuther, University of California, Berkeley

Nanobiology Session Posters

P10-1

Microfluidics-assisted Photo Nanoimprint Lithography for the Formation of Cellular Bioimprints, Volker Nock, Lynn Murray, Fahmi Samsuri, Maan Alkaisi, John Evans*, University of Canterbury, *University of Otago

Bioimprint is a technique capable of permanently capturing replica impressions of biological cells. The capability to form imprints with nanometer-scale biological information is of great potential for cell-culture applications. In this paper we introduce the microfluidics-assisted Bioimprint process and demonstrate its use for the formation of cell-culture scaffolds.

P10-2

Supported Lipid Membranes Corralled by Nanoscale HSQ and PDMS Barriers, Teresa Fazio, Eric Greene, Shalom Wind, Columbia University

Patterned supported lipid bilayers are formed by direct lithographic exposure of HSQ and PDMS.

P10-3

Biocompatible Surfaces with Locally Variable Rigidity, Roger Piqueras Jover, Teresa Fazio, Shalom Wind, Columbia University

Elastomeric surfaces with locally variable rigidity are formed by direct lithographic patterning. These surfaces have applications in cell biology as well as in micro- and nanomechanical sensors and actuators.

P10-4

Patterning of Antibody-Coated Surfaces using Energetic Helium Ions, Tim Sherlock, Azeem Nasrullah, Elliedonna Cacao, Steve Kemper, Paul Ruchhoeft, Gila Stein, Robert Atmar*, Richard Willson, University of Houston, *Baylor College of Medicine

In this work, we expose antibody-coated surfaces to a collimated helium ion beam to form biologically active patterns with high spatial resolution that are part of a retroreflector biosensor platform.

P10-5

In-vivo Assessment of Nanowire Biocompatibility in the Rat Brain, Christelle Prinz, Cecilia Linsmeier, Lina Pettersson, Philippe Caroff, Konstantin Vogel, Lars Samuelson, Jens Schouenborg, Lars Montelius, Nils Danielsen, Lund University

We investigated the tissue response to the injection of nanowires in the rat brain. The nanowire presence in the brain elicited an inflammatory response that decreased with time. No signs of sub-acute or chronic nanowire toxicity could be seen.

P10-6

Understanding Biology Through Nanostructured Interfaces, Scott Retterer, Rajesh Pareta, Amanda Edwards*, Michael Simpson, Mitchel Doktycz, Oak Ridge National Laboratory, *The University of Tennessee

The emergence of techniques for discretely controlling the organization and concentration of signals that influence biological processes may enable a better understanding of biological systems.  Techniques for controlling chemical surface cues using micro- and nanoscale patterning techniques and soluble signal concentrations using nanostructured membranes are demonstrated.

P10-7

Fabrication and Characterization of Giant Magnetoresistive Biosensors for Cancer Diagnostics, Yi-Ju Wang, Julia Litvinov, Richard Willson, Sakhrat Khizroev*, Dmitri Litvinov, University of Houston, *University of California - Riverside

This presentation will focus on the challenges of GMR sensor design, fabrication, and biofunctionalization to enable the production of a highly sensitive, specific device for the detection of early stage cancer biomarkers including a demonstration of a single magnetic biolabel detection.

P10-8

Single Cell Poly e-caprolacton (PCL) Membrane Electroporation Device for Gene Delivery, Hyunchul Jung, Brian Henslee, Xin Hu, James Lee, Wu Lu, The Ohio State University

To more accurately probe the extracellular activities for a better understanding of electroporation process, in this study, a poly (e-caprolacton) (PCL) membrane with a single micro-pore providing localized electroporation is used for cell immobilization and gene delivery to a single cell. Numerical simulations are performed to study the transmembrane potential distribution.

P10-9

Electrochemical Impedance Spectroscopy Study of Tethered Bilayer Lipid Membranes with Artificial Nanopores, Xuejin Wen, Kwang Joo Kwak, James L. Lee, Wu Lu, The Ohio State University

In this paper, we report electrochemical impedance spectroscopy (EIS) study of tBLMs without and with artificial nanoholes that are immobilized on a conductive gold substrate to assess the behavior of electroporation process of cell membranes.

P10-10

Fabrication of 3D Structures for the Assessment of Cell Mechanical Interactions within Cell Monolayers, David Fuard, David Peyrade, Alice Nicolas, CNRS-LTM

We show here a way of Fabrication of 3D structures for the assessment of cell mechanical interactions within cell monolayers. The fabrication of bio-sensors are made of biocompatible PDMS. The whole fabrication process consists in silicon mould fabrication, PDMS filling-up, planarization, PDMS residual thickness etching, and then silicon master etching.

P10-11

Nano-Bridge FET Array for DNA Hybridization Detection, Kosar Parizi, Hesaam Esfandyarpour, Yoshio Nishi, Stanford University

Nano-bridge biosensor as a label free, real-time and sensitive electrical biosensor device is presented. The modeling results of device with two-sided DNA immobilization is compared with the conventional biosensors. Then the fabrication process of the device followed by the experimental results of DNA charge detection is presented.

P10-12

Nanoneedle Biosensor Array for High-Throughput DNA Sequencing, Hesaam Esfandyarpour, Rahim Esfandyarpour, Ronald Davis, Stanford University

We report the improvement in device operation, through a modification in the design and fabrication of nanoneedle array device and show the images of successfully fabricated devices. The experimental results of BSA binding event are shown for different concentrations. For DNA sequencing, the experimental details are presented for detection of ionic-charge modulation for pH-sequencing.

P10-13

Integrated Differential Silicon Nano-Calorimeter with on-chip Microfluidic for Real-Time High-Throughput Drug Discovery, Hesaam Esfandyarpour, Ronald Davis, Stanford University

The optimization design rules for "an integrated differential dual-sided poly-silicon nanocalorimetry chip", the improvement results in sensitivity, as well as the optimization of the surrounding microfluidic platform module for liquid-phase picocalorimetry are discussed. We have tested the device for different range of bio-molecule detection and the experimental results are presented.

P10-14

Incorporation of Micro and Nano Scale Porosity on a Silicon Surface, Naga Korivi, Pratul Ajmera, Louisiana State University Louisiana State University

A new simple method is reported to form micro/nano-scale dimension porosity on a silicon surface. A porous polymer layer is formed on silicon surface. The polymer layer’s interconnected micro- and nano-scale through-pores allow an etchant to access and etch the underlying silicon, resulting in the controlled formation of pores in silicon.

P11-1
Nanoelectronics Session Posters

Flip Chip Lamination Approach to Fabricate Ultrasmooth Metal Contacts for Organic-Based Electronic Devices, Mariona Coll, Nadine Gergel-Hackett, Oana D. Jurchescu*, Curt A. Richter, Christina Hacker, NIST, *Wake Forest University

The ability to electrically contact organic materials remains one of the key issues to build high performance organic-based electronic devices. We present a novel fabrication approach, Flip Chip Lamination, that enables the formation of metal contacts on organic materials while maintaining their integrity.

P11-2
Nanoelectronics Session Posters

Fabrication of Platinum Single-Electron Transistors with Tunnel Barriers Made by Atomic Layer Deposition, Hubert C. George, Alexei O. Orlov, Gregory L. Snider, University of Notre Dame

We present a novel fabrication method of metal-oxide Single-Electron Transistors (SETs) featuring the use of high quality insulating barriers produced by atomic layer deposition.

P11-3
Nanoelectronics Session Posters

Hybrid Lithography (E-beam/DUV) and Dedicated Etching Process for CMOS-compatible Monoelectronic Studies with Gates down to 12 nm, Sebastien Pauliac-Vaujour, Romain Wacquez, Christian Vizioz, Corinne Comboroure, Bernard Previtali, Nadine Bove, Maud Vinet, Mathieu Pierre, Benoit Roche, Xavier Jehl, Marc Sanquer, CEA-LETI-INAC

For the AFSID project, it is required to build a platform enabling the study of both single dopant transport and coupled dots in multi gate devices. Respectively ultra short dimension and aggressive pitch are needed for these two different studies. Then a SOI CMOS-compatible platform has been designed for that purpose, with optimised lithographic and etching processes.

P11-4
Nanoelectronics Session Posters

Experimental Demonstration of Hybrid SET-CMOS Circuits, Aaron Prager, Hubert George, Alexei Orlov, Gregory Snider, University of Notre Dame

We present experimental demonstration of the integration of Single Electron Transistors (SETs) with CMOS devices situated on the same silicon substrate. Both CMOS and SET devices were shown to function at 400mK, and CMOS amplification of voltage output resulting from Coulomb blockade in the SET was confirmed.

P11-5
Nanoelectronics Session Posters

A Low Damage Fully Self-Aligned Gate-Last Process for Fabricating sub-100 nm Gate Length Enhancement Mode GaAs MOSFETs, Xu Li, Steven Bentley, Martin C. Holland, Haiping Zhou, Stephen Thoms, Douglas S. Macintyre, Iain G. Thayne, University of Glasgow

This work reports a self aligned dummy gate process flow which avoids subjecting the access regions of the III-V MOSFET to potential damage from etching of blanket deposited gate metal.

P11-6
Nanoelectronics Session Posters

Si Single Electron Transistor Fabricated by Chemical Mechanical Polishing, Yen-Chun Lee, Vishwanath Joshi, Alexei Orlov, Gregory Snider, University of Notre Dame

We report the results of Silicon Single Electron Transistors fabricated by a newly proposed Chemical Mechanical Polishing method with several process improvements.

P12-1
Nanoimprint Session Posters

Rapid Patterning of Spin-On-Glass using Ultrasonic Nanoimprint, Harutaka Mekaru, Masaharu Takahashi, National Institute of Advanced Industrial Science and Technology (AIST)

We succeeded in patterning of SOG in one minute by an ultrasonic-nanoimprinting though it takes ten minutes of pressure in a room-temperature-nanoimprint. The imprinted depth of 800-nm-square dotted patterns was 210-420 nm, and it became clear that a trade-off between the pressure and the amplitude of ultrasonic vibration is important.

P12-2
Nanoimprint Session Posters

Imprinted Quarter Wave Plate at Terahertz Frequency, Shimul C. Saha, Yong Ma, James P. Grant, Ata Khalid, David R. S. Cumming, University of Glasgow

We have imprinted artificial dielectric quarter wave plates (QWPs) on High Density Polyethylene for use at 2.6, 3.2 and 3.8 Terahertz. The grating period of the QWPs  is 60 µm.  A maximum aspect ratio (grating height/grating width) of 7 was obtained. This imprinting technology is simple, efficient and cost effective.

P12-3
Nanoimprint Session Posters

Residual Layer Uniformity using Complementary Patterns to Compensate Pattern Density Variation in UV Nanoimprint Lithography, Qing Wang, Hiroshi Hiroshima, Hidemasa Atobe, Sung-Won Youn, AIST

To form a uniform residual layer in the nanoimprint of non-uniform pattern density, we investigated the effects of complementary patterns to compensate pattern density variation on residual layer uniformity and confirm that using a mold with the complementary patterns is a very effective way for nanoimprinting non-uniform pattern density.

P12-4
Nanoimprint Session Posters

Durability Evaluation of Antisticking Layer by Step and Repeat UV Nanoimprinting, Makoto Okada, Katsuhiko Tone, Meisyo Kiko, Tetsuya Katase*, Yuichi Haruyama, Kazuhiro Kanda, Shinji Matsui, University of Hyogo, *Meisyo Kiko Co.

We carried out step and repeat UV nanoimprinting and measured the adhesion force between the mold and UV curable resin by this system. We confirmed from the measurement result that the averages of the adhesion forces increased by repeated UV nanoimprinting over 100 times.

P12-5
Nanoimprint Session Posters

Particle Beam Induced Fabrication of Nanoimprint Lithography Templates, Heinz D. Wanzenboeck, Simon Waid, Sasa Kutkurezovic, Emmerich Bertagnolli, Vienna University of Technology

The fabrication of templates (stamps) is one of the technological obstacles with nanoimprint technology. The use of a focused ion beam system for fabrication and modification of nanoimprint templates (stamps) has been investigated. Arbitrary structures and also 3-dimensional structures. Imprints were compared to the stamp revealing a good structural conformity.

P12-6
Nanoimprint Session Posters

Toward Successful Nanoimprint Mould Fabrication: Large Area Hexagonally Ordered Si Daughter Stamps by Guided Anodization, Kunbae Noh, Chulmin Choi, Jin-Yeol Kim, Sungho Jin, University of California, San Diego

Nanoimprint-guided anodization of aluminum films enabled the generation of periodic  nanopores at the impressed locations as well as in-between locations, thus leading to a pattern doubling. These patterns were utilized as a reactive ion etch mask for low-cost and easy creation of Si stamps for use in nanoimprint lithography.

P12-7
Nanoimprint Session Posters

Nanoimprint-Induced Molecular Stacking and Pattern Stabilization in Small-Molecular Organic Compounds for Photovoltaic Applications, Xiaogan Liang, Manuel Moritz, Teresa Chen, Yeon-Sik Jung, Yoshikazu Miyamoto, Gang Han, Biwu Ma, Stefano Cabrini, Deirdre Olynick, Lawrence Berkeley National Laboratory

In this work, we systematically study the thermodynamic and kinetic behavior of imprinted features in small-molecular organic photovoltaic materials and identify key processing parameters to create stable imprinted nanostructures.

P12-8
Nanoimprint Session Posters

Adhesion Force Evaluation of UV-Curable Nanoimprint Resins by Scanning Probe Microscopy with UV Irradiation System, Makoto Okada, Masayuki Iwasa*, Hiroto Miyake**, Nobuji Sakai^, Yuichi Haruyama, Kazuhiro Kanda, Shinji Matsui, University of Hyogo, *SII NanoTechnology, **Daicel Chemical Industries, ^Toyo Gosei Co.,

We demonstrated that SPM with UV irradiation system is useful to evaluate the adhesion force of the UV-curable resin through the curing process.

P12-9
Nanoimprint Session Posters

Rapid Thermal Imprint of High-Aspect-Ratio Nanostructures with Dynamic Heating of Mold Surface, Keisuke Nagato, Shuntaro Hattori, Tetsuya Hamaguchi, Masayuki Nakao, The University of Tokyo

This paper introduces a rapid thermal imprint method for high-aspect-ratio nanostructures. A thin-film current heater located at the back of the mold the heater substrate as a coolant realize the rapid heating and cooling, respectively. This method is useful for high throughput thermal nanoimprint.

P12-10
Nanoimprint Session Posters

Facile Wide-Scale Defect Detection of UV-Nanoimprinted Resist Patterns by Fluorescent Microscopy, Kei Kobayashi, Shoichi Kubo, Shinji Matsui, Masaru Nakagawa, Tohoku University, JST-CREST

We have recently developed fluorescent UV-curable resists for UV nanoimprint lithography to measure a residual layer thickness in convex parts of resist patterns.  In this article, we revealed detectable sizes of lateral pattern resolution for residual layer thickness and pattern pitch.  We demonstrate other advantages by fluorescent microscopy with a fluorescent UV-curable resist.

P12-11
Nanoimprint Session Posters

A Raman Spectroscopic Study of Polymer Chain Conformation after Nanoimprint, Dehu Cui, Xing Cheng, Texas A&M University

This paper presents a systematic study of polymer chain conformation in nanoimprinted PMMA by polarized Raman spectroscopy.

P12-12
Nanoimprint Session Posters

3D Metamaterials made of Gold Fabricated by Nanoimprint Lithography, Iris Bergmair, Michael Muehlberger, Rainer Schoeftner, Michael Bergmair*, Guenter Hesser*, Babak Dastmalchi*, Kurt Hingerl*, Ekaterina Pshenay-Severin**, Thomas Pertsch**, Holger Schmidt**, Ernst-Bernard Kley**, Uwe Huebner-**, Raluca Penciu^, Maria Kafesa^, C.M. Soukoulis^, Profactor GmbH, *Johannes Kepler University, **Friedrich Schiller University, ^Institute of Electronic Structure & Laser

In this work we show large area fabrication of Metamaterials like Split Ring Resonators and Fishnet structures with resonance at 1.5 µm using Nanoimprint Lithography. We fabricated first single layers of such structures and further stacked the layer on top of each other to obtain a 3D material.

P12-13
Nanoimprint Session Posters

Electrical Properties of Transferred Nano Metal Pattern using Metal Oxide Release Layer Method, Noriyuki Unno, Jun Taniguchi, Shouichi Ide, Tokyo University of Science

We have developed the 3D metal pattern transfer technique using metal oxide release layer. In this study, The electric properties of the transferred metal pattern were examined. As a result, the transferred metal layer resistivity is similar to bulk material and the nano electrodes on PET substrate were obtained.

P12-14
Nanoimprint Session Posters

High Accuracy UV-NIL Step and Repeat Master Stamp Fabrication for Wafer-Level Camera Application, Gerald Kreindl, Thomas Glinsner, Dominik Treiblmayr, Paul Lindner, Ron Miller, EVGroup Inc.

Master stamps are wafer-level templates fully populated with micro-lenses each replicated from one single lens in a step-and-repeat (S&R) approach. UV-NIL step and repeat mastering addresses unmatched needs like lens position accuracies of < 200nm and high lens shape repeatabilities required for the fabrication of high-end wafer level camera modules.

P12-15
Nanoimprint Session Posters

Positive Resists for a T-NIL / UVL Hybrid Lithography, Saskia Möllenbeck, Andre Mayer, Hella-Christin Scheer, University of Wuppertal

The suitability of two comparable positive tone resists, AR-P 3510 and AZ 1505,was investigated in a hybrid lithography process, combining thermal nanoimprint (to define the sub-micron patterns) and optical lithography (to define larger patterns) within the same resist layer. Both methods require substantially different processing.

P12-16
Nanoimprint Session Posters

Sputtering for an Etch-Free Lift-Off in T-NIL, Andre Mayer, Nicolas Bogdanski, Saskia Möllenbeck, Marc Papenheim, Hella-Christin Scheer, Universtity of Wuppertal

A lift-off process for thermal nanoimprint working without an etching step is presented. Partial cavity filling provides almost residual free imprint. In order to remove any remaining ultra thin residual layer in-line during deposition, sputtering is used instead of evaporation.

P12-17
Nanoimprint Session Posters

Impact of Wafer Deformation on Demolding Force for Thermal Imprint Process, Hiroaki Kawata, Masahito Matsue, Masaaki Yasuda, Yoshihiko Hirai, Osaka Prefecture University

In this report the effect of wafer deformation on demolding force is studied by both experiment and simulation.  The wafer deformations by the simulation agree to those by the experiment.  It is confirmed that the demolding force is strongly related to the mold deformation in the pattern area.

P12-18
Nanoimprint Session Posters

45 nm hp Line/Space Patterning into a Thin Spin Coat Film by UV Nanoimprint Based on Condensation, Hiroshi Hiroshima, Qing Wang, Sung-Won Youn, National Institute of Advanced Industrial Science and Technology

Patterning of 45 nm half pitch line/space patterns using a thin spin coat UV curable resin film by UV nanoimprint based on condensation is demonstrated.  UV nanoimprint in air shows bubbles coalesed in the middle of lines due to anisotropic resin filling but UV nanoimprint in PFP showed no traces of bubbles and realized quite even nanoimprinting.

P12-19
Nanoimprint Session Posters

Assessment of Release Properties on UV-NIL using Nano-Scale High Aspect Ratio Mold, Jun Taniguchi, Junki Takahashi, Yasuhiro Kamiya, Tokyo University of Science

Ultraviolet nanoimprint lithography (UV-NIL) is a powerful tool for nano-scale fabrication. We have been established the high-density and high-aspect-ratio (>15) nano-scale pattern transfer method. In this method, however, the strong release force is required during the release step; thus, this method can assess the release properties on UV-NIL.

P12-20
Nanoimprint Session Posters

Fabrication of Si Nanotemplate using Nanosilver Colloids for Anti-Reflection Films., Seong-Je Park, Soon-Won Lee, Jun-Ho Jeong, Jun-Hyuk Choi, Korea Institute of Machinery & Materials

The new strategy of colloidal lithography implementing nanosilver colloids was presented for the fabrication of nanotemplate. A nanopillar array on the nanotemplate was created throughout spin coating of nanosilver ink, optimized annealing, and reactive ion etching. And it gets through imprinting to produce the nanopatterned antireflection application.

P12-21
Nanoimprint Session Posters

Long-Range Ordered Aluminum Oxide Nanotubes by Nanoimprint-Assisted Aluminum Film Surface Engineering, Kunbae Noh, Chulmin Choi, Karla Brammer, Jin-Yeol Kim, Young Oh, Mariana Loya, Sungho Jin, University of California, San Diego

Nanoimprint-guided anodization of aluminum films enabled the creation of long-range ordered periodic nanopores at the impressed locations as well as in-between locations, thus leading to pattern multiplications. Interestingly, both nanotube and nanopored alumina arrays can be obtained by Al surface engineering via reactive ion etching process prior to anodization.

P12-22
Nanoimprint Session Posters

Fabrication of Mesas with Micro- and Nanopatterned Surface Relief used as Working Stamps for Step & Stamp Imprint Lithography, Arne Schleunitz, Christian Spreu, Tomi Haatainen*, Anna Klukowska**, Helmut Schift, Paul Scherrer Institut, *VTT Information Technology, **micro resist technology GmbH

We developed a concept of combined nanoimprint and photolithography and a stamp copying process, in order to fabricate working stamps with defined mesa structures with micro- and nanopatterned surface relief in a straightforward process. The working stamps are proven to be suitable for the easy fabrication of large area stamps.

P12-23
Nanoimprint Session Posters

Impact of Molecular Size on Resist Filling Process in Nanoimprint Lithography : Molecular Dynamics Study, Akihiro Taga, Masaaki Yasuda, Hiroaki Kawata, Yoshihiko Hirai, Osaka Prefecture University, JST-CREST

Molecular size effects of the resist filling process in nanoimprint lithography are studied with molecular dynamics simulation. It is found that press force required to fill the mold cavity increases with increase in molecular weight of polymer. The press force is closely related to the molecular size of the polymer.

P12-24
Nanoimprint Session Posters

A Two-Step Hot Embossing Process for Fabrication of Nano/Micro Hybrid Lens, Jing-Tang Wu, Wei-Yi Chang, Sen-Yeu Yang, National Taiwan University

This paper reports a novel and effective method for the fabrication of the polymeric nano/micro hybrid lens array. The nanostructures and microlens array are fabricated on the same polycarbonate (PC) substrate by hot embossing in sequence.

P12-25
Nanoimprint Session Posters

An Experimental Approach to Measurement and Reduction of Demolding Force in UV-Nanoimprint Lithography, Alborz Amirsadeghi, Jaejong Lee*, Sunggook Park, Louisiana State University, *Korea Institute of Machinery and Materials

We investigated the influence of the Young’s Modulus (E) of UV resist on the measured demolding force in UV-NIL by varying compositions of UV-curable polymers. We found that decreasing crosslinking content of the polymers decreases the Young’s modulus and polymerization shrinkage and in turn the demolding force.

P12-26
Nanoimprint Session Posters

Full-field Substrate Conformal Imprint Lithography (SCIL) on Mask Aligners, Ran Ji, Michael Hornung, Marc A. Verschuuren*, Robert van de Laar*, Süss MicroTec Lithography GmbH, *Philips Research

A novel NIL technique , substrate conformal imprint lithography (SCIL), bridges the gap between UV-NIL with rigid stamp for best resolution and soft stamp for large-area patterning. In this paper, the principle of this revolutionary nanopatterning technique is introduced. In addition, its potential application areas and the considerations of industrialization of SCIL technology are briefly discussed.

P12-27
Nanoimprint Session Posters

Impact of the Resist Properties on the Antisticking Layer Degradation in UV Nanoimprint Lithography, Achille Francone, Cristina Iojoiu*, Christophe Poulain, Christian Lombard, Brigitte Pépin-Donat, Jumana Boussey, Marc Zelsmann, CEA-LETI, *UMRCNRS

To improve the understanding of adhesion issues in UV assisted nanoimprint lithography (UV-NIL), both resist chemistry and resist mechanical properties were investigated in this work. The mold’s surface energy is monitored as a function of UV imprints number using di-vinyl-ether, di-acrylate, di-methacrylate and mono-methacrylate resists.

P12-28
Nanoimprint Session Posters

A Novel Method to Fabricate Microlens Array with Normal Subwavelength Structures, Chih-Hsien Lee, Yung-Pin Chen, Lon Wang, National Taiwan University

This paper demonstrates a novel method to fabricate compound structures on a flexible substrate, and the exiperimental results show good antireflection performance on a single microlens. This method has the potential to improve light efficiency by applying the compound structures to the LED package and to the light guiding plates for LED backlight modules.

P12-29
Nanoimprint Session Posters

Characterization of Nanoembossed PZT Ferroelectric Films, Ran Liu, Zhen-Kui Shen, Bing-Rui Lu, An-Quan Jiang, Xin-Ping Qu, Yi-Fang Chen*, Fudan University, *Rutherford Appleton Laboratory

The development of miniaturized ferroelectric field effect transistors (FeFETs) and random access memories (FeRAMs) has called for fabrication of high-quality ferroelectric nanostructures with excellent ferroelectricity. In this paper, we apply nanoembossing technique to fabricate PZT nanostructures and investigate the influence of the embossing process on the ferroelectric properties.

P12-30
Nanoimprint Session Posters

Highly Sensitive Surface-enhanced Raman Spectroscopy Sensors by 3-D Nanoimprint Lithography, Wei Wu, Min Hu, Fung Suong Ou, Zhiyong Li, R. Stanley Williams, Hewlett-Packard

We have reported highly sensitive surface-enhanced Raman Spectroscopy (SERS) sensors fabricated by 3-D nanoimprint.   We achieved 10 time performance improvement and demonstrated that NIL is a great tool to pattern high-resolution 3-D nanostructures and opened a door of fabrication and integration of high sensitive SERS sensors based on 3-D nanostructures.

P12-31
Nanoimprint Session Posters

Nanofabrication of SERS Device by an Integrated Block-Copolymer and Nanoimprint Lithography Method, Elaine Yang, Charlie Liu*, Charles Steinhaus, Chu Yeu Yang, Paul Nealey*, Jack Skinner, Sandia National Laboratories,  *University of Wisconsin

We demonstrate the fabrication of a surface enhanced Raman scattering device using templates created by an integrated block-copolymer and nanoimprint lithography method.  This fabrication method shows promise for cost-effective nanoscale fabrication including plasmonic and nanoelectronic devices.

P13-1
Nanomechanics Session Posters

MEMS Systems for Biomimetical Applications, Rhonira Latif, Enrico Mastropaolo, Andy Bunting, Thomas Koickal, Alister Hamilton, Leslie Smith*, Rebecca Cheung, The University of Edinburgh, *University of Stirling

A gentle etching process capable of releasing the aluminium bridge from the photoresist sacrificial layer has been developed. The technique employs a downstream oxygen plasma configuration. A damage-free process is critical for the release of the long bridges in Resonant-Gate-Transistor device which was designed to mimic the active cochlear mechanism.

P13-2
Nanomechanics Session Posters

Piezo-Electrically Driven Silicon Carbide Resonators, Enrico Mastropaolo, Isaac Gual, Graham Wood, Andrew Bunting, Rebecca Cheung, The University of Edinburgh

The design, fabrication, simulation and testing of piezo-electrically driven SiC cantilevers for MEMS applications is reported.  In particular, an electrode made of Pt/PZT/Pt has been designed on top of the SiC and it can be used for the electrical actuation and sensing of the structure’s resonance.

P13-3
Nanomechanics Session Posters

Micromachined Scanning Proximal Probes with Integrated Piezoresistive Readout and Bimetal Actuator for High Eigenmode Operation, Mirosław Woszczyna, Paweł Zawierucha, Teodor Gotszalk, Yanko Sarov*, Tzvetan Ivanov*, Andreas Frank*, Jens-Peter Zöllner*, Ivo W. Rangelow*, Wroclaw University of Technology, *Ilmenau University of Technology

In this article we present fabrication process of new type self actuated piezoresistive proximal probe for high eigenmode surface measurements.

P13-4
Nanomechanics Session Posters

Microfabricated Resistive High Sensitivity Nanoprobe for Scanning Thermal Microscopy, Pawel Janus, D. Szmigiel, Piotr Grabiec, Grzegorz Wielgoszewski*, Teodor Gotszalk*, Yvonne Ritz**, Ehrenfried Zschech**, Martin Weisheit^, Michael Hecker^,  Institute of Electron Technology, *Wroclaw University of Technology, **Fraunhofer IZFP, ^Globalfoundries

In this work, we present a novel micromachined scanning thermal microscopy(SThM) micro-cantilever, equipped with a conductive platinum tip acting as a thermal sensor. The processing sequence proposed in this work integrates a high reproducibility and precise post-processing applying focused ion beam tip modification.The cantilever is designed for scanning thermal microscopy (SThM) applications in a standard setup with the optical detection system.

P14-1
Nanophotonics Session Posters

(Invited) 3D Adiabatic Compression of Plasmon Polariton for Nanomapping at 10 nm Resolution, Francesco De Angelis, Gobind Das, P. Candeloro*, C. Liberale, F. Mecarini, R. Proietti, E. Di Fabrizio, Fondazione Istituto Italiano di Tecnologia, University of Magna Graecia

We report on a novel device that combining different techniques and concepts is able to adiabatically compress Surface Plasmon Polaritons at nanoscale. When fabricated on a cantilever, the device can also acts as an AFM tip, enabling  topography  and chemical nano-mapping at the same time by means of Raman Scattering.

P14-2
Nanophotonics Session Posters

Absorbance-Modulation Interference Lithography Enhanced by a Planar Silver Lens, Charles Holzwarth, John Foulkes, Richard Blaikie, University of Canterbury

Sub-diffraction limited patterns can be achieved using absorbance-modulation interference lithography (AMIL).  However, AMIL is plagued by many problems such as limited contrast and depth of focus.  In this paper we present experimental results demonstrating that by integrating a planar silver lens with AMIL one can relax some of these limitations.

P14-3
Nanophotonics Session Posters

SERS-Active Substrate Based on Gap Surface Plasmon Polaritons, Hyun Chul Kim, Xing Cheng*, Samsung Electronics Co., *Texas A&M University

We numerically investigate field enhancement supported by gap surface plasmon polaritons. SERS enhancement factors (EFs) of up to 10E11 can be achieved in equilateral triangular nanostructures. The SERS EFs as a function of the geometry and dimension of the nanostructures are also obtained by simulation.

P14-4
Nanophotonics Session Posters

Gold Nanocone Probes for Near-Field Scanning Optical Microscopy, Bastian Zeeb, Christian Schäfer, Peter Nill, Monika Fleischer, Dieter P. Kern, University of Tübingen

Two different approaches for ultra sharp and well-defined antennae based upon gold nanocones with a tip radius smaller than 10 nm for apertureless near-field scanning optical microscopy are presented:

P14-5
Nanophotonics Session Posters

Fabrication of Nanostar Array by Nanoimprint Lithography, Bo Cui, Liviu Clime, Teodor Veres, National Research Council of Canada

Nanostar array is ideal for surface enhanced Ramam scattering (SERS)application due to its spectrum tunability and the "hot spots" at the sharp apexes and between two nearly touching nanostars. We report here the fabrication of nanostar array with 200nm period over large surface area by nanoimprint lithography.

P14-6
Nanophotonics Session Posters

Patterning of sub-10-nm Metal Structures for Plasmonic Characterization, Joel Yang, Ai Leen Koh*,  David McComb*, Stefan Maier*, Hong Yee Low, Institute of Materials Research and Engineering, *Imperial College London

Nanostructuring metals at sub-10-nm dimensions is difficult but advantageous in plasmonic applications. To this end, we demonstrated approaches for the fabrication of sub-10-nm metal nanostructures. Using top-down approaches we can control the position and geometry of the nanostructures. Approaches involve electron-beam lithography, nanoimprint lithography and metal deposition.

P14-7
Nanophotonics Session Posters

Characterization of Less-Diffractive Nano-Scale Beam from a Ring Aperture Type Plasmonic Lens, Hyungduk Ko, Seungoh Han*, Sungkyu Seo**, Samsung LED Co. Ltd., *Hoseo University, **Korea University

For the generation of less-diffractive nano-scale beam in the mid-field, we characterize several critical parameters of the PL which contains a simple ring aperture. By using less-diffractive nano-scale beam, the PL holds a great promise in maskless nanolithography, high-density data storage and optical efficiency improvement of light emitting diode(LED).

P14-8
Nanophotonics Session Posters

The Dispersion of Localized Surface Plasmons in the Gold-Capped Silicon Nitride Rods Photonic Crystal Slab, J. H. Shyu, Y. C. Lee, J. C. Wu, National Changhua University of Education

Optical transmissions of the gold-capped silicon nitride rod photonic cystal slab have been investigated for various heights and diameters of rods. We demonstrate the dispersion of localized surface plasmons in the gold-capped silicon nitride rods photonic crystal slab.

P14-9
Nanophotonics Session Posters

Parallel Fabrication of down to 3nm Gaps in Metallic Nano-Antennas, Yunchuan Kong, Yi Luo, Carnegie Mellon University

We introduce a novel approach for the preparation of large quantities of miniature gaps in metallic nano-antennas.  It combines e-beam lithography, RIE, and ion beam milling techniques.  With this method, arrays of nano-antennas with less than 5nm wide gaps that have well defined shape can routinely be fabricated.

P14-10
Nanophotonics Session Posters

Large Area 3D Photonic Crystals with Embedded Waveguides, Alex Raub, S.R.J. Brueck, University of New Mexico

A novel interferometric lithography technique for fabricating three-dimensional photonic crystals is presented, which allows for independent dimensional control of each crystal axis.  A novel approach to fabricating waveguides, based on two-wavelength lithography, embedded in three-dimensional photonic crystal is also presented.

P14-11
Nanophotonics Session Posters

Towards Automated Fabrication of 3D Photonic Devices by Focused Ion Beam, Remco Geurts, Steve Reyntjens, Laurent Roussel, Oliver Wilhelmi, FEI Company

"Since the 2D nanopatterning process by Focused Ion Beam (FIB) is maturing, new possibilities for 3D nanopatterning arise. 3D photonic structures can be advantageously manufactured in a FIB system by patterning the substrate from multiple different angles, which can be done in an automated sequence thanks to automated alignment capabilities."

P14-12
Nanophotonics Session Posters

Surface Emitting Lasers with Two-Dimensional Nanoimprinted Photonic Crystals, Vincent Reboud, Nikolaos Kehagias, Javier Romero-Vivas*, Pierre Lovera**, Gareth Redmond^, Clivia M. Sotomayor Torres, Catalan Institute of Nanotechnology, *Institut Fresnel, **Tyndall National Institute, ^University College Dublin

We report on the fabrication in a one-step process and the characterization of two-dimensional polymer photonic crystal lasers operating in the visible range. Components were fabricated in a dye chromophore-loaded polymer matrix by NIL. The experimental lasing frequencies are in good agreement with those calculated by a plane wave algorithm.

P14-13
Nanophotonics Session Posters

Exciton-Plasmon Coupling in Nanoimprinted Plasmonic Crystals for Light Extraction Coupling, Vincent Reboud, Gatean Leveque*, Tim Kehoe*, Nikolaos Kehagias, Ali K. Khokhar**, Nikolaj Gadegaard**, Clivia. M. Sotomayor Torres, Catalan Institute of Nanotechnology, *Tyndall National Institute, **University of Glasgow

26-fold enhancement of photoluminescence intensity of a dye-doped printable polymer is achieved by using a two-dimensional nanoimprinted plasmonic crystal. This enhancement is explained by a coupling plasmon-exciton in the vicinity of the metal layer and by an efficient diffraction of the surface plasmon modes by the metallic structures.

P14-14
Nanophotonics Session Posters

Plasmonic Color Filter Based on Metal-Insulator-Metal Resonators, Yi-Kuei Wu, Ting Xu, L. Jay Guo, University of Michigan

A new type of the transmission color filter based on Metal-insulator-metal plasmonic nanostracture is proposed and demonstrated. The structures were fabricated by first depositing multilayer stack and followed by Focused Ion Beam lithography to define the subwavelength periodic structures. The color is easily tuned by changing structural parameters.

P14-15
Nanophotonics Session Posters

A Tunable Optofluidic Nano-Bragg Microcavity Filter, Aju Jugessur, James Dou, J. Stewart Aitchison, University of Toronto

An optofluidic Nano-Bragg microcavity filter is designed using 3-D FDTD technique and fabricated using Electron-Beam Lithography and Reactive Ion Etching techniques. Continuous filter tuning is possible via small refractive index changes by mixing different fluids.  An index change of 0.05 corresponds to a wavelength shift of approximately 2 nm.

P14-16
Nanophotonics Session Posters

Fabrication of High Resolution Optical Spectrometer-on-Chip, Sergey Babin, Alexey Bugrov*, Stefano Cabrini**, Scott Dhuey**, Alexander Goltsov*, Bruce Harteneck**, Christophe Peroz, Vladimir Yankov*, aBeam Technologies, Inc., *Nano-Optic Devices, **LBNL

Spectrometer-on-chip based on Digital Planar Holography is a new type of miniature optical device. The spectrometer involves millions of lines specifically located in order to direct the output light into the designated focal points according to the wavelength. In this paper, we present fabrication and measurement of spectrometers on chip with high spectral resolution.

P14-17
Nanophotonics Session Posters

Optical Activities of Nanoscale Planar Spiral Nanotrenches in Titanium Films, Feng Wang, Xuejin Wen*, Kai Sun**, Wu Lu*, Qihuo Wei, Kent State University, *Ohio State University, **University of Michigan at Ann Arbor

In this paper, we present our experimental and numerical studies of optical transmission through spiral nanotrenches in Ti films. The basic conditions to generate giant optical activities through these planar spiral nanotrenches are discussed.

P14-18
Nanophotonics Session Posters

Fabrication and Characterization of Metal-Dielectric-Metal (MDM) Nanoantennas, Bhuwan Joshi, Xuejin Wen*, Kai Sun**, Wu Lu*, Qi-Huo Wei, Kent State University, *Ohio State University, **University of Michigan

The newly designed metal-dielectric-metal nanoantennas consist of two metallic parts separated by a thin layer of dielectrics.This manuscript describes the optical properties and fabrication method of these nanoantennas

P14-19
Nanophotonics Session Posters

Fast Turn-Around Time, Layer-by-Layer Fabrication of 3D Photonic Crystals, Leo T. Varghese, Li Fan, Yi Xuan, Lin Zhao, Minghao Qi, Purdue University

We demonstrate a fast-turnaround process to fabricate 3D photonic crystals. A scaffold is formed in HSQ with e-beam lithography and planarized with SU8, then it is refilled with silicon through LPCVD. Our process is free from uncontrollable defects typically in self-assembly approaches and can also introduce designed cavities and waveguides.

P14-20
Nanophotonics Session Posters

Fabrication of Silver Nano Slit Chain Waveguides for Surface Enhanced Raman Scattering, Lin Zhao, Minghao Qi, Purdue University

We propose to fabricate smooth, high-resolution (10nm half pitch) patterned metallic nanostructures using a membrane peeling method which takes advantage of the different metal affinity between the membrane above and the substrate below. We have achieved atomically smooth mold which may allow highly smooth metal nanostructures to be fabricated.

P15-1
Nanostructures Session Posters

Ultrasmooth, 3D Nanostructured Gold Films for Enhanced SPR Detection by Nanoimprint Lithography and Template Stripping, Keith Morton, Bo Cui*, Lidija Malic, Teodor Veres, National Research Council Canada, *University of Waterloo

We demonstrate ultra-smooth, three-dimensional gold thin films studded with nano-domes and nano-pyramids for enhanced SPR biodection by using nanoimprint lithography and template striping of gold from nanostructured and re-usable silicon templates.

P15-2
Nanostructures Session Posters

Cl2-based ICP Etching of Photonic Crystals for the Visible Spectrum in GaN and SiC, M.C. van der Krogt, D. Brousse, O. Guziy, E. van der Drift, H.W.M. Salemink, Delft University of Technology

Our work is aimed at the top-down fabrication of photonic crystal structures for visible wavelengths in gallium nitride (GaN) and silicon carbide (SiC) by Cl2-based ICP etching with N2 and Ar admixture.

P15-3
Nanostructures Session Posters

Low Energy Ar+ ion Beam Machining of ULE® and CLEARCERAM® Substrates for TMT Primary Mirrors, Yuduru Kase, S.A. Pahlovy, Iwao Miyamoto, Tokyo University of Science

Mechnaical pre-finishing of mirror have several problems such as edge effects, tool wear, force loading effects, and generation of sub-surface damage. Therefore, ion beam figuring (IBF)may be adapted to final shape error correction of the substrates of ultra-low-expansion glass material such as Zerodur, CREACELAM and ULE for primary mirror of TMT.

P15-4
Nanostructures Session Posters

Direct Synthesis of Vertical a-Fe2O3 Nanowires from Sputtered Fe Thin Film, Keisuke Nagato, Masaki Furubayashi, Tetsuya Hamaguchi, Masayuki Nakao, The University of Tokyo

The a-Fe2O3 (hematite) nanowires are synthesized directly from sputtered Fe thin film. The nanowires were candidates for field-emission devices, gas sensors, and field-effect transistors. Thin film seed is useful for the device integration. We investigated the nanowire growth rate and characterized by SEM observation, Raman spectroscopy, etc.

P15-5
Nanostructures Session Posters

Vibration Characteristics of Monolayer Graphene Resonator, Taiki Hatakeyama, Reo Kometani, Masao Nagase*, Shin'ichi Warisawa, Sunao Ishihara, The University of Tokyo, *NTT Basic Research Laboratories

We fabricated a monolayer graphene resonator and evaluated its vibration characteristics using an atomic force microscope (AFM). Quality factor of monolayer graphene was extremely low. It is chiefly attributed to energy dissipation by atmospheric molecules. We will discuss how surface conditions of the graphene influence its vibration characteristics.

P15-6
Nanostructures Session Posters

Controlled Surface Nanostructures for Performance-Analysis on Solid Support Fuel Cells, Sebastian Strobel, Christopher Kirkendall*, Karl K. Berggren, Massachusett Institute of Technology,  *University of Missouri-Columbia

For studying the influence of the triple-phase-boundary (TPB) geometry of fuel cells on performance, the effect of TPB variation must be investigated. Here, a systematic way to alter the TPB geometry by fabrication of high resolution nanostructures with electron-beam lithography and thermal dewetting of metal thin films is presented.

P15-7
Nanostructures Session Posters

Fabrication of Antireflection Structures for Binary Diffraction Gratings, Chih-Hao Chang, George Barbastathis*, Singapore-MIT Alliance for Research and Technology, *Massachusetts Institute of Technology

In this work we describe the fabrication of antireflection structures for diffraction grating.  The proposed structure is designed to suppress all reflected diffraction orders.  We will present the processing conditions in detail, the theoretical modeling and experimental testing results of the fabricated structure.

P15-8
Nanostructures Session Posters

Assembling Nanoparticle Catalysts with Nanospheres for Periodic Growth of Carbon Nanotube Arrays, Chih-Hao Chang, Hyun Jin In*, Martin Deterre*, George Barbastathis*, Singapore-MIT Alliance for Research and Technology, *Massachusetts Institute of Technology

In this work we outline a novel method to fabricate periodic catalyst layer for growing carbon nanotube using a one-step spincoating process.  The process utilizes monodispersed nanospheres to assemble nanoparticle catalyst materials into an organized array.  The proposed process does not require a catalyst deposition step, greatly reducing fabrication complexity and costs.

P15-9
Nanostructures Session Posters

Silicon Nanobelt Field Effect Transistors Toward Hepatocellular Carcinoma Detection, Chi-Chang Wu, Fu-Ken Liu*, Cyncia Huang, Pen-Hsieh Hsu, Fu-Hsiang Ko, National Chiao Tung University, *National Univerisity of Kaohsiung

We have used the local oxidation of silicon process to fabricate a silicon nanobelt field effect transistor. This approach is completely compatible with CMOS technology, yet it avoids the need for expensive lithography tools to define the nanoscale pattern. We employed the fabricated NB-FET as a biomolecular sensor for the early, real-time, label-free screening of hepatocellular carcinoma.

P15-10
Nanostructures Session Posters

Diblock Copolymers Technology for Silicon Nanowires/Nanodots Elaboration, Claire Agraffeil, Thierry Baron, Gay Guillaume*, Billel Salhi, Thierry Chevolleau, Gilles Cunge, Bassem Salem, Laurent Montes**, Laboratory of Microelectronic Technology, *CEA, LETI, MINATEC, **IMEP-LAHC

Diblock copolymer technology was used to transfer a dense area of nanodots and nanowires into Silicon substrate. The main difficulty is the thin mask thickness, thus, two original strategies are proposed to realize Silicon nanostructures using etching plasma processes. Fabrication of nanowires and nanodots will be used for microelectronic applications.

P15-11
Nanostructures Session Posters

Fabrication and Characterization of Ultrahigh Aspect Ratio vias in Gold using the Helium Ion Microscope, Larry Scipioni, David Ferranti, Vincent Smentkowski*, Radislav Potyrailo*, Carl Zeiss SMT, Inc., *GE Global Research Center

The helium ion microscope is being applied in the fabrication of sensors for nanometer scale chemical and biological features. The sub-nanometer spot size, chemical inertness, and ability to mill gold makes it capable of rapidly generating arrays of vias. We report on the machining and inspection of such vias.

P15-12
Nanostructures Session Posters

Tuning Transport and Two-State Noise in Carbon Nanotubes using Precisely Controlled Electron Beam, Jack Chan, Deborah Kidd, Caixia Bu, Lloyd Harriott, Keith Williams, University of Virginia

When carbon nanotubes are exposed to a precisely controlled low energy (30kV) electron beam, interesting phenomena are observed: reversible tuning of transport and discrete current switching.  Also, effects of electron beam exposure on graphene are under study.

P15-13
Nanostructures Session Posters

Metallic Nanodot Arrays Fabricated by Stencil Lithography on SiO2 and Polymer Substrates, Oscar Vazquez-Mena, Takumi Sannomiya*,  Luis Guillermo Villanueva, Veronica Savu, Katrin Sidler, Janos Voros*, Juergen Brugger, Ecole Polytechnique Fédérale de Lausanne, *ETH Zurich

This contribution reports the fabrication of sub-100 nm Au dots on silicon oxide and polymer substrates by stencil lithography. This method does not require any resist related processing. The extinction spectra of the nanodots show the localized surface plasmon resonance behavior in the dots.

P15-14
Nanostructures Session Posters

Electron Beam Induced Deposition of Gold using the Au(CO)Cl Precursor, Johannes Mulders, Lyubov Belova*, Piet Trompenaars, FEI Electron Optics, *KTH

A new precursor for Electron Beam Induced Deposition of Gold has been successfully tested: Au(CO)Cl. This material is a regularly available material with acceptable life-time, that produces a very high gold content and EBID specific resitivity lower then any found in literature. The first results with this precursor are presented.

P15-15
Nanostructures Session Posters

Nanostructured Silicon Membranes for Control of Molecular Transport, Bernadeta R. Srijanto, Scott T. Retterer, Mitchel J. Doktycz, Oak Ridge National Laboratory

Nanostructured silicon membranes were fabricated by combining electron beam and conventional photolithographies with cryogenic silicon etching. Pores created during this process were reduced by using PECVD or ALD.  Details of the fabrication, characterization and testing are discussed in view of future uses of these membranes to control molecular transport.

P15-16
Nanostructures Session Posters

Nanometer Scale Bosch Process Silicon Etching, Devin Brown, Nicole Devlin, Georgia Institute of Technology

The widely used Bosch process has great selectivity but too much undercutting and scalloped sidewalls for nanometer scaled features. This work presents a modified Bosch process which has successfully etched a 60 nm pitch grating structure, which is almost a factor of 3 smaller than previous work.

P15-17
Nanostructures Session Posters

Nanowire-Arrays via Block Copolymer Lithography, Muruganathan Ramanathan, Seth Darling, Anirudha Sumant, Orlando Auciello, Derrick Mancini, Argonne National Laboratory

We demonstrate a detailed procedure for developing nanowire arrays of ultrananocrystalline diamond and palladium.  The effects of root-mean-square roughness and the addition of an interlayer between the substrate and BCP have been characterized in detail.  The results are in favor for a large scale nanomanufacturing of nanowires arrays.

P15-18
Nanostructures Session Posters

Rapid Ablation of Polymer Film and Self-Aligned Formation Gold Nanoparticles by Localized Joule Heating, Chen-Chia Chen, Yu-Sheng Lin, Jeng-Tzong Sheu, National Chiao Tung University

A localized joule heating (Temperature > 450 degree C) technology for rapid ablation of polymer thin film and self-aligned formation of gold nanopartilces (AuNPs) on polysilicon microwire is reported. A 2-nm thick gold film was annealed into nanoparticles in microseconds on the microwire.

P15-19
Nanostructures Session Posters

Ultrananocrystalline Diamond Nanowires Fabricated using Electron-Beam Lithography and Reactive Ion Etching, Vishwanath Joshi, Xinpeng Wang*, Anirudha Sumant, Leonidas Ocola, Derrick Mancini, Argonne National Laboratory, *University of Puerto Rico

Diamond nanowires and nano-rods are attracting much attention due to their extraordinary mechanical, electrical, and optical properties. We report a method based on EBL and RIE of ultrananocrystalline diamond (UNCD) films grown on Si substrates, to produce UNCD nanowires with well-defined spatial distribution and nanometer scale precision.

P15-20
Nanostructures Session Posters

Nanoporous Ultrananocrystalline Diamond Membranes, Olga Makarova, Ralu Divan*, Nicolaie Moldovan**, Daniel Rosenmann*, Christina Miller*, Cha-Mei Tang, Creatv MicroTech Inc., *Argonne National Laboratory, **Advanced Diamond Technologies Inc.

Nanoporous membranes for medical implant and drug delivery applications are required to be biocompatible and antifouling, have narrow pore size distribution, high porosity, have mechanical and chemical stability. We report results on high porosity high-aspect-ratio ultrananocrystalline diamond membranes fabricated using e-beam lithography, reactive ion etching and laser writing.

P15-21
Nanostructures Session Posters

Fabrication of Nano SQUID in Multilayered Thin Film of Y123/P123 using Focused Ion Beam, S. Saini, M. Takamura*, M. Mukaida*, S.-J. Kim, Jeju National University, *Kyushu University

We have fabricated a nano-SQUID with the effective area of 150 nm X 100 nm using three dimensional focused ion beam (3-D FIB) etching process in Y123/P123 multilayer thin film. The nano-SQUID is showing response with radio frequency.

P15-22
Nanostructures Session Posters

Nano-scale Stack Fabrication on Thin Graphite Flake using Focused Ion Beam 3-D Etching Technique, Gunasekaran Venugopal, Sang Jae Kim, Jeju National University

We have fabricated Nano-scale stack on thin graphite flake using focused ion beam three-dimensional etching technique. The size of fabricated stacked-junction is W=0.5μm, L=0.5μm, H=100nm. We also reported the electrical transport characteristics of this nanoscale stacked-junctions which were shown a nonlinear I-V characteristics as they consists of multiple junctions along c-axis.

P15-23
Nanostructures Session Posters

Electrochemical Development of Hydrogen Silsesquioxane, Katherine Harry, Huigao Duan, Joel Yang, Sebastian Strobel, Karl Vitor Manfrinato, K. Berggren, Massachusetts Institute of Technology

The effect of electric potentials applied during the development of Hydrogen Silsesquioxane (HSQ) was studied in salty developer solution, saltwater and deionized water. We found that high resolution features could be resolved in all three environments with an applied potential.

P15-24
Nanostructures Session Posters

Copper–Plated 50 nm T–Gate Fabrication, Richard K Oxland, Xu Li, Susan Ferguson, Steven Bentley, Iain G Thayne, University of Glasgow

We present a route to the realisation of a scalable, sub-100nm Cu-based T-gates using a subtractive, silicon-compatible process. The process utilises ultra-high resolution e-beam lithography for pattern transfer of the gate foot into silicon nitride. The head is formed using a copper plating process optimised for 200nm dimensions.

P15-25
Nanostructures Session Posters

Structured Titanium Surfaces for Biomedical Applications, Ronny Löffler, Peter Nill, Monika Fleischer, Dieter Kern, University of Tübingen

An approach to achieve regularly structured bulk titanium surfaces by reactive ion etching (RIE) is presented in order to investigate the influence of micro- and nano-structured material implant surfaces on adjacent biosystems.

P15-26
Nanostructures Session Posters

Batch Wafer Fabrication of Passivated Carbon Nanotube Transistors for Electrochemical Sensing Applications, Iñigo Martin-Fernandez, Xavier Borrisé, Emilio Lora-Tamayo, Philippe Godignon, Francesc Perez-Murano, IMB-CNM (CSIC)

We present a technological process for batch fabrication of SWCNT-FET based chips for electrochemical sensing applications. We have designed, batch fabricated at wafer level, and electrically evaluated thousands of SWCNT-FET devices. For the devices to be passivated, we have developed a post-fabrication procedure based on PMMA coating and E-beam lithography.

P15-27
Nanostructures Session Posters

Nanofabrication of X-Ray Zone Plates using Ultrananocrystalline Diamond Molds and Electroforming, Derrick Mancini, Michael Wojcik, Vishwanath Joshi, Anirudha Sumant, Ralu Divan, Leonidas Ocola, Argonne National Laboratory

Nanofabrication of X-ray zone plates (XZP) requires high aspect ratios electroforming molds. Ultrananocrystalline diamond has superior mechanical properties, radiation hardness, and ability to be grown with controlled stress and small grains necessary for mold fabrication. We fabricate XZP by gold electroforming in diamond molds produced by e-beam lithography and RIE.

P15-28
Nanostructures Session Posters

An Empirical Study of Field Emission Properties of a Single Toroid Carbon Nanotube Pillar Electron Source, Jeremy Silan, Darrell Niemann*, Bryan Ribaya*, Mahmud Raman, Cattien Nguyen**, Santa Clara University, *NASA Ames Research Center, **4Wind Science and Engineering,LLC

In this presentation, we investigate the effect of ring thickness, R, on the field emission of a toroid carbon nanotube pillar.  We will present experimental field emission I-V characteristics as well as stability test results for three toroid CNT pillar emitters with R values 5 µm, 7.5 µm and 10 µm.

P15-29
Nanostructures Session Posters

Fabrication of PEG Hydrogel Particles for Pharmaceutics using Electron Beam and Optical Lithography, Misuk Bae, Richard Gemeinhart, Kamlesh Suthar*, Ralu Divan*, Derrick Mancini*, University of Illinois, *Argonne National Laboratory

There is need for micro- and nanoparticles in pharmaceutical applications including, but not limited to, imaging, bioassays, and drug delivery carriers. We report the use of electron beam and optical lithography for fabricating poly(ethylene glycol) hydrogel particles that have controlled size and shape. Geometrically uniform hydrogel particles have been fabricated in the nanometer and micrometer range.

P15-30
Nanostructures Session Posters

Fabrication of Binary Phase Grating on the Fiber End by using Two- Beam Interference Lithography, Ching-Tung Tseng, Tz-Shiuan Peng, Yung-Pin Chen, Jui-Chen Tsai, Lon Wang, National Taiwan University

In this paper, a method of directly writing sub-micron binary phase grating on the facet of an optical fiber is demonstrated. The measured intensity ratio of ±1 order to 0 order light was two at 364 nm wavelength. The result can be improved by fine tuning of the photoresist thickness.

P15-31
Nanostructures Session Posters

Sub-30-nm Patterning of Au dots on GaAs for Templated Nanowire Growth, Joshua Leu, Megan Brewster, Silvija Gradecak, Karl Berggren, Massachusetts Institute of Technology

In this work, we demonstrate the patterning of Au features on <111> B GaAs substrates by galvanic displacement and metal evaporation into sub-30-nm pores in a silicon oxide hard mask layer.

P15-32
Nanostructures Session Posters

Optimized Reactive Ion Etching for Fabrication of PhoXonic Crystals, Damian Dudek, Vincent Reboud, Nikolaos Kehagias, John Cuffe, Francesc Alsina, Clivia Sotomayor-Torres*, Catalan Institute of Nanotechnology, *Institute for Research and Advanced Studies

The aim of this work is to study the interaction of energetic ions generated in a plasma reactor with the silicon substrate to obtain a high fidelity pattern transfer of the polymer structure exposed by electron beam lithography (EBL).

P15-33
Nanostructures Session Posters

Smooth Suspended Silicon Nanowires for Light Emission Applications, Chookiat Tansarawiput, Lin Zhao, Leo T. Varghese, Minghao Qi, Purdue University

We propose to use orientation dependent etch on a silicon-on-insulator (SOI) platform to achieve suspended nanowires with smooth and uniform sidewalls. The diameters of the nanowires can be reduced, with thermal oxidation, to a few nm. This will alter the band diagram of Si and potentially achieve visible light emission.

P15-34
Nanostructures Session Posters

Sub-10nm Lines using PMMA and HSQ Double Patterning, Li Fan, Leo T. Varghese, Minghao Qi, Purdue University

We demonstrate high resolution in thick resists. The bottom layer is e-beam exposed HSQ and the top layer is e-beam exposed PMMA. The PMMA trench is aligned at the center of the exposed HSQ. RIE splits the exposed HSQ to achieve frequency doubling. Linewidth of 8nm was achieved.

P16-1
Novel Imaging – Optical Lithography Session Posters

(Invited) Re-Inventing the SEM - Electrons, Protons, and Ions, David Joy, University of Tennessee

Major improvements in the performance of scanning microscopes can be anticipated by replacing the conventional electron beam with beams of protons, helium, or other ions. Significant improvements in signal contrast, information content, and resolution are obtained while retaining and enhancing familiar SEM modes of operation.

P16-2
Novel Imaging – Optical Lithography Session Posters

(Invited) Batch Fabrication of Cantilever Array Apertured Probes for Scanning Near-Field Optical Microscopy, Yuan Zhang, Kevin Docherty, John Weaver, University of Glasgow

We have developed a novel batch fabrication for cantilever array apertured probes for scanning near field optical microscopy. The apertures are defined by direct-write of electron beam lithography and subsequently pattern-transferred by reactive ion etch. Better quality and reproducibility of apertures are demonstrated through optical throughput measurement of the probes.

P16-3
Novel Imaging – Optical Lithography Session Posters

Modelling and Experimental Investigation on Liquid Confinement in Immersion Lithography, Wenyu Chen, Xin Fu, Jun Zou, Huayong Yang, Xiaodong Ruan, Guofang Gong, Zhejiang University

For immersion lithography, a liquid pulling and striding model with higher accuracy is presented and compared with experimental results. Taking more factors into account including the capillary phenomenon and soaking-dewetting ability of solid surface, this model shows higher accuracy especially for the gap less than 0.7mm between wafer and lens.

P16-4
Novel Imaging – Optical Lithography Session Posters

Temporal Coherence Effects on a Low-Cost Interference Lithography System, Hasan Korre, Corey Fucetola, Jeremy Johnson, Karl Berggren, Massachusetts Institute of Technology

We explore methods to increase the quality of the diffraction gratings of a low-cost interference lithography system using etalon optical feedback. Using a 0.5-mm-thick fused silica etalon we were able to narrow the full-width at half-maximum of the laser spectrum from 2.01 nm to 0.03 nm.

P16-5
Novel Imaging – Optical Lithography Session Posters

Deep Subwavelength Patterning via Absorbance Modulation, R. V. Manthena, Mohit Diwekar, Nicole Brimhall, Trisha Andrew*, Rajesh Menon, University of Utah, *Massachusetts Institute of Technology

Absorbance modulation is a novel optical patterning technique that can overcome the far-field diffraction barrier.1,2 In absorbance modulation, a thin film of photochromic molecules is irradiated by a focused spot at one wavelength, λ1 and a focused node at a second wavelength, λ2.

P16-6
Novel Imaging – Optical Lithography Session Posters

A Non-Delta-Chrome OPC Methodology for Nonlinear Process Models, Philip C. W. Ng, Kuen-Yu Tsai, Lawrence Melvin*, National Taiwan University, *Synopsys Inc

As IC design shrinks to the deep sub-wavelength regime, previously ignored nonlinear 3D mask effects become significant. These nonlinearities challenge the state-of-the-art delta-chrome OPC methodology. A non-delta-chrome OPC methodology is proposed and tested. Our results show the proposed methodology outperforms the delta-chrome one in terms of patterning fidelity and runtime.

P16-7
Novel Imaging – Optical Lithography Session Posters

Projection Lithography Below Lambda/7 through DUB Evanescent Optical Imaging, Bruce Smith, Peng Xie, Rochester Institute of Technologyu

We present sub-lambda/7 26nm imaging using DUV (193nm) projection lithography together with image plane evanescent wave effects. Image contrast is enhanced through high refractive index in the evanescent region (using water and high index fluids) and the gauging of the gap and requirements between the optics and the photoresist.

P17-1
Patterned Media – Data Storage Session Posters

Enhanced Magnetic Properties of Bit Patterned Magnetic Recording Media by Improved Magnetic Island Geometry, Chulmin Choi, Daehoon Hong, Young Oh, Li-Han Chen, Sy-Hwang Liou*, Sungho Jin, University of California at San Diego, *University of Nebraska

Nanoimprint lithography was used to pattern Si into nanopillar arrays for magnetic bit patterned media. Controlled nanomagnet island geometry and significantly improved magnetic properties are obtained by restricting the magnetic material deposition to only the pillar-top locations via trench–blocking polymer fillers, with minimal magnetic materials on nanopillar sidewalls.

P17-2
Patterned Media – Data Storage Session Posters

Terabit-Per-Square-Inch Magnetic Bit Patterned Media With a 26-nm Pitch and a 9-nm Square Bit, Beomseop Lee, Nissim Amos, Dmitri Litvinov*,  Sakhrat Khizroev, University of California, *University of Houston

E-beam lithography was used to fabricate high density patterns suitable for Bit Patterned Media magnetic recording at densities beyond 1 terabit/in^2. We succeeded in overcoming the challenge of achieving bit features as small as 9 nm and lowering the pitch (period) of a pattern to below 26 nm.

P17-3
Patterned Media – Data Storage Session Posters

Self-Limiting Low-Energy Glow Discharge Process for Close-Packed Non-Circular Pattern Generation, Zhen Zheng, Paul Ruchhoeft, Sakhrat Khizroev*, Dmitri Litvinov, University of Houston, *University of California

We describe a low energy glow discharge process using a commercial reactive-ion etching system that enables non-circular device patterns, such as squares or hexagons, to be formed using precursor arrays of uniform circular openings in poly(methyl methacrylate) defined using electron beam lithography.

P18-1
Resists Session Posters

Methylsilsesquioxane (MSQ) - A Novel Resist for Electron Beam Lithography, Bryan Cord, Geraud Dubois*, Deirdre Olynick**, Vistec Semiconductor, *Stanford University, **Lawrence Berkeley National Laboratory

We demonstrate the successful use of methylsilsesquioxane (MSQ) as an electron resist, with resolutions of 20 nm half-pitch and higher.  We also discuss the exposure mechanism of MSQ and how its unique properties may make it a desirable alternative to HSQ.

P18-2
Resists Session Posters

TBAH-based Developer Solutions in Extreme Ultra Violet Lithography, Toshiro Itani, Julius Joseph Santillan, Selete, Inc

Recent work on tetrabutylammonium hydroxide (TBAH)-based developer solutions with the application of additives, such as surfactants, is presented. With these developers, after EUV lithographic exposures a 15% improvement in line width roughness of half-pitch 32nm was obtained. A detailed dissolution characteristic analysis of these TBAH+additive developers, will also be presented.

P18-3
Resists Session Posters

Chemical Changes during Exposure of ZEP 520A Electron Beam Resist, David Czaplewski, Leonidas Ocola, Derrick Mancini, Argonne National Laboratory

In this talk, we discuss the measured chemical changes in ZEP520A induced by exposure to electrons as a function of different doses. We try to correlate the chemical changes in the resist to improved RIE selectivity when the resist is exposed to another dose of electrons after patterning.

P18-4
Resists Session Posters

Pitch Division by Selective Acid Quenching, Xinyu Gu, Christopher Bates, Younjin Cho, Elizabeth Costner, Tomoki Nagai, Toshiyuki Ogata, Takanori Kawakami, Chuan Shi, Robert Bristol*, Jeanette Roberts*, Paul Zimmerman*, Grant Willson, The University of Texas at Austin, *Intel Corporation

We present a pitch division technique that enables printing of gratings by only a single exposure and is fully compatible with current manufacturing tools. This technique employs a photoactive system comprising both a photoacid generator and a photobase generator. The resulting image of gratings is twice the frequency of gratings on the mask.

P18-5
Resists Session Posters

Novel Designs for Non-Chemically Amplified Molecular Resists, Richard Lawson, Jing Cheng, Laren Tolbert, Clifford Henderson, Georgia Institute of Technology

A novel series of non-chemically amplified molecular resists based on novel dissolution inhibitors have been developed that show both high sensitivity and high contrast. Their design and synthesis along with high resolution patterning results under DUV, EUV, and e-beam will be discussed.

P18-6
Resists Session Posters

Comparison of Positive Tone vs. Negative Tone Resist Pattern Collapse Behavior, David Noga, Wei-Ming Yeh, Laren Tolbert, Clifford Henderson, Georgia Institute of Technology

E-beam lithography patterns have been designed and used to probe the collapse behavior of positive and negative tone systems. Using such patterns, it is possible to determine the critical stress as a function of various parameters including: material type, substrate preparation conditions, resist film thickness, and resist feature width.

P18-7
Resists Session Posters

Surface Roughness and Resist Thickness Issues in Patterning of Ultra-Dense Lines in Hydrogen Silsesquioxane, Minjun Yan, Jaesun Lee, Benedict Ofuonye, Sookyung Choi, Jae-Hyung Jang, Ilesanmi Adesida, University of Illinois

Studies on the surface roughness and resist thickness of HSQ in patterning of ultra-high dense lines (not isolated lines) was reported. Detailed experimental results on the effects of temperature on the resolution and repeatability of the ultra dense lines in various HSQ resist thicknesses will be presented.

P18-8
Resists Session Posters

Dry Development of HSQ in Chlorine Plasma, Leo T. Varghese, Lin Zhao, Minghao Qi, Purdue University

We propose and demonstrate a dry development process for e-beam exposed HSQ using Chlorine-based high-density plasma etch. HSQ patterns down to 20nm half pitch is resolved using mild Chlorine plasma etch.

P18-9
Resists Session Posters

A Dry-on, Dry-off, Long Wavelength Photoresist for Nano-Plasmonic Field Metrologoy and Lithography, P. T. Carmichael, J. A. Liddle, J. R. Strahan and C. G. Wilson, NIST

1A.1
Electron Beams 1

(Invited) Electron Beam Lithography, the First Fifty Years, and Prospects for the Future, Timothy Groves, State University of New York at Albany

The history of e-beam lithography is reviewed from its inception in 1958. The fundamental advantages of high resolution and pattern-generating capability are analyzed, along with the historical drawback of low throughput. A critical review is presented, discussing present development efforts, and future prospects to further advance the state of the art.

1A.2
Electron Beams 1

Cathodoluminescence Imaging of Plasmonic modes of Au Nanostructures, Anil Kumar, James Mabon, Edmond Chow, Nicholas Fang, University of Illinois at Urbana-Champaign

Cathodoluminescence imaging offers unique advantages for spatial and spectral mode imaging. We take a new approach to design, excite and observe optical modes of triangular Au nanoantennas by designing low index and low luminescence substrates. FDTD simulations were performed to study the role of substrate and predict in-plane dipole modes.

1A.3
Electron Beams 1

Gas-Mediated Electron and Ion Beam Induced Deposition using Ammonia as a Purification Medium, Charlene J. Lobo, Milos Toth, Steven J. Randolph, Matthew D. Bresin*, Kathleen A. Dunn*, FEI Company, *State University of New York at Albany

Here, we report the use of ammonia as a purification medium for electron beam induced deposition of gold. The use of ammonia at small overpressures relative to that of the gold precursor results in a substantial decrease in the carbon content (from ~50 to 25 at. % C) and increase in the gold content (from 45 to 70 at. % C).

1A.4
Electron Beams 1

Focused Electron-Beam-Induced Deposition of Platinum and Gold Nanostructures from Aqueous Solutions, Gregory Schardein, Eugene Donev, Jeffrey Hastings, University of Kentucky

We will discuss our progress in developing a focused electron-beam-induced deposition process using bulk liquid precursors. We will describe the effects of charge dose on particle size, demonstrate the highest resolution we have achieved to date, and compare both platinum and gold deposits obtained from different liquid precursors.

1B.1
Nanostructures 1

(Invited) Focused Ion Beam (FIB), E-beam lithography, and in-situ Microscopy in Investigating Mechanical Properties of Nano-Scale Materials, Julia Greer, Andrew Jennings, Shelby Hutchens, Dongchan Jang, Ju-Young Kim, Mingyuan Huang, Caltech

We present results of in-situ uniaxial deformation of vertical nano-pillars, ranging in diameter between 100nm and 1micron fabricated by E-beam lithography and Focused Ion Beam, as well as of carbon nanotube foams with tens of microns diameters. All samples show significant size-dependent mechanical properties, remarkably distinct from their bulk counterparts.

1B.2
Nanostructures 1

PEEM Studies of Coupled-Nanomagnet Systems, David Carlton, Brian Lambson, Eduard Tuchfeld, Jeff Bokor, University of California, Berkeley

Using Magnetic Force Microscopy (MFM) and Photoemission Electron Microscopy (PEEM) with circularly polarized X-rays, we study signal propagation error rates in large ensembles of nanomagnet chains for use in nanomagnet logic architectures.

1B.3
Nanostructures 1

Bi-Level Micro- and Nano-Patterning of Functional Electronic Oxides, Geoff Brennecka, Shengxiang Ji*, Aaron Gin, Jeff Stevens, Paul Nealey*, John Ekerdt**, Sandia National Laboratories, *University of Wisconsin, **University of Texas-Austin

Combining use of a photo-patternable neutral brush layer that is compatible with a wide range of substrate surface chemistries with e-beam lithography, directed self assembly of PS-b-PMMA diblock copolymer masks, and a flexible solution deposition technique, we can pattern many complex oxide materials while maintaining electrical and electromechanical function.

1B.4
Nanostructures 1

Growth of Straight Crystal Silicon Nanowires on Nanopatterned Amorphous Substrate with Uniform Diameter and Length, Preferred Orientation, and Predetermined Location, Chao Wang, Patrick Murphy, Nan Yao, Kevin McIlwrath*, Stephen Chou, Princeton University, *Hitachi High Technologies America, Inc.

We report growth of straight Si nanowire arrays on an amorphous substrate with uniform length (81±2.5 nm, 3% deviation) and diameter (248±11 nm, 4.6% deviation), preferred orientation, and predetermined location (one-to-one growth), by engineering catalysts’ location, shape, composition and crystalline structures through nanoimprint lithography patterning, pre-growth annealing, and etching.

1C.1
Patterned Media

(Invited) Patterned Media: Pushing the Limits of Lithography in Manufacturing, Elizabeth Dobisz, Hitachi Global Storage Technologies

The magnetic recording design rules for patterned media fabrication are discussed in relation to lithography and media patterning requirements. Demonstration of reading and writing at 1 Tbit/in^2 shown.

1C.2
Patterned Media

Progress and Challenges in Fabrication of 1 Terabit/inch2 Bit Patterned Magnetic Media, XiaoMin Yang, Zhaoning Yu, Michael Feldbaum, Yautzong Hsu, Wei Hu, Shuaigang Xiao, Henry Yang, Rene Van de Veerdonk, Kim Lee, David Kuo, Seagate Media Research

We will present our recent progress in BPM fabrication at 1 Tdpsi. We will present preliminary results on size sigma, positioning accuracy, and switching field distributions of the magnetic dots. Several key challenges in the process will be addressed.

1C.3
Patterned Media

Sub-5 keV Scanning-Electron-Beam Lithography, Vitor Manfrinato, Huigao Duan, Lin Lee Cheong, Henry Smith, Karl Berggren, Massachusetts Institute of Technology

Sub-5 keV electron-beam lithography has potential advantages over the high-voltage mode, like lower sample damage, reduced resist-dose, lower-cost and smaller-footprint system and absence of long-range proximity effects. The finest pitch reported to date was 60 nm.  Here we report higher resolution structures obtained by low-voltage scanning-electron-beam lithography on hydrogen silsesquioxane.

1C.4
Patterned Media

Geometrical Limitations for Ar Ion Beam Etching, Dan Kercher, Hitachi GST

Ar ion beam etching is an attractive method for patterning magnetic nano-structures. Material re-deposition is a limiting factor for this physical removal process. With nano-meter scale features, etched sidewall angles are restricted by feature geometry. A comparison of ion beam etched sidewall angles for various geometries is given.

  2A.1
Modeling

(Invited) Mask topography Induced Phase Effects and Wave Aberrations in Optical and EUV Lithography, Andreas Erdmann, Feng Shao, Peter Evanschitzky, Tim Fühner, Fraunhofer IISB

Rigorous electromagnetic field simulations are applied to investigate phase effects in the light diffraction from advanced optical and EUV masks. The similarity of these phase effects with wave aberrations of the projection lens and their impact on the lithographic process perform-ance are discussed.

2A.2
Modeling

Monte Carlo Modeling of Electron Backscattering from Carbon Nanotube Forests, Md. Kawsar Alam, Alireza Nojeh, University of British Columbia

We present a Monte Carlo tool, capable of simulating electron trajectories in structures made from large collections of nanoscale elements such as carbon nanotube forests. This is done by adaptively modifying the scattering angle distribution at each step of the simulation process according to the local neighborhood in the structure.

2A.3
Modeling

Experimental and Simulation Studies on Raman-Enhancing Surface Features from Process-Engineered Substrates, Ying Hu, Jaeseok Jeon*, Bruce Harteneck**, Rebekah Drezek, Hyuck Choo**, Rice University, *University of California, Berkeley, **Lawrence Berkeley National Laboratory

To identify the surface features responsible for SERS, we have fabricated and tested Raman-enhancement from four substrates with distinctive topologies.  Then, for the first time, we simulated the real surface profile of the substrate with the highest enhancement and identified strong enhancement from tips of the nanoclusters, rather than nano-scale-gaps.

2A.4
Modeling

Compensation Methods for Buried Defects in Extreme Ultraviolet Lithography Masks, Chris Clifford, Tina Chan, Andrew Neureuther, University of California, Berkeley

Two methods will be presented to compensate for buried defects in EUV masks.  The goal of the methods in this work is to prescribe modifications to the absorber pattern on the mask so that the final image printed on the wafer matches the intended pattern through focus.

2B.1
Nanostructures 2

(Invited) Biomolecular-Scale Engineering, Shalom Wind, Manus Biggs, Teresa Fazio, Matteo Palma, Erika Penzo, Roger Piqueras Jover, Mark Schvartzman, Risheng Wang, James Hone, Justin Abramson, Saba Ghassemi, Anurag Mathur, Raymond Solano, Michael Sheetz, Columbia University

Combining the precision placement of lithographic patterning with the molecular recognition of biomolecules enables a broad range of new scientific pursuits. We use biomolecular-scale patterning to study how cells respond to external physical cues. We also explore lithographically-directed biomolecular assembly of electronically functional nanostructures.

2B.2
Nanostructures 2

Fabrication and Applications of Sub-Micron 2D/3D Periodic Carbon Structures, D. Bruce Burckel, Ronen Polsky, Cody Washburn, Daniel Koleske, Sandia National Laboratories

Interferometric lithography is used to create 2D and 3D resist structures which are converted to carbon via pyrolysis. We use these carbon structures in two separate applications: 1) MOCVD GaN crystal growth mask for defect reduction ;2) Nanoparticle decorated porous carbon electrodes for methanol oxidation in a fuel cell cycle.

2B.3
Nanostructures 2

Ultra-High Aspect Ratio Silicon Dry-Etch Process, Pran Mukherjee, Alexander Bruccoleri, Ralf K. Heilmann, Mark L. Schattenburg, Alex F. Kaplan*, L. Jay Guo*, Massachusetts Institute of Technology, *University of Michigan

We present a breakthrough multistage dry-etch process to create 100 nm half-pitch gratings in silicon with depths up to 5.5 microns.  Interference lithography patterned gratings in an optically-matched stack of materials to form a 400 nm-thick SiO2 mask.   The oxide masked the subsequent silicon deep reactive-ion etch.

2B.4
Nanostructures 2

Single-Step, Wafer-Scale, Hermetic Sealing Using Silicon Migration, Rishi Kant, Hyuck Choo*, Roger Howe**, *Lawrence Berkeley National Lab, **Stanford University

We demonstrate a new method for sealing release holes to create large, flat continuous surfaces in micro- and nano-structures without utilizing depositions. Our process can simplify the fabrication of a number of devices for example absolute pressure sensors and micro-mirrors, and may also be used for hermetic packaging of micro-devices.

2C.1
Resists

(Invited) RLS Performance Tradeoffs for a Polymer Bound PAG EUV Resist, Roel Gronheid, Alessandro Vaglio Pret, Benjamen Rathsack*, Steven Scheer*, Kathleen Nafus*, Hideo Shite*, Junichi Kitano*, IMEC, *Tokyo Electron

Resist modeling are created for a series of polymer bound PAG materials where PAG, quencher and EUV sensitizer loading are systematically varied. The goal of this work is to gain additional understanding in the factors that determine formulation and process optimization in terms of resolution, line width roughness and sensitivity.

2C.2
Resists

Effect of Crosslinker Structure on a Novel Post-Development Strategy to Prevent Pattern Collapse in Photoresist Nanostructures, David Noga, Wei-Ming Yeh, Laren Tolbert, Clifford Henderson, Georgia Institute of Technology

Our method to prevent pattern collapse involves strengthening the resist using a post-development reaction whereby the hydroxyl functional groups of the resist are cross-linked in their wet state. Critical stress measurements on e-beam patterned samples clearly showed an improvement in collapse behavior. The effect of crosslinker structure will be shown.

2C.3
Resists

Controlling the Adhesion of Nanoscale Hydrogen Silsesquioxane Patterns, Michael Guillorn, Sebastian Engelmann, Martin Glodde, Benjamin Fletcher, Josephine Chang, David Klaus, James Bucchignano, William Graham, Ernst Kratschmer, IBM T.J. Watson Research Center

Hydrogen silsesquioxane(HSQ)/polymer bilayers have been reported to extend the etch budget of thin HSQ.  In this work we propose a mechanism for the adhesion of HSQ to polymer underlayers. We demonstrate a technique for controlling the adhesion of nanoscale HSQ patterns to underlying materials independent of material chemistry.

2C.4
Resists

Photopatternable Inorganic Hardmask, Alan Telecky, Peng Xie*, Jason Stowers**, Andrew Grenville**, Bruce Smith*, Douglas Keszler, Oregon State University, *Rochester Institute of Technology, **Inpria Corp.

We present here a directly photopatternable inorganic hardmask for 193 nm lithography. The resist is based on the solution-deposited dielectric HafSOx and has been shown to perform well as an electron-beam resist.

3A.1
Nanoimprint 1

(Invited) Convenience of T-NIL with Combined Processing, Hella-Christin Scheer, Saskia Möllenbeck, Andre Mayer, Khalid Dhima, University of Wuppertal

As with thermal imprint the properties of the imprinted material remain unchanged, T-NIL is appropriate for process combinations. We will address some characteristic aspects of a T-NIL / UVL hybrid process, as e.g. the shape of the resulting pattern when the UVL step is performed over a pre-imprinted topography.

3A.2
Nanoimprint 1

Fabrication of Seamless Three-Dimensional Roll Mold using Electron Beam Direct Writing to Rotating Cylindrical Substrate, Jun Taniguchi, Masao Aratani, Tokyo University of Science

We have developed electron beam (EB) direct writing to rotating cylindrical substrate. We used Spin-On-Glass inorganic EB resist and the control of acceleration voltage electron beam lithography for three-dimensional (3D) roll mold. In conclusion, fabrication process of seamless 3D roll mold for NIL using EB direct writing has been established.

3A.3
Nanoimprint 1

Massive Replication of Nanophotonic Crystals using Combined-Nanoimprint-and-Photolithography, Kyung-Hak Choi, Jin Woo Huh*, Yonghao Cui, Wenchuang Hu, Byeong-Kwon Ju*, Jeong-Bong Lee, The University of Texas at Dallas, *Korea University

In this work, we report a combined-nanoimprint-and-photolithography (CNP) using a quartz hybrid mask mold (HMM) for the fabrication of two-dimensional slab photonic crystals in which both nanoscale and micron scale features are formed in single step lithography process.

3A.4
Nanoimprint 1

Multiple Double-Nanoimprint Fabrication of Wafer-scale Nanopillar Array Mold with Varying Pillar Shape, Pillar density, and Pillar Spacing Without EBL, Fei Ding, Wen-Di Li, Stephen Y. Chou, Princeton University

We report the proposal and the first demonstration of a new method that can fabricate wafer-scale nanopillar array with varying pillar shape, pillar spacing, and pillar density without using EBL, which has been proved to be useful in SERS study.

3A.5
Nanoimprint 1

Organosilicate Materials for High Resolution Patterning using NIL and Self-Assembly, Hyun Wook Ro, Vera Popova*, Richard Laine**, Christopher Soles, NIST, *Mayaterials Inc., **University of Michigan

We present and quantify two methods for fabricating sub-20 nm scale nanostructures onto OSG materials.  The first utilizes conventional NIL templates, the second method employs a self-assembly using a diblock copolymer. The patterns from both approach can be used as the secondary mold for both thermal or UV version of NIL even without a low surface energy release layer.

3B.1
Emerging Technology 1

(Invited) Self-aligned Epitaxial Graphene MOSFETs with a Record Field-Effect Mobility of 6000 cm2/Vs on 50 mm Wafers, J.S. Moon, D. Curtis, M. Hu, D.K. Gaskill*, P.M. Campbell*, P. Asbeck**, G. Jernigan*, J. Tedesco*, B. VanMil*, R. Myers-Ward*, C. Eddy Jr.*, HRL, *Naval Reseach Labs, **University of California at San Diego

We present a recent breakthrough in epitaxial graphene n-MOSFETs and p-MOSFETs on 50 mm wafer-scale. The epitaxial graphene MOSFETs show simultaneous world’ record performance in key device parameters for the first time: excellent I-V saturation behaviors, field-effect mobility of 6000 cm2/Vs for electron with Ion/Ioff ratio of 19, and the peak transconductance of 600 mS/mm.

3B.2
Emerging Technology 1

Single-step, Complementary Doping of Graphene, Kevin Brenner, Raghu Murali, Georgia Institute of Technology

In this work, Hydrogen Silsesquoxane (HSQ) is used to generate a, single-step, complementary doping method for graphene.  Due to HSQ’s capabilities as a negative tone high-resolution resist, the presented doping method has the potential for highly controlled nanoscale resolution doping over the surface of graphene.

3B.3
Emerging Technology 1

Direct Transformation of a Resist Pattern into a Graphene FET Through Interfacial Graphitization of Liquid Gallium, Yosuke Miyazawa, Ryuichi Ueki**, Mio Sasaki, Takeshi Saito*, Jun-ichi Fujita, University of Tsukuba, *AIST, **PRESTO JST

We found that an extremely thin resist pattern on silicon dioxide can be directly transformed into a graphene FET channel through interfacial graphitization of liquid gallium. These patterned graphene FETs show the p-type field effect conductance characteristicsand a maximum conductance modulation of 16%.

3B.4
Emerging Technology 1

Tip-based Precise, Repeatable Etching of Highly Ordered Pyrolytic Graphite, Norimasa Yoshimizu, Bryan Hicks, Amit Lal, Clifford Pollock, Cornell University

This paper describes precise and numerous etching of HOPG by an atomic force microscope.  HOPG is patterned by a meniscus-mediated electrochemical etch.  By using a digital control feedback loop, we demonstrate 10% and 12% precision of 20 nm deep and 32 nm diameter etch holes.

3B.5
Emerging Technology 1

Fabrication of Graphene and Graphenoid Two-dimensional Materials from Self-Assembled Monolayers, A. Turchanin, A. Beyer, C.T. Nottbohm, M. Büenfeld, X. Zhang, R. Stosch*, T. Weimann*, J. Mayer**, C. Kisielowski^, A. Gölzhäuser, U Bielefeld, *PTB Braunschweig, **RWTH Aachen, ^NCEM Berkeley

We present a scheme for the controlled fabrication of two-dimensional carbon nanostructures utilizing a combination of molecular self-assembly and electron beam or EUV exposure to fabricate 1 nm thin carbon nanomembranes. These can be chemically functionalized as well as converted into graphene and graphenoids.

3C.1
Nanophotonics 1

(Invited) Silicon Photonics in High Performance Computing, Michael Watts, Douglas Trotter, William Zortman, Ralph Young, Christopher DeRose, David Luck, Anthony Lentine, Gregory Nielson, Sandia National Labs

Future high-performance-computers will require wavelength division multiplexed (WDM) communication links in order to provide high-bandwidth, low-cost and low-power communications between server nodes.   Silicon photonic communication networks offer substantial promise for addressing these needs.   Here, we present an overview of our progress towards the implementating silicon photonics in high performance computers.

3C.2
Nanophotonics 1

Surface Plasmon Enhanced Efficiency of Organic Solar Cells using Transparent Ag Nanowire Electrodes, Myung-Gyu Kang, Ting Xu*, Hui Joon Park, Xiangang Luo, L. Jay Guo, The University of Michigan, *Chinese Academy of Science

We report organic solar cells with periodic Ag nanowires, used not only as transparent electrodes but also as excitation sources of surface plasmon resonance. Jsc and EQE are enhanced as much as 43 % and 2.5 fold at 570 nm, respectively, resulting in 35 % enhancement of the PCE.

3C.3
Nanophotonics 1

3D Adiabatic Compression of Plasmon Polariton for Nanomapping Below 10nm Resolution, Francesco De Angelis, Gobind Das, P. Candeloro*, C. Liverale, F. Mecarini, R. Proietti, E. Di Fabrizio, Fondazione Istituto Italiano di Tecnologia, *University of Magna Graecia

We report on the design, fabrication, and characterization of a novel plasmonic device that, combining Raman Scattering and Atomic Force Microscopy, allows a chemical mapping with a resolution of a few nanometers in a label free condition and far-field.

3C.4
Nanophotonics 1

Manipulating the Local Symmetry and Geometry of Bowtie Optical Antennae for Controlling Spectral Properties while Maintaining the Near-Field Enhancement, Alexander Weber-Bargioni, Scott Dhuey, Frank Ogletree, Jim Schuck, Stefano Cabrini, Lawrence Berkeley National Laboratories

We present the successful and reproducible fabrication of well-defined functional plasmonic antennae, where we are able to tune the resonance frequency of bowtie antennae by modifying the triangles’ aspect ratio or breaking the symmetry of a cross bowtie-like antennae, all while maintaining the near field enhancement.

3C.5
Nanophotonics 1

Experimental Demonstration of Resonant Coupling to a Dipole Absorber Inside a Metamaterial: Hybridization of the Negative Index Response, Svyatoslav Smolev, Zahyun Ku, Steven Brueck, Igal Brener*, Michael Sinclair*, Gregory Ten Eyck*, W Langston*, Lorena Basilio*, University of New Mexico, *Sandia National Laboratories

We demonstrate a resonant coupling and hybridization between the structural resonance in the permeability of a fishnet and a material resonance in the dielectric spacer layer. Experimental data shows a good agreement with theory.

4A.1
Electron Beams 2

(Invited) High-Current Electron Optical Design for REBL Direct Write Lithography, Mark McCord, Shinichi Kojima, Paul Petric, Alan Brodie, KLA-Tencor

We will present the latest design and results for the 2nd generation column used in the REBL (Reflective Electron Beam Lithography) program.  Blur vs. current measurements from the column will be compared to simulation and show a significant improvement over the previous design.

4A.2
Electron Beams 2

Condensed Phase Electron Beam-Induced-Deposition: 3D Lithography and Growth Rate Enhancement using Cryogenic Cooling, Matthew Bresin, Milos Toth*, Kathleen A. Dunn, University of Albany SUNY, *FEI Company

Electron Beam-Induced-Deposition (EBID) has been enhanced through the use of cryogenic stage cooling.  Using this technique, growth rates (a typical drawback in room temperature EBID) have been shown to dramatically improve.  Rapid deposition of 3D structures, as well as tailorable surface morphologies, are presented.

4A.3
Electron Beams 2

Experimental Evaluation of Gas-Flux Distribution with Gas Injection Systems for Focused Beam Induced Deposition, Gottfried Hochleitner, Michael Hörtlackner, Peter Rödiger, Heinz D. Wanzenböck, Emmerich Bertagnolli, Vienna University of Technology

In this presentation we illustrate an experimental approach to investigate the gas-flux distribution. To ascertain the relevance of the gained knowledge of the gas-flux distribution for the focused beam induced processes a deposition experiment with iron-pentacarbonyl as precursor was performed. With the evaluated data a position dependent correlation between deposition rate and available precursor could be found.

4A.4
Electron Beams 2

Surface Electromagnetic Wave Assisted Photoelectron Source for Multi-beam Applications, Heon Choi, Tim Groves, University of Albany

We propose a new photoelectron source, utilizing surface plasmons that can be used in multi-beam applications. A surface structure on a metal film can efficiently couple visible light to surface plasmons, which can then be directed to a small photo-electron emitting nano-particle.

4A.5
Electron Beams 2

5kV Multi Electron Beam Lithography: MAPPER Tool and Resist Process Characterization, David Rio, Christophe Constancias, Joris van Nieuwstadt*, Joep Vijverberg*, Béatrice Icard, Laurent Pain, CEA LETI, *MAPPER Lithography B.V.

A multi electron beam lithography tool from MAPPER has been installed in LETI premises in July 2009. Its pre-alpha tool has 110 beams accelerated at 5kV and a 45nm resolution. This study will present first results obtained with this tool.

4B.1
Nanobiology

(Invited) From Cells-on-Chip Towards Lab-in-a-Cell, Severine Le Gac, University of Twente

‘Lab-on-a-chip’ technology (LOC) is commonly employed in the field of life sciences. LOC makes novel experimentation possible while providing a sophisticated environment for cellular investigation. As a next step, we introduce the concept of a ‘lab-in-a-cell’: the use of a single cell as a minimal and highly confined experimental unit.

4B.2
Nanobiology

Magnetically Actuated Elastomeric Pillars for Cellular Force Measurement, Saba Ghassemi, Nicolas Biais, Manus Biggs, Shalom Wind, James Hone, Columbia University

A simple system has been developed in which mechanical force can be applied to cells during migration and spreading. The system consists of arrays of elastomeric pillars, with a micron-scale magnet embedded within each pillar, such that they can be actuated by an external magnetic field.

4B.3
Nanobiology

Carbon Nanotube Cellular Probes, Alokik Kanwal, Shanmugamurthy Lakshmanan, Corina Bot, Anitha Patlolla, Camelia Prodan, Zafar Iqbal, Reginald Farrow, New Jersey Institute of Technology

There is significant interest in single cell electrophysiology measurement systems for detailed analysis of cellular functions. Here we present a novel cell measurement system using vertical carbon nanotubes. The devices are fabricated using standard CMOS technology. We demonstrate the capability of these devices using impedance spectroscopy on rat neuron cells.

4B.4
Nanobiology

Fifteen-picoNewton Force Detection from Neural Growth Cones using Nanowire Arrays, Waldemar Hällström, Monica Lexholm, Dmitry B Suyatin, Greger Hammarin, Dan Hessman, Lars Samuelson, Lars Montelius, Martin Kanje, Christelle N Prinz, Lund University

We present a new method for cell mechanosensing using arrays of gallium phosphide nanowires. Forces down to 15 pN could be measured on neuron growth cones using 40nm diameter and 5 µm long nanowires.

4B.5
Nanobiology

Reliable Si Nanowire FETs Defined by Lithography for pH Sensing and Ultrasensitive Detection of Protein, Suresh Regonda, Ruhai Tian, Jinming Gao*, Walter Hu, University of Texas at Dallas,  *University of Texas Southwestern Medical Center

We present the fabrication of Si nanowire FETs defined by e-beam lithography, which were used for pH sensing and selective detection of proteins at concentrations of 1fM or less. We also address the device reliability issues.

4C.1
Directed Assembly 1

(Invited) Biomolecular Architectures and Systems for Nanoscience Engineering, Jennifer Cha, University of California, San Diego

This talk will show recent efforts in directing the placement of ssDNA and DNA templates on lithographically patterned substrates. These DNA templates have further been used to generate hierarchical assemblies of nanoscale materials. These DNA arrays are being explored as templates for assembling and wiring nanoscale materials for logic and memory.

4C.2
Directed Assembly 1

Cross-Sectional Analysis of Resist Structures Derived from the Directed Assembly of Block Copolymers, Paul Nealey, Huiman Kang, Guoliang Liu, Juan de Pablo, Francois Detcheverry, Umang Nagpal, Hiroshi Yoshida*, Yasuhiko Tada*, University of Wisconsin, *Hitachi, Ltd.

Cross sectional scanning electron micrographs and results of molecular simulations are used to determine the constraints that must be met with respect to the properties of chemical pre-patterns to direct the assembly of block copolymers into technologically useful structures for pattern transfer.

4C.3
Directed Assembly 1

Directed Assembly of Cylinder-Forming Ternary Blend of Block Copolymer and their Respective Homopolymers on Chemical Patterns with Density Multiplication of Features, Huiman Kang, Karl Stuen, Paul Nealey, University of Wisconsin - Madison

The block copolymer ternary blend can multiply the density of the chemical patterns.  Directed and assembled cylinders of the blend have different diameter at top-surface of the films and three-dimensional shape of the cylinders by different patterned spot sizes of the spot patterns.

4C.4
Directed Assembly 1

The Integration of Block Copolymer Directed Assembly with 193 Immersion Lithography, Chi-Chun Liu, Alex Raub*, Philip Hakeem*, Steven Brueck*, Paul Nealey, University of Wisconsin - Madison, *University of New Mexico

The integration of multiple patterning of copolymer directed-assembly with 193nm immersion lithography provides a comparable pattern quality with existing DP techniques, and thus could be a promising candidate for extending the use of current lithography tools and lowering the overall patterning cost while pursuing higher pattern density.

4C.5
Directed Assembly 1

Templated Self-Assembly of Block Copolymers for Linear and Square Arrays, Joel K. W. Yang, Yeon Sik Jung, Jae-Byum Chang, Rafal A. Mickiewicz, Jeong Gon Son, Vivian P. Chuang, Jessica Gwyther*, Ian Manners*, Alfredo Alexander-Katz, Caroline A. Ross, Karl K. Berggren, Massachusetts Institute of Technology,  *University of Bristo

We developed a technique for controlling the self-assembly of block copolymers. The orientation of diblock copolymer was controlled by physical features, and the resulting patterns were analyzed by an image analysis program, an analytical model, and a computer simulation. We also applied this technique to a triblock copolymer system.

5A.1
Nanoimprint 2

(Invited) Defect Inspection for High Volume Patterned Media, Douglas Resnick, Kang Luo, John Fretwell, Gerard Schmid, Gaddi Haase, Cynthia Brooks, S. V. Sreenivasan, Molecular Imprints

Jet and Flash Imprint Lithography uses drop dispensing of UV curable resists for high resolution patterning. Patterned media is a particularly challenging technology because of the aggressive feature sizes necessary to achieve storage densities required for manufacturing.  In this paper, the ability to inspect patterned templates and disks is addressed.

5A.2
Nanoimprint 2

Nanoimprint Method for All-Inorganic Resist for Photovoltaic Light-Trapping, Dirk N. Weiss, Hao-Chih Yuan*, Benjamin G. Lee*, William Nemeth*, Howard M. Branz*, Stephen T. Meyers**, Andrew Grenville**, Douglas A. Keszler^, Washington Technology Center, *National Renewable Energy Laboratory, **Inpria Corporation, ^Oregon State Univ

We present a direct nanoimprint method for an all-inorganic ceramic precursor resist. Patterned resist layers are integrated in photovoltaic test cells, and we demonstrate increased light absorption due to diffractive light trapping.

5A.3
Nanoimprint 2

Step and Repeat UV Nanoimprint Lithography with sub-15 nm Resolution and sub-5 nm Residual Layer Thickness, Christophe Peroz, Scott Dhuey*, Marko Volger**, B. Harteneck*, Anja Voigt**, Stefano Cabrini*, aBeam Technologies, *The Molecular Foundry-LBNL, **Micro Resist Technology

We present a method combining the advantages of Step&Repeat technology and the imprinting of spin-coated films. The methods is demonstrated to imprint gratings 14/40 nm linewidth/picth and residual layer thickness down to 2.5 nm.

5A.4
Nanoimprint 2

Fabrication of Ordered Nanospheres using a Combination of Nanoimprint Lithography and Controlled Dewetting, Arne Schleunitz, Christian Spreu, JaeJong Lee*, Helmut Schift, Paul Scherrer Institut, *Korea Institute of Machinery and Materials

Reflow of resist structures by melting is a powerful post-processing method for the generation of 3D structures with defined spherical and cylindrical shapes. In this contribution, we enlarge the portfolio of reflow even further by forming new structures by controlled dewetting and agglomeration.

5A.5
Nanoimprint 2

Process Simulator for UV-Nanoimprint Lithography, Mayuko Shibata, Akira Horiba, Yoshinori Nagaoka, Hiroaki Kawata, Masaaki Yasuda, Yoshihiko Hirai, Osaka Prefectural University

Total process simulation system for UV-NIL including resist filling, UV exposuer and UV curing processes is newly developed and demonstrate resist profile simulation.

5B.1
Emerging Technology 2

(Invited) Shrink-Induced Nanofabrication, Michelle Khine, University of California, Irvine

To obviate the high costs typically associated with nanofabrication, we have developed a strategy comprised of patterning a pre-stressed shape memory polymer substrate at the large scale; its inherent retraction creates unique metallic nanostructures. These nanostructures are robustly integrated into the plastic substrate for surface plasmon resonance based sensing.

  5B.2
Emerging Technology 2

Nanometrology Optical Ruler Imaging System for Wafer-Scale Precision Metrology for Tip-Based Microscopes, Norimasa Yoshimizu, Amit Lal, Clifford Pollock, Cornell University

We describe the atomically-stabilized Nanometrology Optical Ruler Imaging System which demonstrates 100 ppb (17.2 nm) precision wafer-scale nanometrology.  This system will enables fast scanning probe operation with multiple tips to increase throughput of nanoscale science, engineering, and manufacturing.

  5B.3
Emerging Technology 2

Rapid Laser Crystallization of Semiconductors for Three-Dimensional Integration, Daniel Witte, Theodore Kamins, Eric Perozziello, Dan Pickard, Filip Crnogorac, Andrei Garcia, Fabian Pease, Stanford University

We demonstrate a process for forming <001> silicon crystals on an amorphous substrate. Using a patterned silicon film, single-crystal fingers several microns wide by ten microns long can be formed. Hall mobility can be above 900 cm2/Vs for electrons and 250 cm2/Vs for holes, comparable to SOI reference material.

5B.4
Emerging Technology 2

Sub-Wavelength Optical Patterning via Optical-Saturable Transformations, Nicole Brimhall, Trihsha Andrew*, Amarachand Sathyapalan, Rajesh Menon, University of Utah, *Massachusetts Institute of Technology

Here, we report on an alternative approach that exploits a specific sequence of spectrally-selective reversible and irreversible photochemical transitions to achieve deep subwavelength resolution.

5B.5
Emerging Technology 2

Large-Scale Nanopatterning of Single Proteins used as Carriers of Magnetic Nanoparticles, Ramses Martinez, Javier Martinez, Marco Chiesa, Ricardo Garcia, Instituto de Microelectronica de Madrid

We report a simple yet efficient method to deposit ferritin proteins with nanoscale accuracy over large areas. By combining a top-down tip-based nanolithography and bottom-up electrostatic interactions we have formed regular arrays of ferritin molecules with an accuracy that matches the protein size (~10 nm.

5C.1
Nanophotonics 2

(Invited) Three Dimensional Silicon-on-Insulator based Optical Phased Array for Agile and Large Angle Laser Beam Steering Systems, Amir Hosseini, Ray T. Chen, University of Texas at Austin

We present 3D fabrication and substrate transfer alignment techniques for 3D silicon on insulator based optical phased arrays for agile and large angle optical beam steering systems.

5C.2
Nanophotonics 2

Fabrication Techniques for 3D Metamaterials in the Mid-infrared, J. R. Wendt, D. B. Burckel, G. A. Ten Eyck, A. R. Ellis, I. Brener, M. B. Sinclair, Sandia National Laboratories

We will describe two versions of a flexible fabrication technique known as Membrane Projection Lithography (MPL) that, in combination with e-beam lithography, can produce nearly arbitrary patterns in three dimensions for metamaterials in the mid-infrared.

5C.3
Nanophotonics 2

Fabrication of Large Arrays of Ordered 3D Nanocups for Plasmonic Applications, Joanne Lo, Richard Anderson, David Horsley*, Jack Skinner, Sandia National Laboratories, *University of California

We present a novel method for fabricating large (0.5cm X 1.0cm), ordered arrays of 3D nanocups for plasmonic applications via nanoimprint lithography (NIL), soft lithography, and shadow evaporation. This methodology enables high control over the shapes and optical properties of asymmetric nanocups, bypassing limitations associated with the structure of nanospheres.

5C.4
Nanophotonics 2

Surface Plasmon and Geometry Enhanced Asymmetric Rectifying Tunneling Diodes, Kwangsik Choi, Geunmin Ryu, Filiz Yesilkoy, Athanasios Chryssis, Mario Dagenais, Neil Goldsman, Martin Peckerar, University of Maryland College Park

For energy scavenging, we developed asymmetric tunneling diodes using a geometric field enhancement scheme by a sharp electrode. Furthermore, the sharply pointed electrode is a near-optimal design for achieving surface plasmon resonances inside the tunneling barrier. Here, the rectification characteristics of our unique diodes at radio frequencies and the conversion mechanism are reported.

5C.5
Nanophotonics 2

Zeptomol Level Vibrational Spectroscopy of Proteins in Lithographically Engineered Plasmonic Nano-antenna Arrays, Ronen Adato, Ali Yanik, Jason Amsden*, David Kaplan*, Fiorenzo Omenetto*, Mi Hong, Shyam Erramilli, Hatice Altug, Boston University, *Tufts University

Infrared absorption spectroscopy enables direct access to fingerprints of molecular bonds. However, short interaction lengths limit application of IR-spectroscopy for highly sensitivity molecular analysis. By lithographically patterning plasmonic nanoantennas, we demonstrate collectively enhanced infrared absorption spectroscopy technique and obtain up to 100,000-fold enhancements of protein absorption signals with zepto-mole sensitivity.

6A.1
Masks and Maskless

(Invited) Electron-Beam Templating of Capillary-Force-Induced Nanocollapse, Huigao Duan, Karl Berggren, Massachusetts Institute of Technology

We demonstrate a directed-assembly process based on controllable capillary-force-induced collapse that can precisely assemble individual high-aspect-ratio nanostructures at 10-nm-length-scales into complex two- and three-dimensional structures.

6A.2
Masks and Maskless

Throughput Enhancement Technique for Mapper Maskless Lithography, Marco Wieland, Henk Derks, Himanshu Gupta, Ton van de Peut,  Ferry Postma, Alexander van Veen, Yanxia Zhang, Bert Jan Kampherbeek, MAPPER Lithography

MAPPER has developed the concept of ‘Patterned beams’. By imaging 7x7 sub-beams per projection lens the beam current is increased to the required 13nA level for 10 wph throughput. We will provide an update on the status of current 110-beam systems and describe the patterned beams approach, including experimental verification.

6A.3
Masks and Maskless

Transmission Images of a 196 Beam Scanning Electron Microscope, Ali Mohammadi Gheidari, Pieter Kruit, Delft University of Technology

We have developed a Multi Beam Scanning Electron Microscope (MBSEM) which can deliver an array of focused beams onto a sample with a resolution and current per beam comparable to a state of the art SEM.   Currently the first experiment has been carried out to measure the performance of the MBSEM. Here we will be presenting the results achieved so far.

6A.4
Masks and Maskless

Blanking Characteristics of a Miniature Electron Beam Column, Charlie Silver, James Spallas, Larry Muray, Agilent Technologies, Inc.

Earlier generations of miniature electron beam columns have achieved small line widths and fast write times, demonstrating proof-of-concept for lithography applications.  This paper presents the experimental results of a miniature electron beam column’s integrated blanker.

6A.5
Masks and Maskless

Nanoimprint Lithography from CHARPAN Tool exposed Master Stamps with 12.5 nm hp, Michael Muehlberger, Iris Bergmair, Mustapha Chouiki, Rainer Schoeftner, Elmar Platzgummer*, Hans Loeschner*, Peter Joechl*, Stefan Eder-Kapl*, Elisabeth Lausecker**, Thomas Fromherz**, Michael Kast^, Dominik Treiblmayr^, Gerald Kreindl^, Profactor GmbH, *IMS Nanofabrication, **University of Linz, E. Thallner GmbH

To fabricate master stamps for nanoimprint lithography we use massively parallel ion beam lithography provided by the CHARPAN tool. Directly patterned Si or exposed and developed HSQ on Si is used as a master to fabricate working stamps from different materials, which are then used for UV-based nanoimprint lithography.

6B.1
Focused Ion Beams 1

(Invited) Focused Ion Beam Nanofabrication - New Possibilities, John Melngailis, University of Maryland

Recently three new developments in focused ion beams have occurred: helium ion microscope, plasma ion source FIB systems, and the ion multibeam systems. All will likely open new applications. In particular the multibeam system may lead to high throughput ion beam nanofabrication based on implantation of devices, milling, or deposition.

6B.2
Focused Ion Beams 1

The Piezoresistive Effect in the 3-D Diamond-Like Carbon Nanostructure Fabricated by Focused-Ion-Beam Chemical Vapor Deposition, Reo Kometani, Kouki Yusa, Shin'ichi Warisawa, Sunao Ishihara, The University of Tokyo

A material modification method to add the piezoresistive property to material characteristics of the 3-D DLC nanostructure fabricated by Focused-Ion-Beam Chemical Vapor Deposition was demonstrated. The functional material characteristics of the modified 3-D DLC nanostructure with a piezoresistive property and their application will be reported in detail.

6B.3
Focused Ion Beams 1

Platinum Nano-Pillar Growth by Helium Ion Beam Induced Deposition, Paul Alkemade, Ping Chen, Emile van Veldhoven*, Huub Salemink, Diederik Maas*, Philip Rack**, Daryl Smith**, Delft University of Technology, *TNO Science and Industry, **The University of Tennessee

We report pillar growth by He+ Ion Beam Induced Deposition (IBID) of platinum. The sub-nanometer He+ beam produces narrower and smoother pillars than conventional Ga+ beams do. Simulations reveal details of the deposition processes. We conclude that He+ IBID combines the advantages of Ga+ IBID and Electron Beam Induced Deposition.

6B.4
Focused Ion Beams 1

Employing Reactive Gas-phase Etch Precursors to Mitigate Redeposition During Ultrashort Pulsed Laser Ablation, Marcus Straw, Milos Toth, Mark Emerson, FEI Company

We present the first evidence that samples ablated with ultrashort laser pulses in reactive gaseous atmospheres can show a dramatic improvement in cut quality due to a reduction in redeposition.

6B.5
Focused Ion Beams 1

Multiple Double XTEM Sample Preparation of Site Specific Sub-10 nm Si Nanowires, Lynne Gignac, Surbhi Mittal, Sarunya Bangsaruntip, Guy Cohen, Jefftey Sleight, IBM T. J. Watson Research Center

A cross-section transmission electron microscope (X-TEM) sample of sub-10 nm diameter Si nanowire (NW) semiconductor devices was prepared using focused ion beam along the nanowire direction. The X-TEM sample was then re-sectioned in multiple locations perpendicular to the NW direction and high resolution TEM images were obtained in two directions for 3 NW’s.

  6C.1
Directed Assembly 2

(Invited) Multiscale Modeling of Block Copolymer Directed Assembly and its Application to Sub-Lithographic Patterning, Juan de Pablo, Paul Nealey, University of Wisconsin

6C.2
Directed Assembly 2

Argon Ion Multi-Beam Nanopatterning of Ni-Cu Inserts for Injection Molding, Anton Koeck, Roman Bruck, Max Wellenzohn, Rainer Hainberger, Elmar Platzgummer*, Hans Loeschner*, Peter Joechl*, Stefan Eder-Kapl*, Christoph Ebm*, Florian Letzkus**, Mathias Irmscher**, Peter Czepl^, Kurt Kaiblinger^, Friedrich Pipelka^, B. Heitkamp^^, AIT Austrian Institute of Technology GmbH, *IMS Nanofabrication, **Institute for Microelectronics Stuttgart, ^Hubertus Goller GmbH, ^^Kugler GmbH

We applied the CHARPAN technology for nanopatterning a high-precision diamond-milled Ni-Cu mold insert with 10 keV Argon ion multi-beam milling. By employing this insert the replication of nanostructures as small as 100 nm was achieved by injection molding of polymethylpentene, which offers attractive characteristics for polymer photonic devices.

  6C.3
Directed Assembly 2

In-Situ Characterization of Block Copolymer Density Multiplication on Chemically Patterned Surfaces by Coherent Small Angle X-ray Scattering, Guoliang Liu, Sean Delcambre, Karl Stuen, Gordon Craig, Juan J. de Pablo, Paul Nealey, Kim Nygård*, D. Satapathy*, Oliver Bunk*, Harun Solak*, J. F. van der Veen*, University of Wisconsin-Madison, *Paul Scherrer Institut

6C.4
Directed Assembly 2

Lithographically Driven Nanoscale Assembly of DNA Nanostructures, Erika Penzo, Matteo Palma, Justin Abramson, Shalom Wind, Columbia University

DNA molecules and DNA origami are biochemically anchored to lithographically patterned nanodots, resulting in highly selective patterning of these DNA nanostructures with positional and orientational control.

6C.5
Directed Assembly 2

Evolution of Line Edge and Line Width Roughness in a Frequency Doubling Directed Self Assembly Process, Chi-Chun Liu, Paul Nealey, Thomas Wallow*, Kedar Patel, University of Wisconsin Madison, *GlobalFoundries

We describe the evolution of LER and LWR for multiple steps in a frequency doubling self assembly process directed by a chemical pre-pattern.  The DSA process yields 40nm pitch patterns with LWR below 2nm.  Extrinsic imperfections currently limit experimental measurement of the intrinsic LER and LWR capabilities of DSA.

7A.1
Nanoimprint 3

(Invited) Research and Development on Process Science and CD Control in High-Throughput UV Nanoimprint, Shinji Matsui, Hiroshi Hiroshima*, Yoshihiko Hirai**, Masaru Nakagawa^, University of Hyogo, *AIST, **Osaka Prefecture University, ^Tohoku University

The project title is “Research and Development on Process Science and CD Control in High-Throughput UV Nanoimprint” The project was adopted by making an appeal “Hiroshima method” as a breakthrough technology to achieve a high-throughput using a spin-coat resin. The project period and budget are 5.5 years (2008-2014) and 3.5 million dollars.

7A.2
Nanoimprint 3

Nanostamp with High Density and High Aspect Ratio Over 1 Tera Bit/Inch2, Min-Hyun Lee, Kipil Lim*, Hyun-Mi Kim, Ki-Bum Kim, Seoul National University, *Korea Institute of Science and Technology

For the fabrication of high density and high aspect ratio Si nanostamp with 20nm pitch, we introduce the vacuum treatment process instead of pre-bake step of HSQ resist in e-beam lithography and SF6+O2 RIE process. The vacuum treatment reduces the resist scum after the development and SF6+O2 RIE results the vertical side wall of etch pillars.

7A.3
Nanoimprint 3

Spectroscopic Ellipsometry Optical Critical Dimension Measurements of Template and Imprint Resist for Patterned Magnetic Media Applications, Zhaoning Yu, Justin Hwu, Zhenpeng Su, Henry Yang, Hongying Wang, Wei Hu, Yuan Xu, Nobuo Kurataka, Yautzong Hsu, Shifu Lee, Gene Gauzner, Kim Lee, David Kuo, Seagate Technology,

This paper discusses the study of nanoimprint pattern fidelity using spectroscopic ellipsometry optical critical dimension (SE-OCD) measurements, by comparing the measured profiles of template and imprinted resist pattern. SE-OCD enables us to measure template and resist profile features that are difficult to detect using SEM or AFM.

7A.4
Nanoimprint 3

UV Nanoimprint Lithography and Mold Replication for the Site-Controlled Self-Assembly of Si/Ge Islands, Elisabeth Lausecker, Moritz Brehm, Martyna Grydlik, Iris Bergmair*, Michael Mühlberger*, Thomas Fromherz, Günther Bauer, University of Linz, *Profactor GmbH

We present a pit-patterning of silicon by UV nanoimprint lithography. Germanium deposited onto these substrates by molecular beam epitaxy will preferentially form Si/Ge islands at the bottom of the pits. Characterizations are done by atomic force microscopy and photoluminescence measurements. Additionally, we show a replication process of nanoimprint molds.

7A.5
Nanoimprint 3

Hole Mobility Enhancement by Chain Alignment in Imprinted P3HT Nanogratings for Organic Solar Cells, Min Zhou, Mukti Aryal, Kamil Mielczarek, Anvar Zakhidov, Walter Hu, University of Texas at Dallas

We investigated and show the strong effects of chain alignment on hole mobility in the imprinted P3HT nano-gratings, which is favorable for both organic solar cells and thin film transistors.  The mobility is measured by making back-gated FETs.

7B.1
Focused Ion Beams 2

(Invited) High Brightness Plasma Ion Source Developments for Next Generation FIB and Surface Analysis, Noel Smith, Paul Tesch, Noel Martin, Rod Boswell, Oregon Physics

7B.2
Focused Ion Beams 2

Fine and Dense Nanofabrication with Helium Ion Beam Induced Deposition, Emile van Veldhoven, Paul F.A. Alkemade*, Emile van der Drift*, Colin A. Sanford**, Larry Scipioni**, Huub W.M. Salemink*, Diederik Maas, TNO Science and Industry, *Delft University of Technology, **Carl Zeiss SMT, Inc.

Ultimate resolution and pattern density in the fabrication of nanostructures with Helium Ion Beam Induced Deposition has been studied. The Pt deposits are inspected with Helium Ion Microscopy directly after deposition to quantify their geometry and the proximity effects. Electrical four-point measurements yielded line as well as contact resistance.

7B.3
Focused Ion Beams 2

Fabrication of Nanoscale BiFeO3 Thin Film-Based Capacitors using Combined Electron-Beam and Focused Ion-Beam Lithography, Ramesh N. Premnath, Alexandra Joshi-Imre, Seungbum Hong, Jeffrey A. Klug*, Michael J. Bedzyk*, Moonkyu Park**, Orlando Auciello, Argonne National Laboratory, *Northwestern University, **Korea Advanced Institute of Science and Technology

We show that 30kV Ga+ FIB-based patterning, if done directly on an epitaxial BFO thin film, creates irrecoverable damage to structures by producing deleterious effects on the domain configuration and dynamics, while if done involving a pre-patterned tungsten hard mask over the BFO, the ferroelectricity of the structures is preserved.

7B.4
Focused Ion Beams 2

Silicon Nanowires Fabricated using FIB Implanted Gallium Etch Masks for Plasma Etching, Michael Henry, Michael Shearn, Axel Scherer, California Institute of Technology

This work demonstrates FIB implanted Gallium in silicon to act as a high fidelity etch mask for nanoscale ICP-reactive ion etching of both vertical and horizontal nanowires (30 nm diameters).  We demonstrate this Ga masking for lithographic techniques such as multilevel, grayscale, and sidewall patterning for multiple, mixed-mode etch chemistries.

7B.5
Focused Ion Beams 2

Novel Pulsed Spot Milling Technique to Extend Gallium Ion Beam Technology for Circuit Edit, Dane Scott, Ted Lundquist*, Tahir Malik*, Intel Corporation, *DCG Systems

Progressively scaling process technologies demand a corresponding reduction in spot size, reaching the perceived limits of gallium ion source technology.  A novel pulsed spot milling technique has been developed to enable circuit edit processes beyond traditionally defined boundaries with a greater emphasis on vertical as well as lateral geometry constraints.

7C.1
Nanoelectronics

(Invited) Scaling in Carbon Electronics, Zhihong Chen, IBM T.J. Watson Research

Carbon nanotubes and graphene are considered to be promising channel materials for FETs due to their ultra-thin bodies for aggressive channel length scaling and excellent transport properties. Understanding their scaling behaviors is extremely important for proper device designs in order to gain the maximum benefits for scaling and performance improvement.

7C.2
Nanoelectronics

Graphene Nanomeshes with Sub-10 nm Ribbon Width Fabricated via Nanoimprint Lithography in Combination with Block Copolymer Self-Assembly, Xiaogan Liang, Yeon-Sik Jung, Shiwei Wu, Ariel Ismach*, Deirdre Olynick, Stefano Cabrini, Jeffrey Bokor, Lawrence Berkeley National Laboratory, * University of California, Berkeley

We fabricated hexagonal graphene nanomeshes (GNMs) with sub-10 nm ribbon width which is a new graphene mesoscopic structure with potential applications in nanoelectronics. The fabrication combines nanoimprint lithography, block-copolymer self-assembly for high resolution nanoimprint template patterning, and multi-techniques for graphene deposition.

7C.3
Nanoelectronics

Nanoscale 2- and 3-Terminal Resistive Switching Devices, Qiangfei Xia, Matthew Pickett, Joshua Yang, Xuema Li, Wei Wu, Gilberto Ribeiro, Stan Williams, Hewlett Packard  Laboratories

TiO2 based lateral resistive switching devices with sub-3 nm feature size were fabricated using NIL and angle evaporation. These devices have reliable bipolar switching behavior with up to 1000 ON/OFF ratios. The resistance of the TiO2 can be modulated electrically by a third electrode, implementing a nanoscale memistor device function.

7C.4
Nanoelectronics

Materials Issues Impacting GHz Devices from Epitaxial Graphene on SiC, D. Kurt Gaskill, J. Moon,* J.L. Tedesco, J.A. Robinson**, P.M. Campbell, G.G. Jernigan, J. Hite, R.L. Myers-Ward, C.R. Eddy, M.A. Fanton**, U.S. Naval Research Laboratory, *HRL Laboratories, **The Pennsylvania State University

7C.5
Nanoelectronics

Novel Lateral Size Reduction Technique to Fabricate sub-12 nm Si Integrated Circuits, Faquir Jain, Ravi Velampati*, Fotios Papadimitrakopoulos, University of Connecticut, *Intel

This paper presents a novel approach which reduces feature size beyond mask-determined dimensions and potentially provides ~1nm line edge roughness (LER)in the fabrication of sub-12nm FETs. The use of site-specific self-assembly of SiOx-Si nanoparticlels is shown to  achieve 30 nm x 30 nm patterns by reducing starting 60 nm x 60 nm sqaures formed by e-beam lithography.

8A.1
Metrology

(Invited) Positioning and Measuring at the Nanometric Level Over Macroscopic Distances, Robert Hocken, Jerald Overcash, Greg Caskey, John Brien, Tiffany Lemmons, Charles Stroup, Dave Trumper, , Darya Amin-Shahidi*, University of North Carolina, Charlotte, *Massachusetts Institute of Technology

The talk will describe progress on the Sub Atomic Measuring Machine.  This machine is totally magnetically suspended and driven and has travel of 25 mm x 25 mm in x and y and 100 micrometers in z.  It is currently being upgraded to have a resolution of approximately 15 picometers.

8A.2
Metrology

Noise Measurements for Electron Beam Lithography, Ginusha Perera, Gila Stein, J. Alexander Liddle*, University of Houston, *NIST-CNST

Noise during an electron beam lithography exposure can displace the pattern elements from their design positions. We used x-ray diffraction measurements from 46 nm pitch line gratings to identify noise sources in electron-beam systems and accurately quantify the resulting errors in feature placement.

8A.3
Metrology

Understanding the Relationship Between True and Measured Resist Feature CD and LER using a Detailed SEM Simulator, Richard Lawson, Clifford Henderson, Georgia Institute of Technology

A new SEM simulation tool has been developed to probe with the relationship between the true three-dimensional photoresist roughness and the two-dimensional line edge roughness (LER) obtained by SEM. The effect of imaging and data analysis conditions on the apparent LER will be discussed.

8A.4
Metrology

Algorithmic Reconstruction Methods in Diffraction Microscopy using a Priori Information, Leili Baghaei Rad, Bing Dai, Piero Pianetta, Fabian Pease, Stanford University

This is the first time that a successful reconstruction of a buried structure with 100um of substrate from a single exposure has been demonstrated using X-ray diffraction microscopy.

8A.5
Metrology

Table-top Microscope for the Actinic Aerial Imaging Inspection of Extreme Ultraviolet Lithography Masks, Fernando Brizuela, Sergio Carbajo, Dale Martz, David Alessi, Yong Wang, Bradley Luther, Anne Sakdinawat*, Weilun Chao*, Eric Anderson*, Yanwei Liu*, Kenneth Goldberg*, David Attwood*, Bruno LaFontaine**, Jorge Rocca, Carmen Menoni, Mario Marconi, Colorado State University, *Lawrence Berkeley National Laboratory, **General Foundries Advanced Micro-Devices Inc.

We have implemented a reflection microscope for actinic aerial imaging of EUVL masks using a  table-top, plasma-based, collisional  13.2 nm wavelength EUV laser in combination with specialized Fresnel Zone Plates (FZP). The microscope  has the capability to resolve absorption patterns with critical dimensions as small as  55 nm.

8B.1
Nanostructures 3

(Invited) Mode-Locked Nanomechanical Electron Shuttles for Phase Coherent Frequency Conversion, Robert Blick, Chulki Kim, University of Wisconsin-Madison

We present operation of electron shuttles realized as a nanomechanical diodes for phase coherent frequency conversion. The mechanical response of the balanced resonator displays a hierarchy of frequency locked resonances.

8B.2
Nanostructures 3

Structure and Electrical Properties of Polymer Core-Shell Latex Systems Revealed by Helium Ion Microscopy, Valery Bliznyuk, Alexander Pud*, Larry Scipioni**, Chuong Huynh**, Western Michigan University, *Institute of Bioorganic Chemistry and Petrochemistry of NASU, **Carl Zeiss SMT, Inc.

The structure and properties of nanostructured polymer films based core-shell latex particles with a dielectric polyvinylidene fluoride core and electrically conductive (polyaniline or polythiophene) shell are studied with a combination of helium ion microscopy and electrical conductivity characterization. The systems are promising for plastic electronics and sensor applications.

8B.3
Nanostructures 3

Semiconductor Crystal Islands for 3-Dimensional Integration, Filip Crnogorac, Jin-Hong Park, Woo-Shik Jung, Simon Wong, Fabian Pease, Stanford University

Monolithic 3-dimensional integration via low-temperature (<400C) attachment (bonding) of single crystal Si or Ge islands. These islands provide high quality semiconducting material for fabrication of upper layer devices of a 3DIC.

8B.4
Nanostructures 3

Nano-Machining of Silicon Carbon Nitride Resonators Using Low Voltage Electron Beam Lithography and Cold Development, Mohammad Ali Mohammad, Csaba Guthy*, Stephane Evoy*, Steven Dew, Maria Stepanova*, University of Alberta, *National Institute for Nanotechnology NRC

Nanofabrication and modeling of 20 nm wide, 50 nm thick, and upto 15 micron long suspended silicon carbon nitride (SiCN) nanomechanical resonators using low voltage electron beam lithography and cold development.

8B.5
Nanostructures 3

Hybrid Solar Cells Based on ZnO Nanoparticles and Nanorods, Tao-Hua Lee, Hung-Jue Sue, Xing Cheng, Texas A&M University

This paper presents a hybrid solar cell using both ZnO nanoparticles and nanorods to improve device efficiency.

8C.1
Novel Imaging, Optical

(Invited) A 1D Gridded Design Style for Hybrid Optical and e-Beam Patterning, Michael Smayling, Rudi Hendel*, Tela Innovations, Inc., *Periodic Structures, Inc

Conventional logic design has used 2D patterns with bends and other non-rectangular shapes. A different design style, using 1D gridded features, has been developed to enable effective use of HOMA (Hybrid Optical MAskless lithography) techniques. Implications of HOMA lithography for SOC (System on Chip) designs will be discussed with examples at 16nm technology.

8C.2
Novel Imaging, Optical

Customised Illumination for Process Window Optimisation and Yield Improvement in Mask Aligner Lithography Systems, Michael Hornung, Uwe Vogler*, Reinhard Voelkel*, Suss MicroTec Lithography GmbH, *Suss MicroOptics S.A.

A novel illumination system for mask aligners providing improved exposure light uniformity and customized illumination will be described. The system allows a free choice of illumination and introduces well-known technology of projection lithography for mask aligners. Experimental results showing the effect of the different illumination will be presented.

8C.3
Novel Imaging, Optical

Wafer Level Critical Dimension Control in Spacer Defined Double Patterning for sub-72 nm Pitch Logic Technology, Ryoung-han Kim, Youri Dommelen*, Robert Watso*, Jo Finders*, Matthew Colburn**, Harry Levinson, GlobalFoundries, *ASML, **IBM

We will show that CD uniformity driven effective overlay defines a new lithographic process window in the sidewall spacer double patterning, and provide a theoretical and empirical basis of the contribution to the CD uniformity variation in aerial image, chip-level and wafer-level printed image using ASML controller.

8C.4
Novel Imaging, Optical

Imaging Beyond the Resolution Limit with Far-Field Optics via Absorbance Modulation, Hsin-Yu Tsai, Thomas O’Reilly, Rajesh Menon*, Henry Smith, Massachusetts Institute of Technology, *University of Utah

We present an optical-imaging scheme using a photochromic absorbance-modulation layer (AML), to dynamically form nano-scale near-field probes with far-field optics at the bottom of the AML. The size of this probe can be compressed beyond the diffraction limit. Resolution enhancement with absorbance modulation is demonstrated in imaging in this work.

8C.5
Novel Imaging, Optical

Coherent Diffractive Imaging for Extended Samples with a Pre-defined Illumination Pattern, Bing Dai, Diling Zhu, Piero Pianetta, R. Fabian W. Pease, Stanford University

We demonstrate an alternate approach to image extended objects using coherent x-ray diffraction with a pre-defined illumination pattern. Computer simulation and scaled-up optical experiment demonstrate a sub-30nm resolution for 7KeV x-ray setup.

9A.1
Nanoimprint 4

(Invited) Molecularly Selective Nanopatterns using Nanoimprint Lithography: A Label-Free Sensor Architecture, Lars Montelius, Daniel Forchheimer, Gang Lou, Lei Ye, Lund University

In this work we introduce molecular selectivity into nanostructured polymers by combining nanoimprint lithography with molecular imprinting technique. The simultaneously imprinting on two length scales (nano and Ångström) generated highly specific molecular recognition sites in nanopatterned polymers. Hence, next generation of chemical sensors with unprecedented efficiency can be achieved.

9A.2
Nanoimprint 4

Nanoimprint Mold Fabrication by Quantum Lithography on Nanoimprinted Blanks, Wen-Di Li, Xiaogan Liang, Stephen Chou, Princeton University

We demonstrated the use of quantum lithography on prepatterned nanoimprinted tile array blanks together with ALD to fabricate nanoimprint molds with feature size smaller than 200 nm without any gaps between the tiles. This approach has achieved a throughput enhancement of two orders of magnitude over conventional e-beam lithography.

9A.3
Nanoimprint 4

Continuous Formation of Nano-Scale Periodic Patterns by Localized Dynamic Wrinkling, Se Hyun Ahn, L. Jay Guo, University of Michigan

We present a novel nano patterning technique, Localized Dynamic Wrinkling (LDW) that enables continuous micro/nano-grating generation with controllable period and pattern geometry by just sliding a flat edge of cleaved Si wafer over the metal coated polymer substrate.

9A.4
Nanoimprint 4

Nanostructured P3HT/C60 Solar Cells using Oblique Angle Thermal Deposition of C60 into Nanoimprinted P3HT Gratings, Yi Yang, Mukti Aryal, Kamil Mielczarek, Min Zhou, Walter Hu, Anvar Zakhidov, University of Texas at Dallas

We present the fabrication of P3HT/C60 organic solar cell devices with solvent-free infiltration of C60 into the nanoimprinted P3HT nano-gratings by angled thermal evaporation, resulting in improved solar cell performance.

9A.5
Nanoimprint 4

Nanoimprint Replication of Three-Dimensional Structure Fabricated by FIB-CVD, Yuji Kang, Shinya Omoto, Yasuki Nakai, Makoto Okada, Kazuhiro Kanda, Yuichi Haruyama, Shinji Matsui, University of Hyogo

Nanoimprint lithography (NIL) is a very useful technique to replicate two-dimensional nanostructure with low cost and high throughput.In this study, to achieve 3D replication with fine feature size less than sub-micrometer, we proposed a new 3D replication method using a combination of nanoimprint and FIB-CVD.

  9B.1
Microfluidics

(Invited) A Microfluidic Toolbox for Lab on a Chip Devices, Bruce Gale, University of Utah

 

9B.2
Microfluidics

Electro-Osmotic Flow Through Carbon Nanotube Membranes for Programmed Transdermal Drug Delivery, Ji Wu, Karen Gerstand, Bruce Hinds, University of Kentucky

Carbon Nanotube (CNT) membranes have demonstrated dramatic fluid flow enhancements due to nearly frictionless cores.   Entrances to CNTs can be chemically modified to become anionic thereby allowing only cation flow under applied bias generating efficient electro-osmotic flows.  Programmed transdermal delivery at therapeutically useful fluxes is demonstrated.

9B.3
Microfluidics

Lift-off Free Nanofabrication of Suspended Plasmonic Nanohole Arrays To Overcome Mass Transport Limitations in Bio-Sensors, Ali Yanik, Min Huang, Alp Artar, Tsung-Yao Chang*, Hatice Altug, Boston University, *Massachusetts Institute of Technology

We introduce a lift-off free nanofabrication technique for nano-plasmonic devices using electron beam lithography with ease, high reliability and minimal surface roughness. Application of the technique is demonstrated in fabrication of new biosensors merging nanoplasmonics and nanofluidics to overcome mass transport limitations.

9B.4
Microfluidics

3-D Microfluidics Devices using Ion Beam Lithography, Edgar Palacios, Leonidas Ocola*, Alexandra Joshi-Imre*, Sven Bauerdick**, Matthias Berse**, Lloyd Peto**, Joseph Klingfus**, Brian Whitehead**, Illinois Institute of Technology, *Argonne National Laboratory, **Raith,

We use ion beam lithography to create 3-D microfluidic mixers capable of achieving 100% mixing within 70 microns. The 3-D geometry  achieved by assigning different ion beam dose to different parts of design by multiple exposure scans (~1000) sufficient to skim off about 1 nm of material per scan.

9B.5
Microfluidics

Nanofluidic Channels Fabricated by e-beam Lithography and Polymer Reflow Sealing, Mina Fouad, Mustafa Yavuz, Bo Cui, University of Waterloo

Nanofluidic channels are of considerable interest for many applications such as DNA analysis, as well as for the study of transport phenomena in the nanoscale. Here we report a simple fabrication technique based on e-beam lithography and thermal reflow channel sealing. Channels with width of 150 nm have been achieved.

9C.1
Nanophotonics 3

(Invited) Large-Area Linear and Nonlinear Nanophotonics, Steven Brueck, University of New Mexico

Interferometric lithography provides a large-area nanoscale lithography capability. Nanophotonics provides unique functionality. Examples will be drawn from negative index materials, ultrafast nanophotonic switches, surface plasmon enhanced infrared detectors and nonlinear (second-harmonic) structures.

9C.2
Nanophotonics 3

Transmissive Color Filters Fabricated Using Pattern Transfer Lithography, Alex Kaplan, Ting Xu, Yi-Kuei Wu, L. Jay Guo, University of Michigan

Color filter technology has been heavily researched for applications in display technology. We propose a metal-insulator-metal grating structure, fabricated using pattern transfer lithography, to only transmit specific colors in the visible spectrum. This structure could be used to produce small red, green, and blue pixels over large areas.

9C.3
Nanophotonics 3

Freestanding Photonic Crystal Membranes in Lithium Niobate Fabricated by Ion-Beam Enhanced Etching, Holger Hartung, Reinhard Geiss, Thomas Gischkat, Frank Schrempel, Ernst-Bernhard Kley, Werner Wesch, Andreas Tünnermann, Friedrich-Schiller-Universität Jena

Photonic crystal slabs in Lithium Niobate realized as freestanding membranes are fabricated by means of ion-beam enhanced etching. Ion irradiation is used to transfer the lateral structure, defined by e-beam writing, into the wafer. After mask removal a second irradiation defines the air gap. Subsequent HF-etching removes the irradiated material.

9C.4
Nanophotonics 3

Colloidal Optical Waveguides with Integrated Local Light Sources Built by Capillary Force Assembly, Olivier Lecarme, Tatiana Pinedo-Rivera, Thibault Honegger, Kevin Berton, David Peyrade, LTM-CNRS

We demonstrate the interests of the convective Capillary Force Assembly (CFA) technique to build a wide variety of coupled-resonator optical waveguides with a fast, five-step and low-cost fabrication process. This presentation will detailed the fabrication of colloidal waveguides/emitteurs/hybrid components (emitteur + ridgel waveguide) and the study of their optical performances.

9C.5
Nanophotonics 3

Equivalent Phase-Shift Bragg Gratings on SOI using Optical Lithography, Jie Sun, Charles Holzwarth, Henry Smith, Massachusetts Institute of Technology

A novel method, called sampled-Bragg-grating, is described to fabricate phase-shift gratings in SOI. By use of this method, the requirement of pattern placement accuracy is relaxed by more than a factor of 100, compared with conventional phase-shift gratings.

10A.1
Electron Beams 3

(Invited) REBL: A Maskless ebeam Direct Write Lithography Approach using the Reflective Electron Beam Lithography Concept, Paul Petric, Chris Bevis, Mark McCord, Allen Carroll, Alan Brodie, Upendra Ummethala, Luca Grella, Regina Freed, KLA-Tencor

REBL (Reflective Electron Beam Lithography) is a DARPA funded program for the development of a high throughput maskless ebeam lithography, targeting 5-7 wafers per hour at the 45 nm node and extendable to the 32 nm node and beyond.

10A.2
Electron Beams 3

Thermally Assisted Focused Electron Beam Induced Deposition, Gottfried Hochleitner, Michael Hörtlackner, Peter Rödiger, Heinz D. Wanzenböck, Emmerich Bertagnolli, Daniel Fischer*, Wolfram Bühler*, Vienna University of Technology, *Carl Zeiss NTS

This presentation presents a novel approach of a thermally assisted focused electron beam induced deposition (FEBID) process. This method extends the capability of FEBID by applying a previously unused autocatalytic effect. With this approach a much higher deposition rate and a higher metal content of the deposit can be achieved than with conventional FEBID.

10A.3
Electron Beams 3

High Aspect Ratio HSQ Structures for X-ray Optics, Joan Vila-Comamala, Elina Farm*, Sergey Gorelick, Vitaliy A. Guzenko, Mikko Ritala*, Christian David, Paul Scherrer Institut, *University of Helsinki

We have investigated the fabrication of high aspect ratio hydrogen silsesquioxane (HSQ) structures by 100 keV electron beam lithography. The HSQ gratings were iridium coated by atomic layer deposition to obtain iridium gratings with periods down to 30 nm. We used this technique to produce Fresnel zone plates for X-ray microscopy.

10A.4
Electron Beams 3

Simulator for Electron Beam Lithography (EBL) of Nanostructures, M. Stepanova, T. Fito*, Zs. Szabo*, K. Alti*, A.P. Adeyenuwo*, K. Koshelev*, M. Aktary**,  S.K. Dew*, National Institute for Nanotechnology NRC, *University of Alberta, **Applied Nanotools Inc.

We developed a simulator for analysis of electron beam exposure, fragmentation, and development of EBL resist, PMMA. We present our numerical study of the exposure and development trends when fabricating 10-30 nm sized objects using 3-30keV voltages, and compare the results with experimental SEM images of nanoscale morphologies in PMMA.

10A.5
Electron Beams 3

Statistical Coulomb Forces In Electron Guns, Ben Cook, Thomas Verduin, Pieter Kruit, Technical University Of Delft

We show why statistical Coulomb forces must be calculated in electron guns. By modeling combinations of thermal, field and photo emission, we find the statistical  forces can seriously limit probe size, by disturbing brightness and energy spread. However whilst they still exist, by understanding the physics we can reduce them.

10B.1
Nanostructures 4

(Invited) High Performance Lithographically Defined Back-Gated Si-nanowire MOSFETs with sub-5 nm Channel Width, Krutarth Trivedi, Hyungsang Yuk, Carlo Floresca, Moon Kim, Walter Hu, University of Texas at Dallas

We present reliable fabrication of back-gated nanowire field effect transistors with lithographically defined sub-5 nm nanowires that are comparable in size to CVD nanowires. These devices has shown excellent performance and high mobility.

10B.2
Nanostructures 4

Nanoporous SiN Membranes Patterned by Wafer-Scale Nanosphere Lithography, Veronica Savu, Mona J. K. Klein*, Franck Montagne*, Vazquez-Mena Oscar, Juergen Brugger, Harry Heinzelmann*, Raphael Pugin*, Ecole Polytechnique Fédérale de Lausanne, *Centre Suisse d'Electronique et de Microtechnique

Nanoporous SiN membranes are useful for applications such as stenciling or filtration. Nanosphere lithography, a parallel and inexpensive technique, was used here for wafer-scale patterning of the pores. Large membranes with tunable pore sizes and densities were fabricated. They were used in several applications and their mechanical properties were studied.

10B.3
Nanostructures 4

Structure of Nanocomposites Grown By Electron Beam Induced Deposition, Milos Toth, Juntao Li*, Vasiliki Tileli*, Kathleen Dunn*, Charlene Lobo, Brad Thiel*, FEI Company, *SUNY Albany

The degree of crystallinity of nanocomposites grown by electron beam induced deposition (EBID) is shown to scale with the energy density delivered to the material during growth. The deposits can intermix with the substrate, and the intermixing process is athermal, electron-activated and rate-limited by mass transport inside the solid.

10B.4
Nanostructures 4

Very High Aspect Ratio Fabrication Process for Stacked Nanowire Transistors with Gate-All-Around (GAA) or Double-Gate (ΦFET), Sebastien Pauliac-Vaujour, Pierre Brianceau, Corinne Comboroure, Nathalie Lamard, Christian Vizioz, Sebastien Barnola, Virginie Maffini Alvaro, Alexandre Hubert, Cecilia Dupre, Thomas Ernst, Pierre Sixt, CEA/LETI - Minatec

Stacked nanowire MOSFET devices with gate-all-around (GAA) or independent double gate (ΦFET) are real candidates for sub-32nm nodes. By studying high aspect ratio fabrication processes we succeeded in achieving 18nm GAAFET and for the first time, sub-20nm ΦFET. A 1µm Si/SiGe process was also achieved to increase the number of stacked nanowires for both architectures.

10B.5
Nanostructures 4

In situ TEM Investigation of Electrically-Driven Phase Change Behavior in Ge2Sb2Te5 Nanowire Memory Devices, Sung-Wook Nam, Yeonwoong Jung, Ritesh Agarwal, University of Pennsylvania, *Yale University

The device operation of Ge2Sb2Te5 nanowire phase change memory was investigated through electric-bising in situ TEM.

10C.1
EUV Lithography

(Invited) Wavelength Specific Reflections--A Decade of EUV Mask Inspection Research, Kenneth Goldberg, Iacopo Mochi, Sungmin Huh*, Lawrence Berkeley National Laboratory, *SEMATECH

Since mask inspection is essential for the success of EUV lithography, researchers have tested numerous at-wavelength solutions for EUV lithography's unique, wavelength-specific challenges. From scanning beams to microscopy, dark field imaging to pattern transfer, many techniques have been tried. I will review the challenges, methods, and a decade of highlights.

10C.2
EUV Lithography

Actinic Imaging and Evaluation of Phase Structures on EUV Lithography Masks, Iacopo Mochi, Kenneth Goldberg, Sungmin Huh*, Lawrence Berkeley National Laboratory, *SEMATECH

In EUV lithography, the phase of the reflected light field from multilayer-coated reticles is sensitive to buried patterned structures. We operate the AIT, a synchrotron-based EUV microscope. We describe how through-focus EUV mask images are used to evaluate the severity of bump and pit-type defects and buried-pattern phase-structures.

10C.3
EUV Lithography

High Transmission Pellicles for EUVL Reticle Protection, Yashesh Shroff, Michael Leeson, Pei-Yang Yan, Eric Gullikson*, Farhad Salamasi*, Intel, *Lawrence Berkeley National Lab

We present first results of a full-field EUV pellicle for reticle protection and defect mitigation. Based on novel MEMS based fabrication, it comprises a <80nm Si membrane attached to a wire-grid. We discuss first actinic results of EUVL reticle with pellicle exposed on IMEC ADT. Resist images are complemented with modeling / thermal analysis and >5g accel robustness tests.

10C.4
EUV Lithography

Novel Ozone-based Contamination Cleaning for EUV Optics, Toshihisa Anazawa, Noriaki Takagi, Osamu Suga, Iwao Nishiyama, Toshinori Miura*, Mitsuru Kekura*, Selete, *Meidensha

We evaluated a novel ozone-based cleaning method for carbon contamination on EUV optics including masks. A simulated carbon contamination is removed at the rate of 90 nm/min at room temperature with no damage. This is two to three orders of magnitude higher than the rates for conventional cleaning techniques.

10C.5
EUV Lithography

Extreme Ultraviolet Mask Substrate Surface Roughness Effects on Lithographic Patterning, Simi A. George, Patrick P. Naulleau, Farhad Salmassi, Iacopo Mochi, Eric M. Gullikson, Kenneth A. Goldberg, Erik H. Anderson, Lawrence Berkeley National Laboratory

In this presentation, we explicitly study the impact of substrate roughness on image plane speckle. We quantify the extent to whlurich an AFM measurement can be depended upon for specifying tolerable roughness limits on EUV masks and demonstrate an alternative metrology method capable of directly measuring phase-coherent roughness.

EIPBN 2010